JP7272776B2 - 集積回路構造または集積回路構造を製造する方法 - Google Patents
集積回路構造または集積回路構造を製造する方法 Download PDFInfo
- Publication number
- JP7272776B2 JP7272776B2 JP2018195551A JP2018195551A JP7272776B2 JP 7272776 B2 JP7272776 B2 JP 7272776B2 JP 2018195551 A JP2018195551 A JP 2018195551A JP 2018195551 A JP2018195551 A JP 2018195551A JP 7272776 B2 JP7272776 B2 JP 7272776B2
- Authority
- JP
- Japan
- Prior art keywords
- fin
- gate
- gate electrode
- layer
- drain region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000004519 manufacturing process Methods 0.000 title claims description 214
- 239000004065 semiconductor Substances 0.000 claims description 572
- 229910052751 metal Inorganic materials 0.000 claims description 525
- 239000002184 metal Substances 0.000 claims description 525
- 238000000034 method Methods 0.000 claims description 260
- 125000006850 spacer group Chemical group 0.000 claims description 207
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 206
- 229910052710 silicon Inorganic materials 0.000 claims description 206
- 239000010703 silicon Substances 0.000 claims description 206
- 239000000203 mixture Substances 0.000 claims description 74
- 239000010936 titanium Substances 0.000 claims description 58
- 229910021332 silicide Inorganic materials 0.000 claims description 56
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 56
- 229910052719 titanium Inorganic materials 0.000 claims description 55
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 54
- 229910017052 cobalt Inorganic materials 0.000 claims description 35
- 239000010941 cobalt Substances 0.000 claims description 35
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 35
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 23
- 229910052732 germanium Inorganic materials 0.000 claims description 23
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 23
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 23
- 229910052759 nickel Inorganic materials 0.000 claims description 12
- 229910052697 platinum Inorganic materials 0.000 claims description 12
- 239000010410 layer Substances 0.000 description 1368
- 239000000463 material Substances 0.000 description 551
- 238000002955 isolation Methods 0.000 description 205
- 239000000758 substrate Substances 0.000 description 189
- 230000008569 process Effects 0.000 description 182
- 239000011295 pitch Substances 0.000 description 150
- 230000004888 barrier function Effects 0.000 description 111
- 238000013459 approach Methods 0.000 description 87
- 239000003989 dielectric material Substances 0.000 description 80
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 77
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 70
- 238000000059 patterning Methods 0.000 description 67
- 238000001465 metallisation Methods 0.000 description 56
- 239000011231 conductive filler Substances 0.000 description 53
- 229910052581 Si3N4 Inorganic materials 0.000 description 52
- 238000012545 processing Methods 0.000 description 52
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 46
- 239000012212 insulator Substances 0.000 description 45
- 238000009792 diffusion process Methods 0.000 description 39
- 230000015654 memory Effects 0.000 description 38
- 238000012876 topography Methods 0.000 description 38
- 238000005530 etching Methods 0.000 description 37
- 229910052757 nitrogen Inorganic materials 0.000 description 35
- 229910052814 silicon oxide Inorganic materials 0.000 description 32
- 229920002120 photoresistant polymer Polymers 0.000 description 31
- 239000002019 doping agent Substances 0.000 description 30
- 238000000151 deposition Methods 0.000 description 29
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 28
- 229910052760 oxygen Inorganic materials 0.000 description 28
- 239000001301 oxygen Substances 0.000 description 28
- 235000012239 silicon dioxide Nutrition 0.000 description 26
- 238000005229 chemical vapour deposition Methods 0.000 description 25
- 238000005516 engineering process Methods 0.000 description 25
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 24
- 238000000231 atomic layer deposition Methods 0.000 description 24
- 229910052799 carbon Inorganic materials 0.000 description 24
- 229910052802 copper Inorganic materials 0.000 description 24
- 239000010949 copper Substances 0.000 description 24
- 230000008021 deposition Effects 0.000 description 24
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 22
- 230000009977 dual effect Effects 0.000 description 22
- 239000010408 film Substances 0.000 description 22
- 239000000377 silicon dioxide Substances 0.000 description 22
- 229910052721 tungsten Inorganic materials 0.000 description 21
- 230000015572 biosynthetic process Effects 0.000 description 20
- 238000001459 lithography Methods 0.000 description 20
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 20
- 125000004429 atom Chemical group 0.000 description 18
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 18
- 239000010937 tungsten Substances 0.000 description 18
- 239000012535 impurity Substances 0.000 description 17
- 229920005591 polysilicon Polymers 0.000 description 16
- 239000010409 thin film Substances 0.000 description 16
- 230000008901 benefit Effects 0.000 description 15
- 238000004891 communication Methods 0.000 description 15
- 229910044991 metal oxide Inorganic materials 0.000 description 15
- 150000004706 metal oxides Chemical class 0.000 description 15
- 229910052735 hafnium Inorganic materials 0.000 description 13
- 230000001965 increasing effect Effects 0.000 description 13
- 229910052782 aluminium Inorganic materials 0.000 description 12
- 230000006870 function Effects 0.000 description 12
- 230000010354 integration Effects 0.000 description 12
- 150000002739 metals Chemical class 0.000 description 12
- 229910000679 solder Inorganic materials 0.000 description 11
- 239000000126 substance Substances 0.000 description 11
- 238000000137 annealing Methods 0.000 description 10
- 238000001312 dry etching Methods 0.000 description 10
- 230000000694 effects Effects 0.000 description 10
- 239000006096 absorbing agent Substances 0.000 description 9
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 9
- 239000004020 conductor Substances 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 238000004377 microelectronic Methods 0.000 description 9
- 230000010363 phase shift Effects 0.000 description 9
- 230000002829 reductive effect Effects 0.000 description 9
- 229910052726 zirconium Inorganic materials 0.000 description 9
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 8
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 8
- 230000003628 erosive effect Effects 0.000 description 8
- 238000011049 filling Methods 0.000 description 8
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 8
- 239000007943 implant Substances 0.000 description 8
- 230000001939 inductive effect Effects 0.000 description 8
- 150000004767 nitrides Chemical class 0.000 description 8
- 230000005855 radiation Effects 0.000 description 8
- 229910052707 ruthenium Inorganic materials 0.000 description 8
- 238000009966 trimming Methods 0.000 description 8
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 8
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 7
- -1 but not limited to Substances 0.000 description 7
- 230000000295 complement effect Effects 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 241001130469 Tila Species 0.000 description 6
- 229910045601 alloy Inorganic materials 0.000 description 6
- 239000000956 alloy Substances 0.000 description 6
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 230000006872 improvement Effects 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 6
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 6
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 6
- 230000000717 retained effect Effects 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 5
- 241000948258 Gila Species 0.000 description 5
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 5
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 5
- 229910021417 amorphous silicon Inorganic materials 0.000 description 5
- 229910052785 arsenic Inorganic materials 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 230000008030 elimination Effects 0.000 description 5
- 238000003379 elimination reaction Methods 0.000 description 5
- 238000000671 immersion lithography Methods 0.000 description 5
- 230000000873 masking effect Effects 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 239000007787 solid Substances 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- 230000003746 surface roughness Effects 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 4
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 4
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 4
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 4
- 239000006117 anti-reflective coating Substances 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- 238000005520 cutting process Methods 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 239000011572 manganese Substances 0.000 description 4
- 238000012856 packing Methods 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 239000010453 quartz Substances 0.000 description 4
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 3
- 230000006399 behavior Effects 0.000 description 3
- 239000005388 borosilicate glass Substances 0.000 description 3
- 239000000919 ceramic Substances 0.000 description 3
- 230000000052 comparative effect Effects 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 230000001419 dependent effect Effects 0.000 description 3
- 239000007772 electrode material Substances 0.000 description 3
- 239000000945 filler Substances 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- 150000001247 metal acetylides Chemical class 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- 239000005360 phosphosilicate glass Substances 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 230000001681 protective effect Effects 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 241000894007 species Species 0.000 description 3
- 230000003068 static effect Effects 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000002800 charge carrier Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 239000003822 epoxy resin Substances 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 2
- 125000005843 halogen group Chemical group 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 229910052451 lead zirconate titanate Inorganic materials 0.000 description 2
- 230000007774 longterm Effects 0.000 description 2
- 229910052748 manganese Inorganic materials 0.000 description 2
- GALOTNBSUVEISR-UHFFFAOYSA-N molybdenum;silicon Chemical compound [Mo]#[Si] GALOTNBSUVEISR-UHFFFAOYSA-N 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 229910052758 niobium Inorganic materials 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000004806 packaging method and process Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 2
- 229920000647 polyepoxide Polymers 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 230000001629 suppression Effects 0.000 description 2
- 239000003826 tablet Substances 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- 229910000951 Aluminide Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- NMRHZSSSJCTMME-UHFFFAOYSA-N OP(O)(O)=O.[AsH3] Chemical compound OP(O)(O)=O.[AsH3] NMRHZSSSJCTMME-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 208000012868 Overgrowth Diseases 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 1
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 1
- 239000002250 absorbent Substances 0.000 description 1
- 230000002745 absorbent Effects 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 235000011114 ammonium hydroxide Nutrition 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 1
- 229910002113 barium titanate Inorganic materials 0.000 description 1
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- RKTYLMNFRDHKIL-UHFFFAOYSA-N copper;5,10,15,20-tetraphenylporphyrin-22,24-diide Chemical compound [Cu+2].C1=CC(C(=C2C=CC([N-]2)=C(C=2C=CC=CC=2)C=2C=CC(N=2)=C(C=2C=CC=CC=2)C2=CC=C3[N-]2)C=2C=CC=CC=2)=NC1=C3C1=CC=CC=C1 RKTYLMNFRDHKIL-UHFFFAOYSA-N 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000002425 crystallisation Methods 0.000 description 1
- 230000008025 crystallization Effects 0.000 description 1
- 230000004069 differentiation Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 239000011152 fibreglass Substances 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 230000008676 import Effects 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- HFGPZNIAWCZYJU-UHFFFAOYSA-N lead zirconate titanate Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ti+4].[Zr+4].[Pb+2] HFGPZNIAWCZYJU-UHFFFAOYSA-N 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000007334 memory performance Effects 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910021344 molybdenum silicide Inorganic materials 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 239000005365 phosphate glass Substances 0.000 description 1
- 125000004437 phosphorous atom Chemical group 0.000 description 1
- 230000010287 polarization Effects 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000011165 process development Methods 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 229910052711 selenium Inorganic materials 0.000 description 1
- 239000011669 selenium Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 238000005476 soldering Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 229910052714 tellurium Inorganic materials 0.000 description 1
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28247—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76232—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823871—Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5283—Cross-sectional geometry
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
- H01L27/1211—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/20—Resistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/20—Resistors
- H01L28/24—Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
- H01L29/0653—Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/167—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
- H01L29/41783—Raised source or drain electrodes self aligned with the gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/516—Insulating materials associated therewith with at least one ferroelectric layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66636—Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/66818—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7843—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7845—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7846—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7853—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
- H01L29/7854—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7855—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
- H10B10/12—Static random access memory [SRAM] devices comprising a MOSFET load element
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16151—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/16221—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/16225—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16151—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/16221—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/16225—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
- H01L2224/16227—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32151—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/32221—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/32225—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73201—Location after the connecting process on the same surface
- H01L2224/73203—Bump and layer connectors
- H01L2224/73204—Bump and layer connectors the bump connector being embedded into the layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L24/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L24/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/73—Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7853—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Geometry (AREA)
- Materials Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Semiconductor Memories (AREA)
- Electrodes Of Semiconductors (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
- Drying Of Semiconductors (AREA)
- Element Separation (AREA)
- Bipolar Transistors (AREA)
- Thin Film Transistor (AREA)
- Junction Field-Effect Transistors (AREA)
- Semiconductor Integrated Circuits (AREA)
Description
本出願は、2017年11月30日に出願された、「次世代型集積回路構造製造」と題される米国仮出願第62/593,149号の恩恵を主張し、その内容全体は、参照によって本明細書に組み込まれる。
以下の段落は、本開示(添付の特許請求の範囲を含む)に記載されている用語についての定義又は文脈を提供する。
シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接するゲート誘電体層と、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接する上記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、上記第1側面に対向する第2側面を有するゲート電極と、
上記ゲート電極の上記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、上記ゲート電極の上記第2側面に隣接する第2半導体ソース又はドレイン領域と、
上記ゲート電極の上記第1側面に隣接する上記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、上記ゲート電極の上記第2側面に隣接する上記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、上記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と
を備える集積回路構造。
(項目2)
上記U字形金属層及び上記T字形金属層は組成が異なる、項目1に記載の集積回路構造。
(項目3)
上記U字形金属層はチタンを含み、上記T字形金属層はコバルトを含む、項目2に記載の集積回路構造。
(項目4)
上記第1トレンチコンタクト構造及び上記第2トレンチコンタクト構造は両方とも、上記T字形金属層の上に第3金属層を更に含む、項目1に記載の集積回路構造。
(項目5)
上記第3金属層及び上記U字形金属層は同一の組成を有する、項目4に記載の集積回路構造。
(項目6)
上記第3金属層及び上記U字形金属層はチタンを含み、上記T字形金属層はコバルトを含む、項目5に記載の集積回路構造。
(項目7)
上記第1トレンチコンタクト構造と上記第1半導体ソース又はドレイン領域との間に、及び、上記第2トレンチコンタクト構造と上記第2半導体ソース又はドレイン領域との間に直に挟まれる金属シリサイド層を更に備える、項目1に記載の集積回路構造。
(項目8)
上記金属シリサイド層はチタン及びシリコンを含む、項目7に記載の集積回路構造。
(項目9)
上記第1半導体ソース又はドレイン領域は第1N型半導体ソース又はドレイン領域であり、上記第2半導体ソース又はドレイン領域は第2N型半導体ソース又はドレイン領域である、項目8に記載の集積回路構造。
(項目10)
上記金属シリサイド層は、ニッケル、白金及びシリコンを含む、項目7に記載の集積回路構造。
(項目11)
上記第1半導体ソース又はドレイン領域は第1P型半導体ソース又はドレイン領域であり、上記第2半導体ソース又はドレイン領域は第2P型半導体ソース又はドレイン領域である、項目10に記載の集積回路構造。
(項目12)
上記金属シリサイド層はゲルマニウムを更に含む、項目10に記載の集積回路構造。
(項目13)
上記第1半導体ソース又はドレイン領域は、第1埋め込み半導体ソース又はドレイン領域であり、上記第2半導体ソース又はドレイン領域は、第2埋め込み半導体ソース又はドレイン領域である、項目1に記載の集積回路構造。
(項目14)
上記ゲート電極の上記第1側面と上記第1トレンチコンタクト構造との間の第1誘電体スペーサと、
上記ゲート電極の上記第2側面と上記第2トレンチコンタクト構造との間の第2誘電体スペーサと
を更に備える、項目1に記載の集積回路構造。
(項目15)
上記ゲート誘電体層は更に、上記第1誘電体スペーサと、上記ゲート電極の上記第1側面との間、及び、上記第2誘電体スペーサと上記ゲート電極の上記第2側面との間にある、項目14に記載の集積回路構造。
(項目16)
シリコンを含むフィンを形成する段階であって、上記フィンは頂部及び側壁を有する、段階と、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接するゲート誘電体層を形成する段階と、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接する上記ゲート誘電体層の上方にゲート電極を形成する段階であって、上記ゲート電極は、第1側面、及び、上記第1側面に対向する第2側面を有する、段階と、
上記ゲート電極の上記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、上記ゲート電極の上記第2側面に隣接する第2半導体ソース又はドレイン領域を形成する段階と、
上記ゲート電極の上記第1側面に隣接する上記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、上記ゲート電極の上記第2側面に隣接する上記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造を形成する段階であって、上記第1トレンチコンタクト構造及び上記第2トレンチコンタクト構造は両方とも、U字形金属層、及び、上記U字形金属層の全体の上及び上方にあるT字形金属層を有する、段階と
を備える、集積回路構造を製造する方法。
(項目17)
上記U字形金属層及び上記T字形金属層は組成が異なる、項目16に記載の方法。
(項目18)
上記U字形金属層はチタンを含み、上記T字形金属層はコバルトを含む、項目16に記載の方法。
(項目19)
上記第1トレンチコンタクト構造及び上記第2トレンチコンタクト構造は両方とも、上記T字形金属層の上に第3金属層を更に含む、項目16に記載の方法。
(項目20)
上記第3金属層及び上記U字形金属層は同一の組成を有する、項目19に記載の方法。
Claims (22)
- シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、前記第1側面に対向する第2側面を有するゲート電極と、
前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域と、
前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と
を備え、
前記第1半導体ソース又はドレイン領域の上面および前記第2半導体ソース又はドレイン領域の上面は、前記フィンの前記頂部よりも上方にある、
集積回路構造。 - 前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、請求項1に記載の集積回路構造。
- 前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、前記T字形金属層の上に第3金属層を更に含む、請求項1または2に記載の集積回路構造。
- 前記第3金属層及び前記U字形金属層は同一の組成を有する、請求項3に記載の集積回路構造。
- 前記第3金属層及び前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、請求項3または4に記載の集積回路構造。
- シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、前記第1側面に対向する第2側面を有するゲート電極と、
前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域と、
前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と、
を備え、
前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、
集積回路構造。 - シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、前記第1側面に対向する第2側面を有するゲート電極と、
前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域と、
前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と、
を備え、
前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、前記T字形金属層の上に第3金属層を更に含み、
前記第3金属層及び前記U字形金属層は同一の組成を有する、
集積回路構造。 - シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、前記第1側面に対向する第2側面を有するゲート電極と、
前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域と、
前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と、
を備え、
前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、前記T字形金属層の上に第3金属層を更に含み、
前記第3金属層及び前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、
集積回路構造。 - 前記ゲート電極の前記第1側面と前記第1トレンチコンタクト構造との間の第1誘電体スペーサと、
前記ゲート電極の前記第2側面と前記第2トレンチコンタクト構造との間の第2誘電体スペーサと
を更に備える、請求項3から5、7および8のいずれか一項に記載の集積回路構造。 - 前記第3金属層が、前記第1誘電体スペーサおよび前記第2誘電体スペーサに接する、請求項9に記載の集積回路構造。
- 前記ゲート誘電体層は更に、前記第1誘電体スペーサと、前記ゲート電極の前記第1側面との間、及び、前記第2誘電体スペーサと前記ゲート電極の前記第2側面との間にある、請求項9または10に記載の集積回路構造。
- 前記U字形金属層及び前記T字形金属層は組成が異なる、請求項1から11のいずれか一項に記載の集積回路構造。
- 前記第1トレンチコンタクト構造と前記第1半導体ソース又はドレイン領域との間に、及び、前記第2トレンチコンタクト構造と前記第2半導体ソース又はドレイン領域との間に直に挟まれる金属シリサイド層を更に備える、請求項1から12のいずれか一項に記載の集積回路構造。
- 前記金属シリサイド層はチタン及びシリコンを含む、請求項13に記載の集積回路構造。
- 前記第1半導体ソース又はドレイン領域は第1N型半導体ソース又はドレイン領域であり、前記第2半導体ソース又はドレイン領域は第2N型半導体ソース又はドレイン領域である、請求項14に記載の集積回路構造。
- 前記金属シリサイド層は、ニッケル、白金及びシリコンを含む、請求項13に記載の集積回路構造。
- 前記第1半導体ソース又はドレイン領域は第1P型半導体ソース又はドレイン領域であり、前記第2半導体ソース又はドレイン領域は第2P型半導体ソース又はドレイン領域である、請求項16に記載の集積回路構造。
- 前記金属シリサイド層はゲルマニウムを更に含む、請求項16または17に記載の集積回路構造。
- 前記第1半導体ソース又はドレイン領域は、第1埋め込み半導体ソース又はドレイン領域であり、前記第2半導体ソース又はドレイン領域は、第2埋め込み半導体ソース又はドレイン領域である、請求項1から18のいずれか一項に記載の集積回路構造。
- シリコンを含むフィンを形成する段階であって、前記フィンは頂部及び側壁を有する、段階と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層を形成する段階と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にゲート電極を形成する段階であって、前記ゲート電極は、第1側面、及び、前記第1側面に対向する第2側面を有する、段階と、
前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域を形成する段階と、
前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造を形成する段階であって、前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、U字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、段階と
を備え、
前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、
集積回路構造を製造する方法。 - シリコンを含むフィンを形成する段階であって、前記フィンは頂部及び側壁を有する、段階と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層を形成する段階と、
前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にゲート電極を形成する段階であって、前記ゲート電極は、第1側面、及び、前記第1側面に対向する第2側面を有する、段階と、
前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域を形成する段階と、
前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造を形成する段階であって、前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、U字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、段階と
を備え、
前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、前記T字形金属層の上に第3金属層を更に含み、
前記第3金属層及び前記U字形金属層は同一の組成を有する、
集積回路構造を製造する方法。 - 前記U字形金属層及び前記T字形金属層は組成が異なる、請求項20または21に記載の方法。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2023072827A JP7525241B2 (ja) | 2017-11-30 | 2023-04-27 | 次世代型集積回路構造製造のためのトレンチコンタクト構造 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762593149P | 2017-11-30 | 2017-11-30 | |
US62/593,149 | 2017-11-30 | ||
US15/859,410 US10957782B2 (en) | 2017-11-30 | 2017-12-30 | Trench contact structures for advanced integrated circuit structure fabrication |
US15/859,410 | 2017-12-30 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023072827A Division JP7525241B2 (ja) | 2017-11-30 | 2023-04-27 | 次世代型集積回路構造製造のためのトレンチコンタクト構造 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2019102797A JP2019102797A (ja) | 2019-06-24 |
JP7272776B2 true JP7272776B2 (ja) | 2023-05-12 |
Family
ID=63963951
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2018195551A Active JP7272776B2 (ja) | 2017-11-30 | 2018-10-17 | 集積回路構造または集積回路構造を製造する方法 |
JP2023072827A Active JP7525241B2 (ja) | 2017-11-30 | 2023-04-27 | 次世代型集積回路構造製造のためのトレンチコンタクト構造 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023072827A Active JP7525241B2 (ja) | 2017-11-30 | 2023-04-27 | 次世代型集積回路構造製造のためのトレンチコンタクト構造 |
Country Status (8)
Country | Link |
---|---|
US (37) | US10460993B2 (ja) |
EP (6) | EP4220719A3 (ja) |
JP (2) | JP7272776B2 (ja) |
KR (8) | KR20200083981A (ja) |
CN (16) | CN111194482A (ja) |
DE (1) | DE102018127129A1 (ja) |
TW (10) | TWI808100B (ja) |
WO (1) | WO2019108237A1 (ja) |
Families Citing this family (131)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8487410B2 (en) * | 2011-04-13 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through-silicon vias for semicondcutor substrate and method of manufacture |
US9659930B1 (en) * | 2015-11-04 | 2017-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
KR20170061952A (ko) * | 2015-11-27 | 2017-06-07 | 에스케이하이닉스 주식회사 | 보호회로 |
US10937783B2 (en) | 2016-11-29 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
CN108695382B (zh) * | 2017-04-07 | 2021-07-06 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
CN108735741B (zh) * | 2017-04-13 | 2020-10-09 | 联华电子股份有限公司 | 存储器元件中的存储点接触结构与其制作方法 |
CN108807532B (zh) * | 2017-04-28 | 2021-07-06 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
KR102283024B1 (ko) * | 2017-09-01 | 2021-07-27 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
CN109524302B (zh) * | 2017-09-20 | 2020-12-15 | 华邦电子股份有限公司 | 半导体组件及其制造方法 |
DE102017216937A1 (de) * | 2017-09-25 | 2019-03-28 | Robert Bosch Gmbh | Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer |
US10727835B2 (en) * | 2017-10-10 | 2020-07-28 | Tacho Holdings, Llc | Three-dimensional logic circuit |
US10276794B1 (en) | 2017-10-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and fabrication method thereof |
TW202422889A (zh) * | 2017-11-30 | 2024-06-01 | 美商英特爾股份有限公司 | 用於先進積體電路結構製造的主動閘極結構上方的接觸 |
TW202401727A (zh) * | 2017-11-30 | 2024-01-01 | 美商英特爾股份有限公司 | 用於先進積體電路結構製造之異質金屬線組成 |
US10756204B2 (en) * | 2017-11-30 | 2020-08-25 | Intel Corporation | Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication |
US10460993B2 (en) * | 2017-11-30 | 2019-10-29 | Intel Corporation | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication |
US10818562B2 (en) * | 2017-11-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and testing method thereof |
KR102432655B1 (ko) | 2017-12-21 | 2022-08-17 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US11237877B2 (en) * | 2017-12-27 | 2022-02-01 | Intel Corporation | Robot swarm propagation using virtual partitions |
US10439047B2 (en) * | 2018-02-14 | 2019-10-08 | Applied Materials, Inc. | Methods for etch mask and fin structure formation |
US10332819B1 (en) * | 2018-03-29 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US11239149B2 (en) * | 2018-04-02 | 2022-02-01 | Intel Corporation | Metal interconnect fuse memory arrays |
US10867848B2 (en) * | 2018-04-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10600876B2 (en) * | 2018-05-08 | 2020-03-24 | Globalfoundries Inc. | Methods for chamfering work function material layers in gate cavities having varying widths |
CN110556337B (zh) * | 2018-05-31 | 2021-09-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
US10790198B2 (en) * | 2018-08-08 | 2020-09-29 | Globalfoundries Inc. | Fin structures |
US10672770B2 (en) | 2018-08-14 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure |
US11211479B2 (en) * | 2018-08-14 | 2021-12-28 | Taiwan Semiconductor Manufaciuring Co., Ltd. | Method of fabricating trimmed fin and fin structure |
US11444174B2 (en) * | 2018-08-17 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with Fin end spacer dummy gate and method of manufacturing the same |
KR102534246B1 (ko) * | 2018-08-30 | 2023-05-18 | 삼성전자주식회사 | 반도체 장치 |
US10886269B2 (en) * | 2018-09-18 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10998241B2 (en) * | 2018-09-19 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation using a maskless fabrication process flow |
CN110957361B (zh) * | 2018-09-26 | 2023-09-19 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
US10964816B2 (en) * | 2018-09-27 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for boosting performance of FinFETs via strained spacer |
US10997348B2 (en) * | 2018-09-28 | 2021-05-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal cut region location method and system |
US11244867B2 (en) | 2018-09-28 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with fin end spacer plug and method of manufacturing the same |
US20200111704A1 (en) * | 2018-10-04 | 2020-04-09 | Globalfoundries Inc. | Methods of forming stress liners using atomic layer deposition to form gapfill seams |
US10686033B2 (en) * | 2018-11-09 | 2020-06-16 | Applied Materials, Inc. | Fin damage reduction during punch through implantation of FinFET device |
US10692775B2 (en) | 2018-11-09 | 2020-06-23 | Applied Materials, Inc. | Fin damage reduction during punch through implantation of FinFET device |
US11587782B2 (en) * | 2018-11-30 | 2023-02-21 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and method for making |
US11538937B2 (en) * | 2019-01-04 | 2022-12-27 | Intel Corporation | Fin trim plug structures having an oxidation catalyst layer surrounded by a recessed dielectric material |
US11004687B2 (en) * | 2019-02-11 | 2021-05-11 | Applied Materials, Inc. | Gate contact over active processes |
US10916470B2 (en) * | 2019-03-01 | 2021-02-09 | Globalfoundries Inc. | Modified dielectric fill between the contacts of field-effect transistors |
CN111725137B (zh) * | 2019-03-20 | 2023-06-23 | 中芯国际集成电路制造(天津)有限公司 | 一种半导体器件的形成方法 |
US11552169B2 (en) * | 2019-03-27 | 2023-01-10 | Intel Corporation | Source or drain structures with phosphorous and arsenic co-dopants |
US11094784B2 (en) * | 2019-04-08 | 2021-08-17 | International Business Machines Corporation | Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor |
CN111863711B (zh) * | 2019-04-29 | 2023-06-06 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US20210005728A1 (en) | 2019-07-02 | 2021-01-07 | National Taiwan Normal University | Storage memory device |
TWI744663B (zh) * | 2019-07-02 | 2021-11-01 | 國立臺灣師範大學 | 混合式儲存記憶體 |
JP7292140B2 (ja) * | 2019-07-25 | 2023-06-16 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US11195938B2 (en) * | 2019-07-30 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device performance by fluorine treatment |
US10878160B1 (en) * | 2019-07-31 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Analog cells utilizing complementary mosfet pairs |
CN117727761A (zh) | 2019-08-20 | 2024-03-19 | 联华电子股份有限公司 | 半导体装置 |
US11075123B2 (en) * | 2019-09-16 | 2021-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming isolation structure having improved gap-fill capability |
US11189561B2 (en) | 2019-09-18 | 2021-11-30 | International Business Machines Corporation | Placing top vias at line ends by selective growth of via mask from line cut dielectric |
WO2021054158A1 (ja) * | 2019-09-19 | 2021-03-25 | 東京エレクトロン株式会社 | 半導体装置の作製方法 |
CN110661064A (zh) * | 2019-09-29 | 2020-01-07 | 京东方科技集团股份有限公司 | 移相器及其制备和封装方法 |
US11417653B2 (en) * | 2019-09-30 | 2022-08-16 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11322614B2 (en) | 2019-10-14 | 2022-05-03 | Samsung Electronics Co., Ltd. | Semiconductor device |
US11424165B2 (en) * | 2019-10-16 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor |
US11211470B2 (en) * | 2019-10-18 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
EP3813124A1 (en) | 2019-10-22 | 2021-04-28 | Imec VZW | Split replacement metal gate integration |
US10823888B1 (en) * | 2019-11-12 | 2020-11-03 | Applied Materials, Inc. | Methods of producing slanted gratings with variable etch depths |
US20230347622A1 (en) * | 2019-11-25 | 2023-11-02 | Corning Incorporated | Bonded articles and methods for forming the same |
US11682731B2 (en) | 2019-12-02 | 2023-06-20 | Intel Corporation | Fin smoothing and integrated circuit structures resulting therefrom |
US11189600B2 (en) * | 2019-12-11 | 2021-11-30 | Samsung Electronics Co., Ltd. | Method of forming sacrificial self-aligned features for assisting die-to-die and die-to-wafer direct bonding |
US20230103467A1 (en) * | 2019-12-17 | 2023-04-06 | Merck Sharp & Dohme Llc | Non-invasive continuous capacitance level detector |
KR20210080662A (ko) | 2019-12-20 | 2021-07-01 | 삼성전자주식회사 | 반도체 장치 |
US12119265B2 (en) * | 2019-12-30 | 2024-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | High voltage devices |
US11588031B2 (en) * | 2019-12-30 | 2023-02-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure for memory device and method for forming the same |
DE102020114860A1 (de) | 2020-01-31 | 2021-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor-gates und verfahren zum bilden davon |
US11264287B2 (en) | 2020-02-11 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with cut metal gate and method of manufacture |
US11043469B1 (en) * | 2020-02-19 | 2021-06-22 | Nanya Technology Corporation | Method of forming three dimensional semiconductor structure |
US20210257462A1 (en) * | 2020-02-19 | 2021-08-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors |
US11450736B2 (en) * | 2020-03-25 | 2022-09-20 | Intel Corporation | Source/drain regions in integrated circuit structures |
US11201151B2 (en) | 2020-03-27 | 2021-12-14 | Intel Corporation | Resonant fin transistor (RFT) |
US11462282B2 (en) * | 2020-04-01 | 2022-10-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory structure |
TWI809384B (zh) * | 2020-04-28 | 2023-07-21 | 台灣積體電路製造股份有限公司 | 積體電路結構及其形成方法 |
DE102020119859A1 (de) | 2020-04-29 | 2021-11-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bildung von hybrid-isolationsregionen durch aussparen und erneutes abscheiden |
US11404323B2 (en) * | 2020-04-29 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation of hybrid isolation regions through recess and re-deposition |
US11637042B2 (en) | 2020-04-30 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd | Self-aligned metal gate for multigate device |
DE102021104073B4 (de) * | 2020-04-30 | 2024-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selbstausgerichtetes metall-gate für multigate-vorrichtung und herstellungsverfahren |
US11502199B2 (en) * | 2020-05-28 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co, Ltd. | Independent control of stacked semiconductor device |
CN113611736B (zh) * | 2020-05-29 | 2022-11-22 | 联芯集成电路制造(厦门)有限公司 | 半导体元件及其制作方法 |
US20210391245A1 (en) * | 2020-06-11 | 2021-12-16 | Nanya Technology Corporation | Semiconductor package device |
US11113443B1 (en) * | 2020-06-12 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit with thicker metal lines on lower metallization layer |
US11374006B2 (en) * | 2020-06-12 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US20210407996A1 (en) * | 2020-06-26 | 2021-12-30 | Ashish Agrawal | Gate-all-around integrated circuit structures having strained dual nanoribbon channel structures |
US11527653B2 (en) * | 2020-07-22 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
CN113517274A (zh) | 2020-07-24 | 2021-10-19 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
US11335806B2 (en) * | 2020-08-11 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11908910B2 (en) * | 2020-10-27 | 2024-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having embedded conductive line and method of fabricating thereof |
KR20220077741A (ko) | 2020-12-02 | 2022-06-09 | 삼성전자주식회사 | 반도체 메모리 소자 |
US11670675B2 (en) | 2020-12-04 | 2023-06-06 | United Semiconductor Japan Co., Ltd. | Semiconductor device |
US20220199833A1 (en) * | 2020-12-23 | 2022-06-23 | Intel Corporation | Field-effect transistor (fet) with self-aligned ferroelectric capacitor and methods of fabrication |
KR20220092104A (ko) * | 2020-12-24 | 2022-07-01 | 삼성전자주식회사 | 집적회로 소자 |
CN112864097B (zh) * | 2021-01-14 | 2022-06-24 | 长鑫存储技术有限公司 | 半导体结构及其制作方法 |
US11605566B2 (en) | 2021-01-19 | 2023-03-14 | Taiwan Semiconductor Manufacturing Company Ltd. | Method and structure for metal gates |
US11482454B2 (en) | 2021-02-17 | 2022-10-25 | Tokyo Electron Limited | Methods for forming self-aligned contacts using spin-on silicon carbide |
US11769815B2 (en) * | 2021-03-05 | 2023-09-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Carrier barrier layer for tuning a threshold voltage of a ferroelectric memory device |
US11527614B2 (en) | 2021-03-09 | 2022-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure with conductive structure and method for manufacturing the same |
US12057821B2 (en) | 2021-03-24 | 2024-08-06 | Apple Inc. | Fin field-effect transistor (FinFET) resonator |
US11682675B2 (en) * | 2021-03-30 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US11323070B1 (en) | 2021-04-16 | 2022-05-03 | Apple Inc. | Oscillator with fin field-effect transistor (FinFET) resonator |
CN113517313B (zh) * | 2021-04-26 | 2023-04-18 | 长江先进存储产业创新中心有限责任公司 | 三维存储器及其制造方法 |
TWI789748B (zh) * | 2021-04-26 | 2023-01-11 | 友達光電股份有限公司 | 電子裝置及其製造方法 |
KR20220148630A (ko) * | 2021-04-29 | 2022-11-07 | 삼성전자주식회사 | 반도체 메모리 소자 |
US11652153B2 (en) | 2021-05-07 | 2023-05-16 | Micron Technology, Inc. | Replacement gate formation in memory |
US20220399336A1 (en) * | 2021-06-15 | 2022-12-15 | Intel Corporation | Fin cut in neighboring gate and source or drain regions for advanced integrated circuit structure fabrication |
CN115497817A (zh) | 2021-06-17 | 2022-12-20 | 联华电子股份有限公司 | 半导体结构及其形成方法 |
US20230034482A1 (en) * | 2021-07-30 | 2023-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact Profile Optimization For Ic Device Performance Improvement |
US12015084B2 (en) | 2021-09-14 | 2024-06-18 | Sandisk Technologies Llc | Field effect transistors with gate fins and method of making the same |
US11967626B2 (en) | 2021-09-14 | 2024-04-23 | Sandisk Technologies Llc | Field effect transistors with gate fins and method of making the same |
WO2023043504A1 (en) * | 2021-09-14 | 2023-03-23 | Sandisk Technologies Llc | Field effect transistors with gate fins and method of making the same |
TWI802013B (zh) * | 2021-09-17 | 2023-05-11 | 力晶積成電子製造股份有限公司 | 半導體裝置及其製造方法 |
US11830728B2 (en) | 2021-10-13 | 2023-11-28 | Applied Materials, Inc. | Methods for seamless gap filling of dielectric material |
US12046651B2 (en) * | 2021-11-01 | 2024-07-23 | Globalfoundries U.S. Inc. | Logic cell layout design for high density transistors |
TWI798922B (zh) * | 2021-11-08 | 2023-04-11 | 財團法人工業技術研究院 | 半導體結構及其製造方法 |
US20230207696A1 (en) * | 2021-12-23 | 2023-06-29 | Mohammad Hasan | Integrated circuits with gate plugs to induce compressive channel strain |
US20230215802A1 (en) * | 2021-12-30 | 2023-07-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive structures and methods of fabrication thereof |
US20230215806A1 (en) * | 2021-12-31 | 2023-07-06 | International Business Machines Corporation | Reducing copper line resistance |
TWI794094B (zh) * | 2022-01-12 | 2023-02-21 | 南亞科技股份有限公司 | 具有鰭片之半導體結構的製備方法 |
US11895820B2 (en) | 2022-01-19 | 2024-02-06 | Nanya Technology Corporation | Method of manufacturing memory device having word line with improved adhesion between work function member and conductive layer |
TWI833235B (zh) * | 2022-01-19 | 2024-02-21 | 南亞科技股份有限公司 | 具有字元線之記憶體元件的製備方法 |
US11937420B2 (en) | 2022-01-19 | 2024-03-19 | Nanya Technology Corporation | Memory device having word line with improved adhesion between work function member and conductive layer |
US20230268335A1 (en) * | 2022-02-24 | 2023-08-24 | Globalfoundries U.S. Inc. | Cell layouts |
US20230282644A1 (en) * | 2022-03-03 | 2023-09-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout design for rf circuit |
CN115083918B (zh) * | 2022-07-19 | 2022-11-04 | 合肥晶合集成电路股份有限公司 | 晶体管及其制造方法 |
TWI838840B (zh) * | 2022-08-31 | 2024-04-11 | 世界先進積體電路股份有限公司 | 晶圓後段製程的處理方法及晶圓級半導體結構 |
KR20240111626A (ko) * | 2023-01-10 | 2024-07-17 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
TWI833669B (zh) * | 2023-05-02 | 2024-02-21 | 南亞科技股份有限公司 | 具有複合式硬遮罩的半導體裝置結構及其製備方法 |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130285141A1 (en) | 2012-01-24 | 2013-10-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-Gate Devices with Replaced-Channels and Methods for Forming the Same |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
US20140346605A1 (en) | 2013-05-24 | 2014-11-27 | GlobalFoundries, Inc. | Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits |
JP2014232873A (ja) | 2013-05-02 | 2014-12-11 | 富士フイルム株式会社 | エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法 |
US20150311342A1 (en) | 2014-04-23 | 2015-10-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Finfet with esd protection |
US20160149036A1 (en) | 2014-11-25 | 2016-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain structure and manufacturing the same |
US20160247805A1 (en) | 2015-02-05 | 2016-08-25 | Globalfoundries Inc. | Method of forming a complementary metal oxide semiconductor structure with n-type and p-type field effect transistors having symmetric source/drain junctions and optional dual silicides |
US20160359008A1 (en) | 2015-06-08 | 2016-12-08 | Samsung Electronics Co., Ltd. | Method of manufacturing a semiconductor device |
US20170033106A1 (en) | 2015-07-31 | 2017-02-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Multi-threshold voltage field effect transistor and manufacturing method thereof |
US20170077031A1 (en) | 2015-09-16 | 2017-03-16 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
Family Cites Families (319)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4837609A (en) * | 1987-09-09 | 1989-06-06 | American Telephone And Telegraph Company, At&T Bell Laboratories | Semiconductor devices having superconducting interconnects |
JP3252578B2 (ja) * | 1993-12-27 | 2002-02-04 | ソニー株式会社 | 平面型絶縁ゲート電界効果トランジスタの製法 |
US5994220A (en) * | 1996-02-02 | 1999-11-30 | Micron Technology, Inc. | Method for forming a semiconductor connection with a top surface having an enlarged recess |
TW400605B (en) * | 1999-01-16 | 2000-08-01 | United Microelectronics Corp | The manufacturing method of the Shallow Trench Isolation (STI) |
US6159782A (en) * | 1999-08-05 | 2000-12-12 | Advanced Micro Devices, Inc. | Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant |
JP2001135718A (ja) * | 1999-11-08 | 2001-05-18 | Nec Corp | トレンチ分離構造の作製方法 |
JP4644924B2 (ja) * | 2000-10-12 | 2011-03-09 | ソニー株式会社 | 半導体装置およびその製造方法 |
US6413802B1 (en) * | 2000-10-23 | 2002-07-02 | The Regents Of The University Of California | Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture |
KR100338783B1 (en) * | 2000-10-28 | 2002-06-01 | Samsung Electronics Co Ltd | Semiconductor device having expanded effective width of active region and fabricating method thereof |
US6472258B1 (en) * | 2000-11-13 | 2002-10-29 | International Business Machines Corporation | Double gate trench transistor |
US6396108B1 (en) * | 2000-11-13 | 2002-05-28 | Advanced Micro Devices, Inc. | Self-aligned double gate silicon-on-insulator (SOI) device |
US6653200B2 (en) * | 2001-01-26 | 2003-11-25 | Applied Materials, Inc. | Trench fill process for reducing stress in shallow trench isolation |
US6774387B2 (en) * | 2001-06-26 | 2004-08-10 | Ovonyx, Inc. | Programmable resistance memory element |
US6689650B2 (en) * | 2001-09-27 | 2004-02-10 | International Business Machines Corporation | Fin field effect transistor with self-aligned gate |
US6677253B2 (en) * | 2001-10-05 | 2004-01-13 | Intel Corporation | Carbon doped oxide deposition |
US6610576B2 (en) * | 2001-12-13 | 2003-08-26 | International Business Machines Corporation | Method for forming asymmetric dual gate transistor |
US6881999B2 (en) * | 2002-03-21 | 2005-04-19 | Samsung Electronics Co., Ltd. | Semiconductor device with analog capacitor and method of fabricating the same |
US6770516B2 (en) * | 2002-09-05 | 2004-08-03 | Taiwan Semiconductor Manufacturing Company | Method of forming an N channel and P channel FINFET device on the same semiconductor substrate |
US8222680B2 (en) * | 2002-10-22 | 2012-07-17 | Advanced Micro Devices, Inc. | Double and triple gate MOSFET devices and methods for making same |
US6821834B2 (en) * | 2002-12-04 | 2004-11-23 | Yoshiyuki Ando | Ion implantation methods and transistor cell layout for fin type transistors |
US7214991B2 (en) * | 2002-12-06 | 2007-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | CMOS inverters configured using multiple-gate transistors |
US7148526B1 (en) * | 2003-01-23 | 2006-12-12 | Advanced Micro Devices, Inc. | Germanium MOSFET devices and methods for making same |
US6764884B1 (en) * | 2003-04-03 | 2004-07-20 | Advanced Micro Devices, Inc. | Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device |
US8298933B2 (en) * | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
KR100471173B1 (ko) * | 2003-05-15 | 2005-03-10 | 삼성전자주식회사 | 다층채널을 갖는 트랜지스터 및 그 제조방법 |
US6846752B2 (en) * | 2003-06-18 | 2005-01-25 | Intel Corporation | Methods and devices for the suppression of copper hillock formation |
US6812119B1 (en) * | 2003-07-08 | 2004-11-02 | Advanced Micro Devices, Inc. | Narrow fins by oxidation in double-gate finfet |
KR100487567B1 (ko) * | 2003-07-24 | 2005-05-03 | 삼성전자주식회사 | 핀 전계효과 트랜지스터 형성 방법 |
KR100526889B1 (ko) * | 2004-02-10 | 2005-11-09 | 삼성전자주식회사 | 핀 트랜지스터 구조 |
US7344972B2 (en) * | 2004-04-21 | 2008-03-18 | Intel Corporation | Photosensitive dielectric layer |
US7361958B2 (en) * | 2004-09-30 | 2008-04-22 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
JP2006120953A (ja) * | 2004-10-22 | 2006-05-11 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
KR100602121B1 (ko) * | 2004-12-03 | 2006-07-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
US7193327B2 (en) * | 2005-01-25 | 2007-03-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier structure for semiconductor devices |
JP2007005721A (ja) * | 2005-06-27 | 2007-01-11 | Toshiba Corp | 半導体装置およびその製造方法 |
US7335587B2 (en) * | 2005-06-30 | 2008-02-26 | Intel Corporation | Post polish anneal of atomic layer deposition barrier layers |
US7190050B2 (en) * | 2005-07-01 | 2007-03-13 | Synopsys, Inc. | Integrated circuit on corrugated substrate |
US7223650B2 (en) * | 2005-10-12 | 2007-05-29 | Intel Corporation | Self-aligned gate isolation |
KR100663366B1 (ko) * | 2005-10-26 | 2007-01-02 | 삼성전자주식회사 | 자기 정렬된 부유게이트를 갖는 플래시메모리소자의제조방법 및 관련된 소자 |
US9477658B2 (en) * | 2005-10-26 | 2016-10-25 | Cortica, Ltd. | Systems and method for speech to speech translation using cores of a natural liquid architecture system |
US7462538B2 (en) * | 2005-11-15 | 2008-12-09 | Infineon Technologies Ag | Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials |
DE102005057073B4 (de) * | 2005-11-30 | 2011-02-03 | Advanced Micro Devices, Inc., Sunnyvale | Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement |
US20070178634A1 (en) * | 2006-01-31 | 2007-08-02 | Hyung Suk Jung | Cmos semiconductor devices having dual work function metal gate stacks |
JP2007258485A (ja) * | 2006-03-23 | 2007-10-04 | Toshiba Corp | 半導体装置及びその製造方法 |
US7407847B2 (en) * | 2006-03-31 | 2008-08-05 | Intel Corporation | Stacked multi-gate transistor design and method of fabrication |
US20070227181A1 (en) | 2006-04-04 | 2007-10-04 | Eduardo Leon | Condenser shroud assembly for a direct current air conditioning system |
US7521775B2 (en) * | 2006-06-13 | 2009-04-21 | Intel Corporation | Protection of three dimensional transistor structures during gate stack etch |
US7968425B2 (en) * | 2006-07-14 | 2011-06-28 | Micron Technology, Inc. | Isolation regions |
KR101036771B1 (ko) * | 2006-07-25 | 2011-05-25 | 닛본 덴끼 가부시끼가이샤 | 반도체 장치 및 그 제조 방법 |
US20080049613A1 (en) * | 2006-08-24 | 2008-02-28 | Motorola, Inc. | Method and system for providing a quality of service change warning at a user equipment |
US7456471B2 (en) * | 2006-09-15 | 2008-11-25 | International Business Machines Corporation | Field effect transistor with raised source/drain fin straps |
US8217435B2 (en) * | 2006-12-22 | 2012-07-10 | Intel Corporation | Floating body memory cell having gates favoring different conductivity type regions |
US7898037B2 (en) * | 2007-04-18 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact scheme for MOSFETs |
US7667271B2 (en) * | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
KR100855834B1 (ko) * | 2007-05-25 | 2008-09-01 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7923337B2 (en) * | 2007-06-20 | 2011-04-12 | International Business Machines Corporation | Fin field effect transistor devices with self-aligned source and drain regions |
TW200901382A (en) * | 2007-06-26 | 2009-01-01 | Nanya Technology Corp | Structure of a buried word line |
US7476578B1 (en) * | 2007-07-12 | 2009-01-13 | International Business Machines Corporation | Process for finFET spacer formation |
US7811877B2 (en) * | 2007-07-16 | 2010-10-12 | Applied Materials, Inc. | Method of controlling metal silicide formation |
US7534675B2 (en) * | 2007-09-05 | 2009-05-19 | International Business Machiens Corporation | Techniques for fabricating nanowire field-effect transistors |
US7939889B2 (en) * | 2007-10-16 | 2011-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistance in source and drain regions of FinFETs |
US8486823B2 (en) * | 2008-03-07 | 2013-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming through via |
US8178417B2 (en) * | 2008-04-22 | 2012-05-15 | Globalfoundries Singapore Pte. Ltd. | Method of forming shallow trench isolation structures for integrated circuits |
US8106459B2 (en) * | 2008-05-06 | 2012-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs having dielectric punch-through stoppers |
US8058119B2 (en) * | 2008-08-27 | 2011-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device scheme of HKMG gate-last process |
DE102008059648B4 (de) * | 2008-11-28 | 2011-12-22 | Advanced Micro Devices, Inc. | Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird |
DE102009023250B4 (de) * | 2009-05-29 | 2012-02-02 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement-Herstellverfahren mit erhöhter Ätzstoppfähigkeit während der Strukturierung von siliziumnitridenthaltenden Schichtstapeln durch Vorsehen einer chemisch hergestellten Oxidschicht während der Halbleiterbearbeitung |
US8173499B2 (en) * | 2009-06-12 | 2012-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating a gate stack integration of complementary MOS device |
US8008669B2 (en) * | 2009-07-27 | 2011-08-30 | International Business Machines Corporation | Programmable anti-fuse structure with DLC dielectric layer |
US8264032B2 (en) * | 2009-09-01 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Accumulation type FinFET, circuits and fabrication method thereof |
US9245805B2 (en) * | 2009-09-24 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with metal gates and stressors |
US8592918B2 (en) * | 2009-10-28 | 2013-11-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming inter-device STI regions and intra-device STI regions using different dielectric materials |
DE102009046245B4 (de) * | 2009-10-30 | 2016-08-04 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart |
DE102009047306B4 (de) * | 2009-11-30 | 2015-02-12 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung |
US8373238B2 (en) * | 2009-12-03 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with multiple Fin heights |
US8313999B2 (en) * | 2009-12-23 | 2012-11-20 | Intel Corporation | Multi-gate semiconductor device with self-aligned epitaxial source and drain |
US8436404B2 (en) | 2009-12-30 | 2013-05-07 | Intel Corporation | Self-aligned contacts |
US8119473B2 (en) * | 2009-12-31 | 2012-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | High temperature anneal for aluminum surface protection |
DE102010001403B4 (de) * | 2010-01-29 | 2012-04-26 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird |
US8729627B2 (en) * | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US10128261B2 (en) * | 2010-06-30 | 2018-11-13 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
DE102010040064B4 (de) * | 2010-08-31 | 2012-04-05 | Globalfoundries Inc. | Verringerte Schwellwertspannungs-Breitenabhängigkeit in Transistoren, die Metallgateelektrodenstrukturen mit großem ε aufweisen |
US8299625B2 (en) * | 2010-10-07 | 2012-10-30 | International Business Machines Corporation | Borderless interconnect line structure self-aligned to upper and lower level contact vias |
US8455330B2 (en) * | 2010-10-12 | 2013-06-04 | International Business Machines Corporation | Devices with gate-to-gate isolation structures and methods of manufacture |
US8314034B2 (en) | 2010-12-23 | 2012-11-20 | Intel Corporation | Feature size reduction |
DE102011005718B4 (de) * | 2011-03-17 | 2012-10-31 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Verfahren zum Verringern der Äquivalenzdicke von Dielektriika mit großem ε in Feldeffekttranistoren durch Ausführen eines Ausheizprozesses bei geringer Temperatur |
DE102011076696B4 (de) * | 2011-05-30 | 2013-02-07 | Globalfoundries Inc. | Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement |
US8551833B2 (en) * | 2011-06-15 | 2013-10-08 | International Businesss Machines Corporation | Double gate planar field effect transistors |
CN102956457B (zh) | 2011-08-22 | 2015-08-12 | 中国科学院微电子研究所 | 半导体器件结构及其制作方法、及半导体鳍制作方法 |
US8674433B2 (en) * | 2011-08-24 | 2014-03-18 | United Microelectronics Corp. | Semiconductor process |
US8466027B2 (en) * | 2011-09-08 | 2013-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide formation and associated devices |
US9028157B2 (en) * | 2011-12-15 | 2015-05-12 | Intel Corporation | Efficient backside-emitting/collecting grating coupler |
US8907431B2 (en) * | 2011-12-16 | 2014-12-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with multiple threshold voltages |
US8896066B2 (en) * | 2011-12-20 | 2014-11-25 | Intel Corporation | Tin doped III-V material contacts |
CN104011835B (zh) * | 2011-12-22 | 2016-10-26 | 英特尔公司 | 栅极对准接触部及其制造方法 |
CN107742640A (zh) * | 2011-12-22 | 2018-02-27 | 英特尔公司 | 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法 |
US9048260B2 (en) * | 2011-12-31 | 2015-06-02 | Intel Corporation | Method of forming a semiconductor device with tall fins and using hard mask etch stops |
US8691681B2 (en) * | 2012-01-04 | 2014-04-08 | United Microelectronics Corp. | Semiconductor device having a metal gate and fabricating method thereof |
US8928086B2 (en) * | 2013-01-09 | 2015-01-06 | International Business Machines Corporation | Strained finFET with an electrically isolated channel |
US9287179B2 (en) * | 2012-01-19 | 2016-03-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Composite dummy gate with conformal polysilicon layer for FinFET device |
US8637371B2 (en) * | 2012-02-16 | 2014-01-28 | International Business Machines Corporation | Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same |
US8517769B1 (en) * | 2012-03-16 | 2013-08-27 | Globalfoundries Inc. | Methods of forming copper-based conductive structures on an integrated circuit device |
US8772114B2 (en) * | 2012-03-30 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate semiconductor device and method of fabricating thereof |
US9627310B2 (en) * | 2012-04-11 | 2017-04-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with self-aligned interconnects |
US20130277766A1 (en) * | 2012-04-23 | 2013-10-24 | Globalfoundries Inc. | Multiple high-k metal gate stacks in a field effect transistor |
US9647066B2 (en) * | 2012-04-24 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy FinFET structure and method of making same |
US8912606B2 (en) * | 2012-04-24 | 2014-12-16 | Globalfoundries Inc. | Integrated circuits having protruding source and drain regions and methods for forming integrated circuits |
US8877578B2 (en) * | 2012-05-18 | 2014-11-04 | Unisantis Electronics Singapore Pte. Ltd. | Method for producing semiconductor device and semiconductor device |
US8697511B2 (en) * | 2012-05-18 | 2014-04-15 | Unisantis Electronics Singapore Pte. Ltd. | Method for producing semiconductor device and semiconductor device |
US9024355B2 (en) * | 2012-05-30 | 2015-05-05 | International Business Machines Corporation | Embedded planar source/drain stressors for a finFET including a plurality of fins |
US8981481B2 (en) * | 2012-06-28 | 2015-03-17 | Intel Corporation | High voltage three-dimensional devices having dielectric liners |
US9484447B2 (en) * | 2012-06-29 | 2016-11-01 | Intel Corporation | Integration methods to fabricate internal spacers for nanowire devices |
US11037923B2 (en) | 2012-06-29 | 2021-06-15 | Intel Corporation | Through gate fin isolation |
CN103531474B (zh) * | 2012-07-02 | 2016-04-20 | 中国科学院微电子研究所 | 半导体器件制造方法 |
US9142400B1 (en) | 2012-07-17 | 2015-09-22 | Stc.Unm | Method of making a heteroepitaxial layer on a seed area |
US8710660B2 (en) * | 2012-07-20 | 2014-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid interconnect scheme including aluminum metal line in low-k dielectric |
US9728464B2 (en) * | 2012-07-27 | 2017-08-08 | Intel Corporation | Self-aligned 3-D epitaxial structures for MOS device fabrication |
US8703556B2 (en) * | 2012-08-30 | 2014-04-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a FinFET device |
US9461143B2 (en) | 2012-09-19 | 2016-10-04 | Intel Corporation | Gate contact structure over active gate and method to fabricate same |
US8735869B2 (en) * | 2012-09-27 | 2014-05-27 | Intel Corporation | Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates |
US9337318B2 (en) * | 2012-10-26 | 2016-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with dummy gate on non-recessed shallow trench isolation (STI) |
US9082853B2 (en) * | 2012-10-31 | 2015-07-14 | International Business Machines Corporation | Bulk finFET with punchthrough stopper region and method of fabrication |
US9514983B2 (en) * | 2012-12-28 | 2016-12-06 | Intel Corporation | Cobalt based interconnects and methods of fabrication thereof |
US8896067B2 (en) * | 2013-01-08 | 2014-11-25 | International Business Machines Corporation | Method of forming finFET of variable channel width |
US9305797B2 (en) * | 2013-01-17 | 2016-04-05 | Applied Materials, Inc. | Polysilicon over-etch using hydrogen diluted plasma for three-dimensional gate etch |
US8975094B2 (en) * | 2013-01-21 | 2015-03-10 | Globalfoundries Inc. | Test structure and method to facilitate development/optimization of process parameters |
US8859372B2 (en) * | 2013-02-08 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Double channel doping in transistor formation |
US9564353B2 (en) * | 2013-02-08 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with reduced parasitic capacitance and methods of forming the same |
US8895446B2 (en) * | 2013-02-18 | 2014-11-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin deformation modulation |
KR20140108960A (ko) * | 2013-03-04 | 2014-09-15 | 삼성전자주식회사 | 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법 |
US9293534B2 (en) * | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
CN111968976A (zh) | 2013-06-20 | 2020-11-20 | 英特尔公司 | 具有掺杂的子鳍片区域的非平面半导体器件及其制造方法 |
KR102089682B1 (ko) * | 2013-07-15 | 2020-03-16 | 삼성전자 주식회사 | 반도체 장치 및 이의 제조 방법 |
US9953975B2 (en) * | 2013-07-19 | 2018-04-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming STI regions in integrated circuits |
US9093298B2 (en) * | 2013-08-22 | 2015-07-28 | Texas Instruments Incorporated | Silicide formation due to improved SiGe faceting |
US9633835B2 (en) * | 2013-09-06 | 2017-04-25 | Intel Corporation | Transistor fabrication technique including sacrificial protective layer for source/drain at contact location |
KR102167351B1 (ko) * | 2013-09-27 | 2020-10-19 | 인텔 코포레이션 | 라인 백엔드(Back End of Line)(BEOL) 상호접속을 위한 삭감 자기 정렬 비아 및 플러그 패터닝 |
US9941271B2 (en) * | 2013-10-04 | 2018-04-10 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Fin-shaped field effect transistor and capacitor structures |
US20150145041A1 (en) * | 2013-11-22 | 2015-05-28 | International Business Machines Corporation | Substrate local interconnect integration with finfets |
JP6325669B2 (ja) | 2013-12-19 | 2018-05-16 | インテル・コーポレーション | 半導体構造、集積回路構造、及びそれらの製造方法 |
WO2015099680A1 (en) * | 2013-12-23 | 2015-07-02 | Intel Corporation | Pre-sculpting of si fin elements prior to cladding for transistor channel applications |
EP3087586B1 (en) * | 2013-12-23 | 2021-09-29 | Intel Corporation | Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures |
US9406778B2 (en) * | 2014-01-15 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and formation thereof |
US9379010B2 (en) * | 2014-01-24 | 2016-06-28 | Intel Corporation | Methods for forming interconnect layers having tight pitch interconnect structures |
KR102193493B1 (ko) | 2014-02-03 | 2020-12-21 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9362404B2 (en) * | 2014-02-21 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping for FinFET |
US20150243663A1 (en) * | 2014-02-24 | 2015-08-27 | United Microelectronics Corp. | Method for manufacturing semiconductor device and device manufactured using the same |
US9576952B2 (en) | 2014-02-25 | 2017-02-21 | Globalfoundries Inc. | Integrated circuits with varying gate structures and fabrication methods |
KR102190673B1 (ko) * | 2014-03-12 | 2020-12-14 | 삼성전자주식회사 | 중간갭 일함수 금속 게이트 전극을 갖는 반도체 소자 |
US9318582B2 (en) * | 2014-03-17 | 2016-04-19 | International Business Machines Corporation | Method of preventing epitaxy creeping under the spacer |
US20150270175A1 (en) * | 2014-03-19 | 2015-09-24 | Globalfoundries Inc. | Partially crystallized fin hard mask for fin field-effect-transistor (finfet) device |
US9780216B2 (en) * | 2014-03-19 | 2017-10-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Combination FinFET and methods of forming same |
EP3123521A4 (en) * | 2014-03-27 | 2017-10-25 | Intel Corporation | Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions |
US9653461B2 (en) * | 2014-03-28 | 2017-05-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with low source/drain contact resistance |
KR102377372B1 (ko) * | 2014-04-02 | 2022-03-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 인터커넥트들을 형성하기 위한 방법 |
US9570554B2 (en) * | 2014-04-04 | 2017-02-14 | International Business Machines Corporation | Robust gate spacer for semiconductor devices |
US9443769B2 (en) * | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US9391173B2 (en) * | 2014-04-22 | 2016-07-12 | International Business Machines Corporation | FinFET device with vertical silicide on recessed source/drain epitaxy regions |
US9640625B2 (en) * | 2014-04-25 | 2017-05-02 | Globalfoundries Inc. | Self-aligned gate contact formation |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
CN105225951B (zh) * | 2014-05-30 | 2018-08-10 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应晶体管的形成方法 |
US20150372139A1 (en) * | 2014-06-18 | 2015-12-24 | GLOBALFOUNDERS Inc. | Constraining epitaxial growth on fins of a finfet device |
US9508826B2 (en) * | 2014-06-18 | 2016-11-29 | Globalfoundries Inc. | Replacement gate structure for enhancing conductivity |
US9716035B2 (en) * | 2014-06-20 | 2017-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Combination interconnect structure and methods of forming same |
US9209186B1 (en) * | 2014-06-26 | 2015-12-08 | Globalfoundries Inc. | Threshold voltage control for mixed-type non-planar semiconductor devices |
US9837354B2 (en) * | 2014-07-02 | 2017-12-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid copper structure for advance interconnect usage |
KR102192350B1 (ko) * | 2014-08-05 | 2020-12-18 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법 |
KR20160020870A (ko) * | 2014-08-14 | 2016-02-24 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9324650B2 (en) * | 2014-08-15 | 2016-04-26 | International Business Machines Corporation | Interconnect structures with fully aligned vias |
US9373641B2 (en) * | 2014-08-19 | 2016-06-21 | International Business Machines Corporation | Methods of forming field effect transistors using a gate cut process following final gate formation |
CN105374871B (zh) * | 2014-08-22 | 2020-05-19 | 联华电子股份有限公司 | 鳍状结构及其形成方法 |
US9305845B2 (en) | 2014-09-04 | 2016-04-05 | International Business Machines Corporation | Self-aligned quadruple patterning process |
US9263587B1 (en) * | 2014-09-04 | 2016-02-16 | Globalfoundries Inc. | Fin device with blocking layer in channel region |
TWI557784B (zh) | 2014-09-18 | 2016-11-11 | 聯華電子股份有限公司 | 鰭式場效電晶體的製造方法 |
KR102259080B1 (ko) * | 2014-09-23 | 2021-06-03 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
US9922880B2 (en) * | 2014-09-26 | 2018-03-20 | Qualcomm Incorporated | Method and apparatus of multi threshold voltage CMOS |
TWI600159B (zh) * | 2014-10-01 | 2017-09-21 | 聯華電子股份有限公司 | 半導體元件及其製作方法 |
US9543438B2 (en) * | 2014-10-15 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact resistance reduction technique |
US9490176B2 (en) * | 2014-10-17 | 2016-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for FinFET isolation |
US9685332B2 (en) * | 2014-10-17 | 2017-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Iterative self-aligned patterning |
KR102321209B1 (ko) | 2014-11-03 | 2021-11-02 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9543416B2 (en) * | 2014-11-07 | 2017-01-10 | Globalfoundries Inc. | Methods of forming products with FinFET semiconductor devices without removing fins in certain areas of the product |
KR102236555B1 (ko) * | 2014-11-11 | 2021-04-06 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
KR102217246B1 (ko) | 2014-11-12 | 2021-02-18 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9576801B2 (en) * | 2014-12-01 | 2017-02-21 | Qualcomm Incorporated | High dielectric constant/metal gate (HK/MG) compatible floating gate (FG)/ferroelectric dipole non-volatile memory |
US9679917B2 (en) * | 2014-12-23 | 2017-06-13 | International Business Machines Corporation | Semiconductor structures with deep trench capacitor and methods of manufacture |
US9406676B2 (en) * | 2014-12-29 | 2016-08-02 | Globalfoundries Inc. | Method for forming single diffusion breaks between finFET devices and the resulting devices |
US9876114B2 (en) * | 2014-12-30 | 2018-01-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for 3D FinFET metal gate |
KR102282980B1 (ko) * | 2015-01-05 | 2021-07-29 | 삼성전자주식회사 | 실리사이드를 갖는 반도체 소자 및 그 형성 방법 |
KR102323251B1 (ko) * | 2015-01-21 | 2021-11-09 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 제조방법 |
KR102211254B1 (ko) * | 2015-02-03 | 2021-02-04 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
KR102259917B1 (ko) * | 2015-02-23 | 2021-06-03 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
KR102320820B1 (ko) * | 2015-02-24 | 2021-11-02 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9530646B2 (en) | 2015-02-24 | 2016-12-27 | United Microelectronics Corp. | Method of forming a semiconductor structure |
US9449880B1 (en) * | 2015-02-26 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin patterning methods for increased process margin |
US9847333B2 (en) * | 2015-03-09 | 2017-12-19 | Globalfoundries Inc. | Reducing risk of punch-through in FinFET semiconductor structure |
US9899268B2 (en) * | 2015-03-11 | 2018-02-20 | Globalfoundries Inc. | Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device |
CN106033745B (zh) * | 2015-03-19 | 2020-07-07 | 联华电子股份有限公司 | 半导体元件及其形成方法 |
KR102352153B1 (ko) * | 2015-03-25 | 2022-01-17 | 삼성전자주식회사 | 집적회로 장치 및 이의 제조 방법 |
US9799560B2 (en) | 2015-03-31 | 2017-10-24 | Qualcomm Incorporated | Self-aligned structure |
KR102318410B1 (ko) * | 2015-04-01 | 2021-10-28 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
KR102311929B1 (ko) * | 2015-04-01 | 2021-10-15 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
KR20160125208A (ko) * | 2015-04-21 | 2016-10-31 | 삼성전자주식회사 | 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법 |
US9406775B1 (en) * | 2015-04-27 | 2016-08-02 | Globalfoundries Inc. | Method for creating self-aligned compact contacts in an IC device meeting fabrication spacing constraints |
KR102342079B1 (ko) * | 2015-05-20 | 2021-12-21 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
KR102460718B1 (ko) * | 2015-05-28 | 2022-10-31 | 삼성전자주식회사 | 집적회로 소자 |
US10084085B2 (en) * | 2015-06-11 | 2018-09-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same |
US9553092B2 (en) * | 2015-06-12 | 2017-01-24 | Globalfoundries Inc. | Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs |
US9418897B1 (en) * | 2015-06-15 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap around silicide for FinFETs |
US9355914B1 (en) * | 2015-06-22 | 2016-05-31 | International Business Machines Corporation | Integrated circuit having dual material CMOS integration and method to fabricate same |
TWI664732B (zh) * | 2015-06-23 | 2019-07-01 | 聯華電子股份有限公司 | 半導體結構及製程 |
KR102449901B1 (ko) * | 2015-06-23 | 2022-09-30 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9455317B1 (en) * | 2015-06-24 | 2016-09-27 | International Business Machines Corporation | Nanowire semiconductor device including lateral-etch barrier region |
KR102501128B1 (ko) * | 2015-06-26 | 2023-02-16 | 타호 리서치 리미티드 | 희생 코어 상의 클래딩을 통한 트랜지스터 핀 형성 |
US10651288B2 (en) * | 2015-06-26 | 2020-05-12 | Intel Corporation | Pseudomorphic InGaAs on GaAs for gate-all-around transistors |
US20170022609A1 (en) * | 2015-07-20 | 2017-01-26 | Applied Materials, Inc. | Heteroleptic Diazadiene-Containing Tungsten Precursors for Thin Film Deposition |
US9601495B2 (en) * | 2015-07-30 | 2017-03-21 | Globalfoundries Inc. | Three-dimensional semiconductor device with co-fabricated adjacent capacitor |
US9576980B1 (en) * | 2015-08-20 | 2017-02-21 | International Business Machines Corporation | FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure |
KR102352157B1 (ko) * | 2015-09-01 | 2022-01-17 | 삼성전자주식회사 | 집적회로 소자 |
US9564358B1 (en) * | 2015-09-09 | 2017-02-07 | International Business Machines Corporation | Forming reliable contacts on tight semiconductor pitch |
US9991385B2 (en) * | 2015-09-15 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Enhanced volume control by recess profile control |
CN106531618B (zh) * | 2015-09-15 | 2021-05-18 | 联华电子股份有限公司 | 具有金属栅极结构的半导体元件的功函数调整方法 |
US9911824B2 (en) | 2015-09-18 | 2018-03-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with multi spacer |
US10177240B2 (en) | 2015-09-18 | 2019-01-08 | International Business Machines Corporation | FinFET device formed by a replacement metal-gate method including a gate cut-last step |
US9524911B1 (en) * | 2015-09-18 | 2016-12-20 | Globalfoundries Inc. | Method for creating self-aligned SDB for minimum gate-junction pitch and epitaxy formation in a fin-type IC device |
US9806089B2 (en) * | 2015-09-21 | 2017-10-31 | Sandisk Technologies Llc | Method of making self-assembling floating gate electrodes for a three-dimensional memory device |
US9859392B2 (en) * | 2015-09-21 | 2018-01-02 | Samsung Electronics Co., Ltd. | Integrated circuit device and method of manufacturing the same |
US20170086298A1 (en) * | 2015-09-23 | 2017-03-23 | Tin Poay Chuah | Substrate including structures to couple a capacitor to a packaged device and method of making same |
US9679978B2 (en) * | 2015-09-24 | 2017-06-13 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
EP3353811A4 (en) * | 2015-09-25 | 2019-05-01 | Intel Corporation | RESISTANCE REDUCTION UNDER TRANSISTOR SPACERS |
US10121879B2 (en) * | 2015-09-28 | 2018-11-06 | International Business Machines Corporation | Forming odd number of fins by sidewall imaging transfer |
KR102476356B1 (ko) * | 2015-10-07 | 2022-12-09 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9583600B1 (en) * | 2015-10-08 | 2017-02-28 | United Microelectronics Corp. | Semiconductor device and method for fabricating the same |
DE102015013915A1 (de) | 2015-10-27 | 2017-04-27 | Florian Eichenhofer | Maschinensystem zur Herstellung eines Hybridbauteils |
US9666474B2 (en) * | 2015-10-30 | 2017-05-30 | International Business Machines Corporation | Uniform dielectric recess depth during fin reveal |
US9673331B2 (en) * | 2015-11-02 | 2017-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and formation method of semiconductor device structure |
US9484255B1 (en) * | 2015-11-03 | 2016-11-01 | International Business Machines Corporation | Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts |
US9659930B1 (en) * | 2015-11-04 | 2017-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9728505B2 (en) | 2015-11-16 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and structrues of novel contact feature |
US10020304B2 (en) | 2015-11-16 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US9570571B1 (en) * | 2015-11-18 | 2017-02-14 | International Business Machines Corporation | Gate stack integrated metal resistors |
US9583486B1 (en) * | 2015-11-19 | 2017-02-28 | International Business Machines Corporation | Stable work function for narrow-pitch devices |
US9461044B1 (en) * | 2015-11-30 | 2016-10-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US9793404B2 (en) * | 2015-11-30 | 2017-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicon germanium p-channel FinFET stressor structure and method of making same |
CN106847685A (zh) * | 2015-12-07 | 2017-06-13 | 中芯国际集成电路制造(上海)有限公司 | 高k金属栅晶体管的形成方法 |
US9564428B1 (en) * | 2015-12-15 | 2017-02-07 | International Business Machines Corporation | Forming metal-insulator-metal capacitor |
US9954081B2 (en) * | 2015-12-15 | 2018-04-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US9564446B1 (en) * | 2015-12-16 | 2017-02-07 | International Business Machines Corporation | SRAM design to facilitate single fin cut in double sidewall image transfer process |
WO2017111868A1 (en) | 2015-12-23 | 2017-06-29 | Intel Corporation | Approaches for patterning metal line ends for back end of line (beol) interconnects |
KR102458309B1 (ko) | 2015-12-28 | 2022-10-24 | 삼성전자주식회사 | SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법 |
US9614086B1 (en) * | 2015-12-30 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conformal source and drain contacts for multi-gate field effect transistors |
US9627389B1 (en) * | 2016-01-21 | 2017-04-18 | Globalfoundries Inc. | Methods to form merged spacers for use in fin generation in IC devices |
US10068901B2 (en) * | 2016-01-25 | 2018-09-04 | Samsung Electronics Co., Ltd. | Semiconductor device including transistors with different threshold voltages |
US9536789B1 (en) * | 2016-01-27 | 2017-01-03 | International Business Mashines Corporation | Fin-double-gated junction field effect transistor |
US9876083B2 (en) * | 2016-01-29 | 2018-01-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices, FinFET devices and methods of forming the same |
US9721949B1 (en) * | 2016-01-29 | 2017-08-01 | GlobalFoundries, Inc. | Method of forming super steep retrograde wells on FinFET |
US10068904B2 (en) | 2016-02-05 | 2018-09-04 | Samsung Electronics Co., Ltd. | Semiconductor device |
US9496225B1 (en) * | 2016-02-08 | 2016-11-15 | International Business Machines Corporation | Recessed metal liner contact with copper fill |
US9947788B2 (en) * | 2016-02-09 | 2018-04-17 | Globalfoundries Inc. | Device with diffusion blocking layer in source/drain region |
US9786765B2 (en) * | 2016-02-16 | 2017-10-10 | Globalfoundries Inc. | FINFET having notched fins and method of forming same |
US9972537B2 (en) * | 2016-02-24 | 2018-05-15 | Globalfoundries Inc. | Methods of forming graphene contacts on source/drain regions of FinFET devices |
US9865504B2 (en) * | 2016-03-04 | 2018-01-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US9786502B2 (en) * | 2016-03-10 | 2017-10-10 | United Microelectronics Corp. | Method for forming fin structures for non-planar semiconductor device |
FR3049110B1 (fr) * | 2016-03-21 | 2018-06-15 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de fabrication d'un transistor a effet de champ a capacite parasite reduite |
TWI678732B (zh) * | 2016-03-22 | 2019-12-01 | 聯華電子股份有限公司 | 一種形成半導體鰭狀結構的方法 |
TWI699885B (zh) * | 2016-03-22 | 2020-07-21 | 聯華電子股份有限公司 | 半導體結構與其製作方法 |
TWI612674B (zh) * | 2016-03-24 | 2018-01-21 | 台灣積體電路製造股份有限公司 | 鰭式場效電晶體及其製造方法 |
CN108885974A (zh) * | 2016-03-28 | 2018-11-23 | 英特尔公司 | 用于光刻边缘放置误差提前矫正的对齐节距四等分图案化 |
US10249501B2 (en) | 2016-03-28 | 2019-04-02 | International Business Machines Corporation | Single process for liner and metal fill |
JP6714801B2 (ja) | 2016-03-31 | 2020-07-01 | インテル・コーポレーション | 高分解能のフォトマスク又はレチクル及びその製造方法 |
US20170288041A1 (en) * | 2016-04-05 | 2017-10-05 | Globalfoundries Inc. | Method for forming a doped region in a fin using a variable thickness spacer and the resulting device |
US9685406B1 (en) * | 2016-04-18 | 2017-06-20 | International Business Machines Corporation | Selective and non-selective barrier layer wet removal |
US9755073B1 (en) * | 2016-05-11 | 2017-09-05 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
US10109507B2 (en) * | 2016-06-01 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fluorine contamination control in semiconductor manufacturing process |
US9768077B1 (en) * | 2016-06-02 | 2017-09-19 | International Business Machines Corporation | Low resistance dual liner contacts for Fin Field-Effect Transistors (FinFETs) |
US10204202B2 (en) * | 2016-06-29 | 2019-02-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy fin cell placement in an integrated circuit layout |
US9640540B1 (en) * | 2016-07-19 | 2017-05-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an SRAM circuit |
US10522536B2 (en) * | 2016-08-03 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with gate stacks |
US10332877B2 (en) * | 2016-08-21 | 2019-06-25 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
US10049974B2 (en) * | 2016-08-30 | 2018-08-14 | International Business Machines Corporation | Metal silicate spacers for fully aligned vias |
US10083962B2 (en) * | 2016-09-02 | 2018-09-25 | International Business Machines Corporation | Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition |
US9881918B1 (en) * | 2016-09-30 | 2018-01-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming doped regions in semiconductor strips |
US9741823B1 (en) * | 2016-10-28 | 2017-08-22 | Internation Business Machines Corporation | Fin cut during replacement gate formation |
KR102588209B1 (ko) * | 2016-11-22 | 2023-10-13 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
CN108122852B (zh) * | 2016-11-28 | 2019-11-01 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US10109523B2 (en) * | 2016-11-29 | 2018-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cleaning wafer after CMP |
US10170367B2 (en) * | 2016-11-29 | 2019-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10269569B2 (en) | 2016-11-29 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
CN108122913B (zh) * | 2016-11-30 | 2019-09-27 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
KR102633141B1 (ko) * | 2016-12-07 | 2024-02-02 | 삼성전자주식회사 | 집적회로 소자 |
US10037912B2 (en) * | 2016-12-14 | 2018-07-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10497811B2 (en) * | 2016-12-15 | 2019-12-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US9991131B1 (en) * | 2017-02-27 | 2018-06-05 | Globalfoundries Inc. | Dual mandrels to enable variable fin pitch |
US10177041B2 (en) * | 2017-03-10 | 2019-01-08 | Globalfoundries Inc. | Fin-type field effect transistors (FINFETS) with replacement metal gates and methods |
US10002791B1 (en) * | 2017-04-06 | 2018-06-19 | International Business Machines Corporation | Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS |
US10002795B1 (en) * | 2017-04-12 | 2018-06-19 | International Business Machines Corporation | Method and structure for forming vertical transistors with shared gates and separate gates |
US10186456B2 (en) * | 2017-04-20 | 2019-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming contact plugs with reduced corrosion |
US10707331B2 (en) * | 2017-04-28 | 2020-07-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device with a reduced width |
KR102221220B1 (ko) * | 2017-05-24 | 2021-03-03 | 삼성전자주식회사 | 반도체 장치 |
US10037919B1 (en) * | 2017-05-31 | 2018-07-31 | Globalfoundries Inc. | Integrated single-gated vertical field effect transistor (VFET) and independent double-gated VFET |
US10644134B2 (en) * | 2017-05-31 | 2020-05-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate formation with varying work function layers |
US10109531B1 (en) * | 2017-06-08 | 2018-10-23 | United Microelectronics Corp. | Semiconductor structure having a bump lower than a substrate base and a width of the bump larger than a width of fin shaped structures, and manufacturing method thereof |
US9911736B1 (en) * | 2017-06-14 | 2018-03-06 | Globalfoundries Inc. | Method of forming field effect transistors with replacement metal gates and contacts and resulting structure |
KR102519551B1 (ko) * | 2017-08-03 | 2023-04-10 | 삼성전자주식회사 | 반도체 소자 |
US10515952B2 (en) * | 2017-08-04 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure and method for forming the same |
US10403742B2 (en) * | 2017-09-22 | 2019-09-03 | Globalfoundries Inc. | Field-effect transistors with fins formed by a damascene-like process |
CN109599336B (zh) * | 2017-09-30 | 2021-05-04 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US10290544B2 (en) * | 2017-10-10 | 2019-05-14 | Globalfoundries Inc. | Methods of forming conductive contact structures to semiconductor devices and the resulting structures |
CN109712934B (zh) * | 2017-10-26 | 2021-06-22 | 联华电子股份有限公司 | 一种制作半导体元件的方法 |
US10707133B2 (en) * | 2017-11-30 | 2020-07-07 | Intel Corporation | Trench plug hardmask for advanced integrated circuit structure fabrication |
US11462436B2 (en) * | 2017-11-30 | 2022-10-04 | Intel Corporation | Continuous gate and fin spacer for advanced integrated circuit structure fabrication |
US20190164890A1 (en) * | 2017-11-30 | 2019-05-30 | Intel Corporation | Pitch-divided interconnects for advanced integrated circuit structure fabrication |
US10796968B2 (en) * | 2017-11-30 | 2020-10-06 | Intel Corporation | Dual metal silicide structures for advanced integrated circuit structure fabrication |
US10756204B2 (en) * | 2017-11-30 | 2020-08-25 | Intel Corporation | Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication |
US10734379B2 (en) * | 2017-11-30 | 2020-08-04 | Intel Corporation | Fin end plug structures for advanced integrated circuit structure fabrication |
US10796951B2 (en) * | 2017-11-30 | 2020-10-06 | Intel Corporation | Etch-stop layer topography for advanced integrated circuit structure fabrication |
DE102018126911A1 (de) * | 2017-11-30 | 2019-06-06 | Intel Corporation | Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung |
US10460993B2 (en) * | 2017-11-30 | 2019-10-29 | Intel Corporation | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication |
US10243053B1 (en) * | 2018-01-22 | 2019-03-26 | Globalfoundries Inc. | Gate contact structure positioned above an active region of a transistor device |
-
2017
- 2017-12-29 US US15/859,327 patent/US10460993B2/en active Active
- 2017-12-29 US US15/859,325 patent/US11411095B2/en active Active
- 2017-12-29 EP EP22217359.3A patent/EP4220719A3/en active Pending
- 2017-12-29 US US15/859,286 patent/US10930753B2/en active Active
- 2017-12-29 KR KR1020207012600A patent/KR20200083981A/ko not_active Application Discontinuation
- 2017-12-29 US US16/647,865 patent/US11881520B2/en active Active
- 2017-12-29 KR KR1020227046027A patent/KR20230006054A/ko not_active Application Discontinuation
- 2017-12-29 WO PCT/US2017/069131 patent/WO2019108237A1/en unknown
- 2017-12-29 CN CN201780095404.5A patent/CN111194482A/zh active Pending
- 2017-12-29 CN CN202211731307.XA patent/CN115831969A/zh active Pending
- 2017-12-29 EP EP17933856.1A patent/EP3718142A4/en active Pending
- 2017-12-30 US US15/859,416 patent/US10777655B2/en active Active
- 2017-12-30 US US15/859,352 patent/US10304940B1/en active Active
- 2017-12-30 US US15/859,356 patent/US10727313B2/en active Active
- 2017-12-30 US US15/859,410 patent/US10957782B2/en active Active
- 2017-12-30 US US15/859,353 patent/US10121882B1/en active Active
- 2017-12-30 US US15/859,412 patent/US10541316B2/en active Active
- 2017-12-30 US US15/859,354 patent/US10121875B1/en active Active
- 2017-12-30 US US15/859,418 patent/US10818774B2/en active Active
- 2017-12-30 US US15/859,355 patent/US10741669B2/en active Active
-
2018
- 2018-10-03 TW TW107134923A patent/TWI808100B/zh active
- 2018-10-05 TW TW107135226A patent/TWI835754B/zh active
- 2018-10-05 TW TW107135184A patent/TWI797169B/zh active
- 2018-10-05 TW TW111150109A patent/TWI836816B/zh active
- 2018-10-09 TW TW107135551A patent/TWI802598B/zh active
- 2018-10-09 TW TW107135549A patent/TWI790294B/zh active
- 2018-10-11 TW TW107135757A patent/TWI797172B/zh active
- 2018-10-11 TW TW111149706A patent/TW202315052A/zh unknown
- 2018-10-11 TW TW107135752A patent/TW201935660A/zh unknown
- 2018-10-15 TW TW107136183A patent/TWI781235B/zh active
- 2018-10-17 JP JP2018195551A patent/JP7272776B2/ja active Active
- 2018-10-23 KR KR1020180126705A patent/KR20190064426A/ko not_active Application Discontinuation
- 2018-10-25 US US16/170,840 patent/US11011616B2/en active Active
- 2018-10-25 KR KR1020180128213A patent/KR20190064429A/ko active IP Right Grant
- 2018-10-25 US US16/170,600 patent/US10790378B2/en active Active
- 2018-10-25 KR KR1020180128307A patent/KR20190064430A/ko not_active Application Discontinuation
- 2018-10-26 KR KR1020180128976A patent/KR20190064432A/ko active IP Right Grant
- 2018-10-26 KR KR1020180129027A patent/KR102523128B1/ko active IP Right Grant
- 2018-10-30 EP EP18203572.5A patent/EP3514826A3/en active Pending
- 2018-10-30 EP EP18203569.1A patent/EP3493249B1/en active Active
- 2018-10-30 EP EP22217015.1A patent/EP4181213A1/en active Pending
- 2018-10-30 EP EP23220365.3A patent/EP4328973A3/en active Pending
- 2018-10-30 DE DE102018127129.8A patent/DE102018127129A1/de active Pending
- 2018-10-31 CN CN201811297814.0A patent/CN109860176A/zh active Pending
- 2018-10-31 CN CN201811297815.5A patent/CN109860187A/zh active Pending
- 2018-10-31 CN CN201811297813.6A patent/CN109860186A/zh active Pending
- 2018-10-31 CN CN201811297672.8A patent/CN109860185A/zh active Pending
- 2018-10-31 CN CN201811298443.8A patent/CN109860177A/zh active Pending
- 2018-10-31 CN CN202110664368.8A patent/CN113410233A/zh active Pending
- 2018-11-05 CN CN202211681709.3A patent/CN115732568A/zh active Pending
- 2018-11-05 CN CN201811306690.8A patent/CN109860151A/zh active Pending
- 2018-11-05 CN CN201811306717.3A patent/CN109860178A/zh active Pending
- 2018-11-05 CN CN201811307013.8A patent/CN109860141A/zh active Pending
- 2018-11-05 CN CN201811307041.XA patent/CN109860182A/zh active Pending
- 2018-11-05 CN CN201811307015.7A patent/CN109860181A/zh active Pending
- 2018-11-05 CN CN201811306761.4A patent/CN109860180A/zh active Pending
- 2018-11-05 CN CN201811306753.XA patent/CN109860179A/zh active Pending
-
2019
- 2019-04-16 US US16/386,202 patent/US10615265B2/en active Active
- 2019-07-11 US US16/509,395 patent/US11088261B2/en active Active
- 2019-08-09 US US16/537,020 patent/US10854731B2/en active Active
- 2019-09-20 US US16/577,993 patent/US10777656B2/en active Active
- 2019-12-03 US US16/701,625 patent/US11031487B2/en active Active
-
2020
- 2020-06-22 US US16/908,468 patent/US10854732B2/en active Active
- 2020-07-01 US US16/918,816 patent/US11342445B2/en active Active
- 2020-07-10 US US16/925,573 patent/US11063133B2/en active Active
- 2020-08-24 US US17/000,615 patent/US10886383B2/en active Active
- 2020-09-21 US US17/027,568 patent/US11404559B2/en active Active
- 2020-10-26 US US17/080,713 patent/US11955532B2/en active Active
- 2020-10-26 US US17/080,694 patent/US11581419B2/en active Active
- 2020-11-20 US US17/100,689 patent/US11482611B2/en active Active
-
2021
- 2021-01-15 US US17/151,083 patent/US11640985B2/en active Active
- 2021-03-29 US US17/216,550 patent/US20210217877A1/en active Pending
- 2021-04-09 US US17/227,165 patent/US11581420B2/en active Active
- 2021-04-16 US US17/233,063 patent/US11646359B2/en active Active
- 2021-04-28 US US17/243,476 patent/US11664439B2/en active Active
- 2021-06-03 KR KR1020210072211A patent/KR20210069612A/ko not_active Application Discontinuation
-
2022
- 2022-12-23 US US18/088,461 patent/US20230131757A1/en active Pending
- 2022-12-23 US US18/088,466 patent/US20230126174A1/en active Pending
-
2023
- 2023-04-17 US US18/135,624 patent/US11948997B2/en active Active
- 2023-04-27 JP JP2023072827A patent/JP7525241B2/ja active Active
-
2024
- 2024-01-18 US US18/416,508 patent/US20240162332A1/en active Pending
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130285141A1 (en) | 2012-01-24 | 2013-10-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-Gate Devices with Replaced-Channels and Methods for Forming the Same |
JP2014232873A (ja) | 2013-05-02 | 2014-12-11 | 富士フイルム株式会社 | エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法 |
US20140346605A1 (en) | 2013-05-24 | 2014-11-27 | GlobalFoundries, Inc. | Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
US20150311342A1 (en) | 2014-04-23 | 2015-10-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Finfet with esd protection |
US20160149036A1 (en) | 2014-11-25 | 2016-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain structure and manufacturing the same |
US20160247805A1 (en) | 2015-02-05 | 2016-08-25 | Globalfoundries Inc. | Method of forming a complementary metal oxide semiconductor structure with n-type and p-type field effect transistors having symmetric source/drain junctions and optional dual silicides |
US20160359008A1 (en) | 2015-06-08 | 2016-12-08 | Samsung Electronics Co., Ltd. | Method of manufacturing a semiconductor device |
US20170033106A1 (en) | 2015-07-31 | 2017-02-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Multi-threshold voltage field effect transistor and manufacturing method thereof |
US20170077031A1 (en) | 2015-09-16 | 2017-03-16 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7272776B2 (ja) | 集積回路構造または集積回路構造を製造する方法 | |
US10985267B2 (en) | Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication | |
US11437285B2 (en) | Trench plug hardmask for advanced integrated circuit structure fabrication | |
US11508626B2 (en) | Dual metal silicide structures for advanced integrated circuit structure fabrication | |
EP3493270A1 (en) | Continuous gate and fin spacer for advanced integrated circuit structure fabrication | |
EP3493256A2 (en) | Pitch-divided interconnects for advanced integrated circuit structure fabrication | |
US11322601B2 (en) | Gate cut and fin trim isolation for advanced integrated circuit structure fabrication | |
US20220262795A1 (en) | Fin end plug structures for advanced integrated circuit structure fabrication | |
EP3493247A1 (en) | Etch-stop layer topography for advanced integrated circuit structure fabrication | |
KR20230054642A (ko) | 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들 | |
TW202425290A (zh) | 用於先進積體電路結構製造之互連線的插塞 | |
TWI835515B (zh) | 用於先進積體電路結構製造的主動閘極結構上方的接觸 | |
TWI817576B (zh) | 用於先進積體電路結構製造之異質金屬線組成 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20211008 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20221025 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20221027 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20221201 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20230328 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20230427 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7272776 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |