JP2019102797A - 次世代型集積回路構造製造のためのトレンチコンタクト構造 - Google Patents

次世代型集積回路構造製造のためのトレンチコンタクト構造 Download PDF

Info

Publication number
JP2019102797A
JP2019102797A JP2018195551A JP2018195551A JP2019102797A JP 2019102797 A JP2019102797 A JP 2019102797A JP 2018195551 A JP2018195551 A JP 2018195551A JP 2018195551 A JP2018195551 A JP 2018195551A JP 2019102797 A JP2019102797 A JP 2019102797A
Authority
JP
Japan
Prior art keywords
fin
gate
layer
gate electrode
present disclosure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018195551A
Other languages
English (en)
Other versions
JP7272776B2 (ja
Inventor
エム. ジョシ サブハッシュ
M Joshi Subhash
エム. ジョシ サブハッシュ
エス. レイブ ジェフリー
S Leib Jeffrey
エス. レイブ ジェフリー
エル. ハッテンドーフ マイケル
L Hattendorf Michael
エル. ハッテンドーフ マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2019102797A publication Critical patent/JP2019102797A/ja
Priority to JP2023072827A priority Critical patent/JP2023099087A/ja
Application granted granted Critical
Publication of JP7272776B2 publication Critical patent/JP7272776B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Bipolar Transistors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】10ナノメートルノード及びより小さい集積回路構造の製造並びに結果として生じる構造を提供する。【解決手段】集積回路構造3900は、N型半導体デバイスとP型半導体デバイスを含む。半導体ソース又はドレイン領域3958、はゲート電極3952に隣接し、半導体ソース又はドレイン領域3910はゲート電極3902に隣接する。トレンチコンタクト構造3970は、半導体ソース又はドレイン領域3958の上方にあり、トレンチコンタクト構造3930は、半導体ソース又はドレイン領域3910の上方にあり、トレンチコンタクト構造3970及びトレンチコンタクト構造3930は、両方ともU字形金属層3916及びU字形金属層3916全体の上及び上方にあるT字形金属層3918を含む。【選択図】図39H

Description

[関連出願の相互参照]
本出願は、2017年11月30日に出願された、「次世代型集積回路構造製造」と題される米国仮出願第62/593,149号の恩恵を主張し、その内容全体は、参照によって本明細書に組み込まれる。
本開示の実施形態は、次世代型集積回路構造製造の分野に関連し、特に、10ナノメートルノード、及び、より小さい集積回路構造の製造、並びに、結果として生じる構造に関連する。
過去数十年にわたり、集積回路におけるフィーチャのスケーリングは、成長を続ける半導体産業を後押しする原動力であった。ますます微細なフィーチャへとスケーリングすることは、半導体チップの限定された面積上において機能ユニットの密度増加を可能にする。例えば、トランジスタのサイズを縮小することにより、より多くの数のメモリ又はロジックデバイスをチップ上に組み込みことが可能となり、容量を増大させた製品の製造をもたらす。しかしながら、これまで以上の容量に向かうことには問題が無いわけではない。各デバイスの性能を最適化する必要性がますます顕著になっている。
従来の、及び、現在の公知の製造プロセスにおける変動性により、10ナノメートルノード又はサブ10ナノメートルノードの範囲まで更に進める可能性が制限され得る。結果的に、将来のテクノロジーノードに必要な機能コンポーネントの製造には、新しい方法の導入、又は、現在の製造プロセスへの新しい技術の統合、又は、現在の製造プロセスの置き換えが必要と成り得る。
層間誘電体(ILD)層上に形成されるハードマスク材料層の、堆積後であってパターニング前の初期構造の断面図を示す。
ピッチ2分割によるハードマスク層のパターニング後の図1Aの構造の断面図を示す。
本開示の一実施形態に係る半導体フィンの製造に使用されるピッチ4分割アプローチの模式図である。
本開示の一実施形態に係る、ピッチ4分割アプローチを使用して製造された半導体フィンの断面図を示す。
本開示の一実施形態に係る、半導体フィンを製造するために使用される一体化フィンピッチ4分割アプローチの模式図である。
本開示の一実施形態に係る一体化フィンピッチ4分割アプローチを使用して製造される半導体フィンの断面図を示す。
本開示の一実施形態に係る、複数の半導体フィンを製造する方法における様々な工程を表す断面図である。 本開示の一実施形態に係る、複数の半導体フィンを製造する方法における様々な工程を表す断面図である。 本開示の一実施形態に係る、複数の半導体フィンを製造する方法における様々な工程を表す断面図である。
本開示の一実施形態に係る、3層トレンチ分離構造によって隔てられる一対の半導体フィンの断面図を示す。
本開示の別の実施形態に係る、別の3層トレンチ分離構造によって隔てられる別の一対の半導体フィンの断面図を示す。
本開示の一実施形態に係る、3層トレンチ分離構造の製造における様々な工程の断面図を示す。 本開示の一実施形態に係る、3層トレンチ分離構造の製造における様々な工程の断面図を示す。 本開示の一実施形態に係る、3層トレンチ分離構造の製造における様々な工程の断面図を示す。 本開示の一実施形態に係る、3層トレンチ分離構造の製造における様々な工程の断面図を示す。
本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程の斜視3次元断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程の斜視3次元断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程の斜視3次元断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程の斜視3次元断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程の斜視3次元断面図を示す。
本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。 本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。
本開示の一実施形態に係る、恒久的なゲートスタックと、エピタキシャルソース又はドレイン領域とを含む集積回路構造についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。
本開示の一実施形態に係る、エピタキシャルソース又はドレイン領域と、多層トレンチ分離構造とを含む集積回路構造についての、図7Eの軸b‐b'に沿って切断した断面図を示す。
本開示の一実施形態に係る、ソース又はドレイン位置で切断した、集積回路構造の断面図を示す。
本開示の一実施形態に係る、ソース又はドレイン位置で切断した、別の集積回路構造の断面図を示す。
本開示の一実施形態に係る、集積回路構造の製造における様々な工程を表す、ソース又はドレイン位置で切断した断面図を示す。 本開示の一実施形態に係る、集積回路構造の製造における様々な工程を表す、ソース又はドレイン位置で切断した断面図を示す。 本開示の一実施形態に係る、集積回路構造の製造における様々な工程を表す、ソース又はドレイン位置で切断した断面図を示す。 本開示の一実施形態に係る、集積回路構造の製造における様々な工程を表す、ソース又はドレイン位置で切断した断面図を示す。
本開示の一実施形態に係る、局所的分離構造を形成するためのマルチゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。 本開示の一実施形態に係る、局所的分離構造を形成するためのマルチゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。
本開示の別の実施形態に係る、局所的分離構造を形成するための単一ゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。 本開示の別の実施形態に係る、局所的分離構造を形成するための単一ゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。 本開示の別の実施形態に係る、局所的分離構造を形成するための単一ゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。 本開示の別の実施形態に係る、局所的分離構造を形成するための単一ゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。
本開示の一実施形態に係る、局所的分離のためのマルチゲート間隔を有するフィンを備える集積回路構造の断面図を示す。
本開示の別の実施形態に係る、局所的分離のための単一ゲート間隔を有するフィンを備える集積回路構造の断面図を示す。
本開示の一実施形態に係る、ゲート電極に代わってフィン分離構造が形成され得る位置を示す断面図を示す。
本開示の一実施形態に係る、フィントリムアイソレーションのアプローチを使用して製造されるフィンカットについてのあり得る様々な深度を示す。 本開示の一実施形態に係る、フィントリムアイソレーションのアプローチを使用して製造されるフィンカットについてのあり得る様々な深度を示す。 本開示の一実施形態に係る、フィントリムアイソレーションのアプローチを使用して製造されるフィンカットについてのあり得る様々な深度を示す。
本開示の一実施形態に係る、フィン内のフィンカットの局所的、及び、幅広い位置の深度についての可能な選択肢を示す平面図と、軸a‐a'に沿って切断された対応する断面図とを示す。
本開示の一実施形態に係る、幅広いカットを有するフィンの端部におけるフィン端部ストレッサ位置を選択する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、幅広いカットを有するフィンの端部におけるフィン端部ストレッサ位置を選択する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、局所的カットを有するフィンの端部におけるフィン端部ストレッサ位置を選択する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、局所的カットを有するフィンの端部におけるフィン端部ストレッサ位置を選択する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、PMOSフィン端部ストレッサ誘電体プラグの例示的構造の断面図を示す。 本開示の一実施形態に係る、PMOSフィン端部ストレッサ誘電体プラグの例示的構造の断面図を示す。 本開示の一実施形態に係る、PMOSフィン端部ストレッサ誘電体プラグの例示的構造の断面図を示す。 本開示の一実施形態に係る、PMOSフィン端部ストレッサ誘電体プラグの例示的構造の断面図を示す。
本開示の別の実施形態に係る、フィン端部応力誘起フィーチャを有する別の半導体構造の断面図を示す。
は、本開示の別の実施形態に係る、フィン端部応力誘起フィーチャを有する別の半導体構造の断面図を示す。
本開示の一実施形態に係る、単軸引張応力を有するフィンの斜視図を示す。
本開示の一実施形態に係る、圧縮単軸応力を有するフィンの斜視図を示す。
本開示の一実施形態に係る、選択ゲート線カット位置において局所的分離構造を形成するべく、単一ゲート間隔でフィンをパターニングする方法における様々な工程を表す平面図を示す。 本開示の一実施形態に係る、選択ゲート線カット位置において局所的分離構造を形成するべく、単一ゲート間隔でフィンをパターニングする方法における様々な工程を表す平面図を示す。
本開示の一実施形態に係る、図25Bの構造の様々な領域についての、ポリカット及びフィントリムアイソレーション(FTI)局所的フィンカットの位置、並びに、ポリカットだけの位置のための誘電体プラグの様々な可能性の断面図を示す。 本開示の一実施形態に係る、図25B の構造の様々な領域についての、ポリカット及びフィントリムアイソレーション(FTI)局所的フィンカットの位置、並びに、ポリカットだけの位置のための誘電体プラグの様々な可能性の断面図を示す。 本開示の一実施形態に係る、図25B の構造の様々な領域についての、ポリカット及びフィントリムアイソレーション(FTI)局所的フィンカットの位置、並びに、ポリカットだけの位置のための誘電体プラグの様々な可能性の断面図を示す。
本開示の一実施形態に係る、ゲート線の誘電体スペーサの中に延びる誘電体プラグを有するゲート線カットを備える集積回路構造の平面図及び対応する断面図を示す。
本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる誘電体プラグを有するゲート線カットを備える集積回路構造の平面図及び対応する断面図を示す。
本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、恒久的なゲートスタックの底部の一部に残留ダミーゲート材料を備える集積回路構造の平面図、及び、対応する断面図を示す。 本開示の一実施形態に係る、恒久的なゲートスタックの底部の一部に残留ダミーゲート材料を備える集積回路構造の平面図、及び、対応する断面図を示す。 本開示の一実施形態に係る、恒久的なゲートスタックの底部の一部に残留ダミーゲート材料を備える集積回路構造の平面図、及び、対応する断面図を示す。
本開示の別の実施形態に係る、恒久的なゲートスタックの底部の一部において残留ダミーゲート材料を備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、恒久的なゲートスタックの底部の一部において残留ダミーゲート材料を備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、恒久的なゲートスタックの底部の一部において残留ダミーゲート材料を備える集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、恒久的なゲートスタックの底部の一部において残留ダミーゲート材料を備える集積回路構造を製造する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、強誘電体又は反強誘電体ゲート誘電体構造を有する半導体デバイスの断面図を示す。
本開示の別の実施形態に係る、強誘電体又は反強誘電体ゲート誘電体構造を有する別の半導体デバイスの断面図を示す。
本開示の一実施形態に係る、一対の半導体フィンの上方にある複数のゲート線の平面図を示す。
本開示の一実施形態に係る、図32Aの軸a‐a'に沿って切断された断面図を示す。
本開示の一実施形態に係る、調節されたドーピングに基づいて差異化された電圧閾値を有する一対のNMOSデバイスと、調節されたドーピングに基づいて差異化された電圧閾値を有する一対のPMOSデバイスとを有する断面図を示す。
本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有する一対のNMOSデバイス、及び、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有する一対のPMOSデバイスの断面図を示す。
本開示の一実施形態に係る、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するNMOSデバイスのトリプレットと、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するPMOSデバイスのトリプレットとの断面図を示す。
本開示の別の実施形態に係る、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するNMOSデバイスのトリプレットと、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するPMOSデバイスのトリプレットとの断面図を示す。
本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するNMOSデバイスを製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するNMOSデバイスを製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するNMOSデバイスを製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するNMOSデバイスを製造する方法における様々な工程の断面図を示す。
本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するPMOSデバイスを製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するPMOSデバイスを製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するPMOSデバイスを製造する方法における様々な工程の断面図を示す。 本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するPMOSデバイスを製造する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、P/N接合を備える集積回路構造の断面図を示す。
本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。 本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。
本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。
本開示の一実施形態に係る、NMOSデバイスのためのトレンチコンタクトを備える集積回路構造の断面図を示す。
本開示の別の実施形態に係る、PMOSデバイスのためのトレンチコンタクトを備える集積回路構造の断面図を示す。
本開示の一実施形態に係る、ソース又はドレイン領域上に導電性コンタクトを有する半導体デバイスの断面図を示す。
本開示の一実施形態に係る、隆起したソース又はドレイン領域上に導電性コンタクトを有する別の半導体デバイスの断面図を示す。
本開示の一実施形態に係る、一対の半導体フィンの上方の複数のゲート線の平面図を示す。
は、本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図42の軸a‐a'に沿って切断された断面図を示す。 は、本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図42の軸a‐a'に沿って切断された断面図を示す。 は、本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図42の軸a‐a'に沿って切断された断面図を示す。
本開示の一実施形態に係る、集積回路構造についての、図42の軸b‐b'に沿って切断された断面図を示す。
本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造の平面図を示す。 本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造の平面図に対応する断面図を示す。
本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。
ゲート電極の非活性部分の上方に配置されたゲートコンタクトを有する半導体デバイスの平面図を示す。 ゲート電極の非活性部分の上方に配置されたゲートコンタクトを有する非プレーナ型半導体デバイスの断面図を示す。
本開示の一実施形態に係る、ゲート電極の活性部分の上方に配置されたゲートコンタクトビアを有する半導体デバイスの平面図を示す。 本開示の一実施形態に係る、ゲート電極の活性部分の上方に配置されるゲートコンタクトビアを有する非プレーナ型半導体デバイスの断面図を示す。
本開示の一実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクト構造を有する半導体構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクト構造を有する半導体構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクト構造を有する半導体構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクト構造を有する半導体構造を製造する方法における様々な工程を表す断面図を示す。
本開示の一実施形態に係る、上層絶縁キャップ層を含むトレンチコンタクトを備える集積回路構造の平面図及び対応する断面図を示す。
本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。 本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。 本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。 本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。 本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。 本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。
本開示の別の実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクトビアを有する別の半導体デバイスの平面図を示す。
本開示の別の実施形態に係る、一対のトレンチコンタクトを結合するトレンチコンタクトビアを有する別の半導体デバイスの平面図を示す。
本開示の一実施形態に係る、上層絶縁キャップ層を有するゲートスタックを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、上層絶縁キャップ層を有するゲートスタックを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、上層絶縁キャップ層を有するゲートスタックを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、上層絶縁キャップ層を有するゲートスタックを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。 本開示の一実施形態に係る、上層絶縁キャップ層を有するゲートスタックを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。
本開示の一実施形態に係る、インターコネクト構造のためのトレンチを製造するために使用されるピッチ4分割アプローチの模式図である。
本開示の一実施形態に係る、ピッチ4分割スキームを使用して製造されるメタライゼーション層の断面図を示す。
本開示の一実施形態に係る、ピッチ4分割スキームを使用して製造されたメタライゼーション層より上で、ピッチ2分割スキームを使用して製造されたメタライゼーション層の断面図を示す。
本開示の一実施形態に係る、金属線組成を有するメタライゼーション層より上の、異なる金属線組成を有するメタライゼーション層を備える集積回路構造の断面図を示す。
本開示の一実施形態に係る、異なる金属線組成を有するメタライゼーション層に結合された金属線組成を有するメタライゼーション層を備える集積回路構造の断面図を示す。
本開示の一実施形態に係る、様々なライナ及び導電性キャッピング構造配置を有する個々のインターコネクト線の断面図を示す。 本開示の一実施形態に係る、様々なライナ及び導電性キャッピング構造配置を有する個々のインターコネクト線の断面図を示す。 本開示の一実施形態に係る、様々なライナ及び導電性キャッピング構造配置を有する個々のインターコネクト線の断面図を示す。
本開示の一実施形態に係る、異なる金属線組成及びより小さピッチを有する2つのメタライゼーション層より上の、金属線組成及びピッチを有する4つのメタライゼーション層を備える集積回路構造の断面図を示す。
本開示の一実施形態に係る、底部伝導層を有するビア構成としての様々なインターコネクト線の断面図を示す。 本開示の一実施形態に係る、底部伝導層を有するビア構成としての様々なインターコネクト線の断面図を示す。 本開示の一実施形態に係る、底部伝導層を有するビア構成としての様々なインターコネクト線の断面図を示す。 本開示の一実施形態に係る、底部伝導層を有するビア構成としての様々なインターコネクト線の断面図を示す。
本開示の一実施形態に係る、BEOLメタライゼーション層の凹設線トポグラフィの構造配置の断面図を示す。 本開示の一実施形態に係る、BEOLメタライゼーション層の凹設線トポグラフィの構造配置の断面図を示す。 本開示の一実施形態に係る、BEOLメタライゼーション層の凹設線トポグラフィの構造配置の断面図を示す。 本開示の一実施形態に係る、BEOLメタライゼーション層の凹設線トポグラフィの構造配置の断面図を示す。
本開示の一実施形態に係る、BEOLメタライゼーション層の階段状折れ線トポグラフィの構造配置の断面図を示す。 本開示の一実施形態に係る、BEOLメタライゼーション層の階段状折れ線トポグラフィの構造配置の断面図を示す。 本開示の一実施形態に係る、BEOLメタライゼーション層の階段状折れ線トポグラフィの構造配置の断面図を示す。 本開示の一実施形態に係る、BEOLメタライゼーション層の階段状折れ線トポグラフィの構造配置の断面図を示す。
本開示の一実施形態に係る、メタライゼーション層の平面図の軸a‐a'に沿って切断したときの平面図及び対応する断面図を示す。
本開示の一実施形態に係る、ライン端又はプラグの断面図を示す。
本開示の一実施形態に係る、ライン端又はプラグの別の断面図を示す。
本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。 本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。 本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。 本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。 本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。 本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。
本開示の一実施形態に係る、その中にシームを有する導電線プラグの断面図を示す。
本開示の一実施形態に係る、より低い金属線位置にある、導電線プラグを含むメタライゼーション層のスタックの断面図を示す。
メモリセルのためのセルレイアウトの第1ビューを示す。
本開示の一実施形態に係る、内部ノードジャンパを有するメモリセルについてのセルレイアウトの第1ビューを示す。
メモリセルのためのセルレイアウトの第2ビューを示す。
本開示の一実施形態に係る、内部ノードジャンパを有するメモリセルのためのセルレイアウトの第2ビューを示す。
メモリセルのためのセルレイアウトの第3ビューを示す。
本開示の一実施形態に係る、内部ノードジャンパを有するメモリセルのためのセルレイアウトの第3ビューを示す。
本開示の一実施形態に係る、6トランジスタ(6T)スタティックランダムアクセスメモリSRAMのビットセルレイアウト及び模式図を示す。 本開示の一実施形態に係る、6トランジスタ(6T)スタティックランダムアクセスメモリSRAMのビットセルレイアウト及び模式図を示す。
本開示の一実施形態に係る、同一のスタンダードセルについての、2つの異なるレイアウトの断面図を示す。
本開示の一実施形態に係る、偶数(E)又は奇数(O)の表記を示す、4つの異なるセル構成の平面図を示す。
本開示の一実施形態に係る、ブロックレベルポリグリッドの平面図を示す。
本開示の一実施形態に係る、異なるバージョンを有するスタンダードセルに基づく例示的な許容される(合格)レイアウトを示す。
本開示の一実施形態に係る、異なるバージョンを有するスタンダードセルに基づく例示的な許容されない(不合格)レイアウトを示す。
本開示の一実施形態に係る、異なるバージョンを有するスタンダードセルに基づく、別の例示的な許容される(合格)レイアウトを示す。
フィンベース薄膜抵抗器構造の部分的にカットされた平面図、及び、対応する断面図を示し、断面図は、本開示の一実施形態に係る、部分的にカットされた平面図の軸a‐a'に沿って切断される。
本開示の一実施形態に係る、フィンベース薄膜抵抗器構造を製造する方法における様々な工程を表す平面図、及び、対応する断面図を示す。 本開示の一実施形態に係る、フィンベース薄膜抵抗器構造を製造する方法における様々な工程を表す平面図、及び、対応する断面図を示す。 本開示の一実施形態に係る、フィンベース薄膜抵抗器構造を製造する方法における様々な工程を表す平面図、及び、対応する断面図を示す。 本開示の一実施形態に係る、フィンベース薄膜抵抗器構造を製造する方法における様々な工程を表す平面図、及び、対応する断面図を示す。 本開示の一実施形態に係る、フィンベース薄膜抵抗器構造を製造する方法における様々な工程を表す平面図、及び、対応する断面図を示す。
本開示の一実施形態に係る、陽極又は陰極電極コンタクトについての様々な例示的位置を有するフィンベース薄膜抵抗器構造の平面図を示す。
本開示の一実施形態に係る、フィンベース高精度抵抗器を製造するための様々なフィン形状の平面図を示す。 本開示の一実施形態に係る、フィンベース高精度抵抗器を製造するための様々なフィン形状の平面図を示す。 本開示の一実施形態に係る、フィンベース高精度抵抗器を製造するための様々なフィン形状の平面図を示す。 本開示の一実施形態に係る、フィンベース高精度抵抗器を製造するための様々なフィン形状の平面図を示す。
本開示の一実施形態に係るリソグラフィマスク構造の断面図を示す。
本開示の一実施例に係るコンピューティングデバイスを示す。
本開示の1又は複数の実施形態を含むインターポーザを示す。
本開示の一実施形態に係る、本明細書において説明される、又は、本明細書において説明される1又は複数の特徴を含む、1又は複数のプロセスに従って製造されたICを利用するモバイルコンピューティングプラットフォームの等角図である。
本開示の一実施形態に係る、フリップチップがマウントされたダイの断面図を示す。
次世代型集積回路構造製造について説明する。以下の説明において、本開示の実施形態の十分な理解を提供すべく、具体的な統合及び材料のレジームなど、多数の具体的な詳細が説明される。当業者には、本開示の実施形態がこれらの具体的な詳細なしに実践され得ることは明らかであろう。他の例において、本開示の実施形態を不必要に不明瞭としないようにするべく、集積回路設計レイアウトなどのよく知られている特徴は、詳細には説明されていない。更に、図面に示される様々な実施形態は例示的に表現したものであって、必ずしも原寸に比例して描かれてはいないことが理解されるべきである。
以下の「発明を実施するための形態」は、本質的に例示に過ぎず、主題の実施形態又はそのような実施形態の適用及び使用を限定することは意図されていない。本明細書で使用されるとき、「例示的(exemplary)」という語は、「実施例、実例、又は例示としての役割を果たすこと」を意味する。本明細書で例示的として説明される実装形態はいずれも、必ずしも他の実装形態よりも好ましいか又は有利なものとして解釈されるべきではない。更には、上述の「技術分野」、「背景技術」、「発明の概要」、又は以下の「発明を実施するための形態」で提示される、いかなる明示的又は黙示的な理論によっても、束縛されることを意図するものではない。
本明細書は、「一実施形態(one embodiment)」又は「実施形態(an embodiment)」への言及を含む。「一実施形態において(in one embodiment)」又は「実施形態において(in an embodiment)」という語句の出現は、必ずしも、同一の実施形態を指すものではない。特定の特徴、構造、又は特性は、本開示と矛盾しない任意の好適な方式で組み合わせられ得る。
[用語]
以下の段落は、本開示(添付の特許請求の範囲を含む)に記載されている用語についての定義又は文脈を提供する。
「含む」‐この用語は、オープンエンドである。この用語が添付の特許請求の範囲において使用される場合、追加の構造又は工程を除外しない。
「ように構成される」‐様々なユニット又はコンポーネントは、1又は複数のタスクを実行する「ように構成される」として、説明又は特許請求され得る。そのような文脈において、「ように構成される」は、ユニット又はコンポーネントが、動作中に1又は複数のタスクを実行する構造を含むことを示すことによって、構造を暗示するために使用される。従って、ユニット又はコンポーネントは、指定されたユニット又はコンポーネントが現在動作していない(例えば、起動していない、又は、アクティブでない)ときでも、タスクを実行するように構成されていると言うことができる。ユニット又は回路又はコンポーネントが、1又は複数のタスクを実行する「ように構成されている」と記述することは、そのユニット又はコンポーネントについて、米国特許法第112章第6段落を援用しないことを明示的に意図する。
「第1の」、「第2の」など。本明細書で使用するとき、これらの用語は、それらの後の名詞に関する符号として使用されるものであり、いずれのタイプの(例えば、空間的、時間的、論理的などの)順序付けも暗示するものではない。
「結合された」‐続く記載は、共に「結合された」要素又はノード又はフィーチャを指す。本明細書において使用されるとき、別段の明示的な記載が無い限り、「結合された」は、1つの要素又はノード又はフィーチャが、別の要素又はノード又はフィーチャに直接的又は間接的に結び付けられている(又は、直接的又は間接的に通信している)ことを意味するものであり、必ずしも機械的な結合である必要はない。
更には、特定の用語はまた、以下の説明において参照目的のためにのみ使用され得て、従って、限定することは意図されていない。例えば、「上」、「下」、「上方」、及び「下方」などの用語は、参照された図面内での方向を指す。「前」、「裏」、「後部」、「側方」、「外方向」、「内方向」などの用語は、説明対象のコンポーネントを説明する文書及び関連する図面を参照することにより明らかになる、一貫しているが任意の座標系における、コンポーネントの一部の向き若しくは位置、又は、その両方を説明するものである。そのような用語は、具体的に上述された語、それらの派生語、及び類似の意味の語を含み得る。
「抑制」‐本明細書で使用されるとき、抑制は、低減又は最小化された効果を説明するために使用される。コンポーネント又はフィーチャは、作用、動作、若しくは条件を抑制するとして説明されるとき、その結果若しくは成果、又は将来の状態を完全に阻止し得るものである。更に、「抑制」は、そうでなければ生じ得る成果、性能、又は、効果の減少又は低減も指し得る。従って、コンポーネント、要素、又は、フィーチャが結果又は状態を抑制すると言及されるとき、結果又は状態を完全に防止又は除去する必要はない。
本明細書において説明される実施形態は、基板工程(FEOL)の半導体処理及び構造に関連し得る。FEOLは、個別デバイス(例えば、トランジスタ、コンデンサ、抵抗器など)が半導体基板又は層にパターニングされる、集積回路(IC)製造の第1部分である。FEOLは、一般的に、金属インターコネクト層の堆積まで(ただし、これを含まない)のすべてを包含する。最後のFEOL工程の後、典型的には、分離された(例えば、いかなるワイヤも無い)トランジスタを有するウェハが結果として生じる。
本明細書において説明される実施形態は、配線工程(BEOL)の半導体処理及び構造に関連し得る。BEOLは、個別デバイス(例えば、トランジスタ、コンデンサ、抵抗器など)がウェハ上の配線、例えば、1又は複数のメタライゼーション層と相互接続される、IC製造の第2部分である。BEOLは、コンタクト、絶縁層(誘電体)、金属レベル、及び、チップ‐パッケージ間接続のためのボンディング部位を含む。製造段階のBEOL部分においては、コンタクト(パッド)、インターコネクトワイヤ、ビア、及び、誘電体構造が形成される。現代のICプロセスにおいて、10より多くの金属層がBEOLにおいて追加され得る。
後述される実施形態は、FEOL処理及び構造、BEOL処理及び構造、又は、FEOL処理及び構造とBEOL処理及び構造との両方に適用され得る。特に、例示的な処理スキームが、FEOL処理の状況を使用して示され得るが、そのようなアプローチは、BEOL処理にも適用され得る。同様に、例示的な処理スキームは、BEOL処理の状況を使用して示され得るが、そのようなアプローチは、FEOL処理にも適用され得る。
ピッチ分割処理及びパターニングスキームが、本明細書において説明される実施形態を可能にするために実装され得る、又は、本明細書において説明される実施形態の一部として含まれ得る。ピッチ分割パターニングは、典型的には、ピッチ2分割、ピッチ4分割などを指す。ピッチ分割スキームは、FEOL処理、BEOL処理、又は、FEOL(デバイス)及びBEOL(メタライゼーション)両方の処理に適用され得る。本明細書において説明される1又は複数の実施形態によれば、光学リソグラフィは、予め定義されたピッチで、一方向(例えば、厳密に一方向であるか、又は、主に一方向であるかのいずれか)の線を印刷するために最初に実装される。そこで、ピッチ分割処理は、線密度を増大させるための技法として実装される。
一実施形態において、フィン、ゲート線、金属線、ILD線、又は、ハードマスク線についての「格子構造」という用語は、本明細書において、密なピッチ格子構造を指すために使用される。そのような一実施形態において、密なピッチは、選択されたリソグラフィを通して直接達成可能であるわけではない。例えば、選択されたリソグラフィに基づくパターンが最初に形成され得るが、当分野において知られているように、スペーサマスクパターニングを使用することによって、ピッチが2分割され得る。また更に、元のピッチは、2回目のスペーサマスクパターニングによって4分割され得る。従って、本明細書において説明される格子状パターンは、実質的に一貫したピッチだけ離間した、実質的に一貫した幅を有する金属線、ILD線、又は、ハードマスク線を有し得る。例えば、いくつかの実施形態において、ピッチの変動は10パーセント以内、幅の変動は10パーセント以内であり、いくつかの実施形態において、ピッチの変動は5パーセント以内、幅の変動は5パーセント以内であろう。パターング、ピッチ2分割若しくはピッチ4分割、又は、他のピッチ分割アプローチによって製造され得る。一実施形態において、格子は、必ずしも単一のピッチであるわけではない。
第1の例において、製造される格子構造の線密度を2倍にするために、ピッチ2分割を実装できる。図1Aは、層間誘電体(ILD)層上に形成されるハードマスク材料層の、堆積後であってパターニング前の初期構造の断面図を示す。図1Bは、ピッチ2分割によるハードマスク層のパターニング後の図1Aの構造の断面図を示す。
図1Aを参照すると、初期構造100は、層間誘電体(ILD)層102上に形成されたハードマスク材料層104を有する。パターニングされたマスク106はハードマスク材料層104より上に配置される。パターニングされたマスク106は、ハードマスク材料層104上に、それらのフィーチャ(線)の側壁に沿って形成されたスペーサ108を有する。
図1Bを参照すると、ハードマスク材料層104は、ピッチ2分割アプローチでパターニングされる。具体的には、パターニングされたマスク106が最初に除去される。結果として生じるスペーサ108のパターンでは、密度が2倍になる、又は、マスク106のピッチ若しくはフィーチャが半分になる。スペーサ108のパターンは、例えば、エッチングプロセスによって、ハードマスク材料層104へ転写され、図1Bに図示されるように、パターニングされたハードマスク110を形成する。そのような一実施形態において、パターニングされたハードマスク110は、一方向の線を有する格子パターンを有するように形成される。パターニングされたハードマスク110の格子パターンは、密なピッチの格子構造であり得る。例えば、密なピッチは、選択されたリソグラフィ技法を通して直接達成可能でないことがあり得る。また更に、示されていないが、元のピッチは、2回目のスペーサマスクパターニングによって4分割され得る。従って、図1Bのパターニングされたハードマスク110の格子状パターンは、一定のピッチで離間する、互いに対して一定の幅を有するハードマスク線を有し得る。実現される寸法は、採用されるリソグラフィ技法のクリティカル寸法よりはるかに小さくてよい。
従って、集積スキームである、基板工程(FEOL)又は配線工程(BEOL)のいずれか、又は、その両方について、ブランケット膜は、例えば、スペーサベースダブルパターニング(SBDP)若しくはピッチ2分割、又は、スペーサベースクアドラプルパターニング(SBQP)若しくはピッチ4分割を伴い得る、リソグラフィ及びエッチング処理を使用してパターニングされ得る。他のピッチ分割アプローチも実装され得ることを理解されたい。いずれにしても、一実施形態において、193nm液浸リソグラフィ(193i)などの選択されたリソグラフィアプローチによって、グリッドレイアウトが製造され得る。ピッチ分割は、グリッドレイアウトの線密度をn倍増加させるように実装され得る。193iリソグラフィ及びn倍のピッチ分割を用いて形成されるグリッドレイアウトは、193i+P/nピッチ分割として表記できる。そのような一実施形態において、193nm浸漬スケーリングは、費用対効果の高いピッチ分割により、多くの世代に拡張できる。
集積回路デバイスの製造において、トライゲートトランジスタなどのマルチゲートトランジスタは、デバイス寸法が縮小を続けるにつれて、より広く用いられるようになった。トライゲートトランジスタは概して、バルクシリコン基板又はシリコンオンインシュレータ基板のいずれかの上で製造される。いくつかの場合において、バルクシリコン基板は、より低い費用と、既存の高歩留まりバルクシリコン基板のインフラストラクチャとの適合性とに起因して好適である。
しかしながら、影響を生じさせることなくマルチゲートトランジスタをスケーリングすることはできていない。マイクロエレクトロニクス回路のこれらの基本構成単位の寸法が減少するにつれて、及び、所与の領域において製造される非常に多くの基本構成単位が増加するにつれて、これらの構成単位を製造するために使用される半導体プロセスに対する制約が大きくなってきている。
本開示の1又は複数の実施形態によれば、半導体層をパターニングして半導体フィンを形成するために、ピッチ4分割アプローチが実装される。1又は複数の実施形態において、一体化フィンピッチ4分割アプローチが実装される。
図2Aは、本開示の一実施形態に係る、半導体フィンを製造するために使用されるピッチ4分割アプローチ200の模式図である。図2Bは、本開示の一実施形態に係る、ピッチ4分割アプローチを使用して製造された半導体フィンの断面図を示す。
図2Aを参照すると、工程(a)において、フォトレジスト層(PR)がパターニングされ、フォトレジストフィーチャ202を形成する。フォトレジストフィーチャ202は、193液浸リソグラフィなどの標準的なリソグラフィ処理技法を使用してパターニングされ得る。工程(b)において、フォトレジストフィーチャ202は、絶縁体又は誘電体ハードマスク層などの材料層をパターニングして、第1バックボーン(BB1)フィーチャ204を形成するために使用される。次に、第1スペーサ(SP1)フィーチャ206が、第1バックボーンフィーチャ204の側壁に隣接して形成される。工程(c)において、第1バックボーンフィーチャ204が除去され、第1スペーサフィーチャ206だけが残る。第1バックボーンフィーチャ204の除去の前、又は、その間に、第1スペーサフィーチャ206は薄化され、図2Aに図示されるような薄化第1スペーサフィーチャ206'を形成し得る。この薄化は、BB2フィーチャ(後述される208)に必要とされる必須間隔及びサイズに応じて、BB1(フィーチャ204)の除去の前に(図示のように)、又は、後に実行できる。工程(d)において、第1スペーサフィーチャ206又は薄化第1スペーサフィーチャ206'は、絶縁体又は誘電体ハードマスク層などの材料層をパターニングして、第2バックボーン(BB2)フィーチャ208を形成するために使用される。次に、第2バックボーンフィーチャ208の側壁に隣接して、第2スペーサ(SP2)フィーチャ210が形成される。工程(e)において、第2バックボーンフィーチャ208が除去され、第2スペーサフィーチャ210だけが残る。次に、残る第2スペーサフィーチャ210は、最初のパターニングされたフォトレジストフィーチャ202に対して4分割の寸法のピッチを有する複数の半導体フィンを提供するべく半導体層をパターニングするために使用され得る。例として、図2Bを参照すると、バルクシリコン層から形成されたシリコンフィンなどの複数の半導体フィン250は、例えばドライ又はプラズマエッチングパターニングなどのパターニングのためのマスクとして第2スペーサフィーチャ210を使用して形成される。図2Bの例において、複数の半導体フィン250は、全体にわたって、基本的に同一のピッチ及び間隔を有する。
最初にパターニングされたフォトレジストフィーチャの間の間隔を修正することにより、ピッチ4分割プロセスの構造的な結果を変えることができることを理解されたい。一例において、図3Aは、本開示の一実施形態に係る、半導体フィンを製造するために使用される一体化フィンピッチ4分割アプローチ300の模式図である。図3Bは、本開示の一実施形態に係る、一体化フィンピッチ4分割アプローチを使用して製造される半導体フィンの断面図を示す。
図3Aを参照すると、工程(a)において、フォトレジスト層(PR)がパターニングされて、フォトレジストフィーチャ302を形成する。フォトレジストフィーチャ302は、193液浸リソグラフィなどの標準的なリソグラフィ処理技法を使用してパターニングされ得るが、均一なピッチ増倍パターンを生成するために必要なデザインルールに最終的に干渉し得るその間隔(例えば、サブデザインルールスペースと呼ばれる間隔)である。工程(b)において、フォトレジストフィーチャ302は、絶縁体又は誘電体ハードマスク層などの材料層をパターニングするために使用され、第1バックボーン(BB1)フィーチャ304を形成する。次に、第1スペーサ(SP1)フィーチャ306が、第1バックボーンフィーチャ304の側壁に隣接して形成される。しかしながら、図2Aに示されるスキームと対照的に、隣接する第1スペーサフィーチャ306の一部は、フォトレジストフィーチャ302がより密である結果、一体化したスペーサフィーチャである。工程(c)において、第1バックボーンフィーチャ304が除去され、第1スペーサフィーチャ306だけが残る。第1バックボーンフィーチャ304の除去の前、又は後に、第1スペーサフィーチャ306の一部は薄化され、図3Aに図示されるように、薄化第1スペーサフィーチャ306'を形成し得る。工程(d)において、第1スペーサフィーチャ306及び薄化第1スペーサフィーチャ306'は、絶縁体又は誘電体ハードマスク層などの材料層をパターニングするために使用され、第2バックボーン(BB2)フィーチャ308を形成する。次に、第2バックボーンフィーチャ308の側壁に隣接して第2スペーサ(SP2)フィーチャ310が形成される。しかしながら、図3Aの中央のBB2フィーチャ308など、BB2フィーチャ308が一体化したフィーチャである位置においては、第2スペーサは形成されない。工程(e)において、第2バックボーンフィーチャ308が除去され、第2スペーサフィーチャ310だけが残る。次に、残る第2スペーサフィーチャ310は、半導体層をパターニングするために使用され、最初のパターニングされたフォトレジストフィーチャ302と比較してピッチ4分割寸法を有する複数の半導体フィンを提供し得る。
例として、図3Bを参照すると、例えばドライ又はプラズマエッチングパターニングなどのパターニングのためのマスクとして第2スペーサフィーチャ310を使用して、バルクシリコン層から形成されるシリコンフィンなどの複数の半導体フィン350が形成される。しかしながら、図3Bの例において、複数の半導体フィン350は、多様なピッチ及び間隔を有する。そのような一体化フィンスペーサパターニングのアプローチは、複数のフィンのパターンの特定の位置におけるフィンの存在を基本的に除去するために実装され得る。従って、特定の位置において第1スペーサフィーチャ306を一体化することは、図2A及び2Bに関連して説明されるように典型的には8個のフィンを生成する2つの第1バックボーンフィーチャ304に基づいて、6個又は4個のフィンの製造を可能にする。一例として、ボード内のフィンは、均一のピッチでフィンを生成し、次に、不要なフィンをカットすることによって通常可能となるより密なピッチを有し得る。ただし、後者のアプローチは、本明細書に説明される実施形態に従って依然として実装され得る。
例示的な実施形態において、集積回路構造である図3Bを参照すると、第1の複数の半導体フィン352は、第1方向(ページの奥に向かうy)に沿って最長寸法を有する。第1の複数の半導体フィン352のうちの隣接する個々の半導体フィン353は、第1方向yに直交する第2方向(x)に第1の量(S1)だけ互いに離間している。第2の複数の半導体フィン354は、第1方向yに沿って最長寸法を有する。第2の複数の半導体フィン354のうちの隣接する個々の半導体フィン355は、第2方向に第1の量(S1)だけ互いに離間している。最も近くにある、第1の複数の半導体フィン352の半導体フィン356、及び、第2の複数の半導体フィン354の半導体フィン357は、第2方向xに第2の量(S2)だけ互いに離間している。一実施形態において、第2の量S2は、第1の量S1より大きいが、第1の量S1の2倍より小さい。別の実施形態において、第2の量S2は、第1の量S1の2倍より大きい。
一実施形態において、第1の複数の半導体フィン352及び第2の複数の半導体フィン354は、シリコンを含む。一実施形態において、第1の複数の半導体フィン352及び第2の複数の半導体フィン354は、下層単結晶シリコン基板と連続している。一実施形態において、第1の複数の半導体フィン352及び第2の複数の半導体フィン354の個々は、第1の複数の半導体フィン352及び第2の複数の半導体フィン354の個々の頂部から底部まで、第2方向xに沿って外方向にテーパ状である側壁を有する。一実施形態において、第1の複数の半導体フィン352は、正確に5つの半導体フィンを有し、第2の複数の半導体フィン354は、正確に5つの半導体フィンを有する。
別の例示的な実施形態において、図3A及び図3Bを参照すると、集積回路構造を製造する方法は、第1プライマリバックボーン構造304(左のBB1)、及び、第2プライマリバックボーン構造304(右のBB1)を形成することを含む。プライマリスペーサ構造306が、第1プライマリバックボーン構造304(左のBB1)及び第2プライマリバックボーン構造304(右のBB1)の側壁に隣接して形成される。第1プライマリバックボーン構造304(左のBB1)と、第2プライマリバックボーン構造304(右のBB1)との間のプライマリスペーサ構造306は一体化される。第1プライマリバックボーン構造(左のBB1)及び第2プライマリバックボーン構造(右のBB1)が除去され、第1、第2、第3及び第4セカンダリバックボーン構造308が提供される。第2及び第3セカンダリバックボーン構造(例えば、中央の一対のセカンダリバックボーン構造308)は一体化される。セカンダリスペーサ構造310が、第1、第2、第3、第4セカンダリバックボーン構造308の側壁に隣接して形成される。次に、第1、第2、第3及び第4のセカンダリバックボーン構造308が除去される。次に、半導体材料がセカンダリスペーサ構造310でパターニングされ、半導体材料において半導体フィン350が形成される。
一実施形態において、第1プライマリバックボーン構造304(左のBB1)及び第2プライマリバックボーン構造304(右のBB1)は、第1プライマリバックボーン構造と第2プライマリバックボーン構造との間のサブデザインルール間隔でパターニングされる。一実施形態において、半導体材料はシリコンを含む。一実施形態において、半導体フィン350の個々は、半導体フィン350の個々の頂部から底部へ第2方向xに沿って、外方向にテーパ状となる側壁を有する。一実施形態において、半導体フィン350は、下層単結晶シリコン基板と連続する。一実施形態において、セカンダリスペーサ構造310で半導体材料をパターニングすることは、第1方向yに沿って最長寸法を有する第1の複数の半導体フィン352を形成することを含み、第1の複数の半導体フィン352のうちの隣接する個々の半導体フィンは、第1方向yに直交する第2方向xに、第1の量S1だけ互いに離間される。第1方向yに沿って最長寸法を有する第2の複数の半導体フィン354が形成され、第2の複数の半導体フィン354のうちの隣接する個々の半導体フィンは、第2方向xに、第1の量S1だけ互いに離間される。最も近くにある、第1の複数の半導体フィン352の半導体フィン356、及び、第2の複数の半導体フィン354の半導体フィン357は、第2方向xに第2の量S2だけ互いに離間している。一実施形態において、第2の量S2は、第1の量S1より大きい。そのような一実施形態において、第2の量S2は、第1の量S1の2倍より小さい。別のそのような実施形態において、第2の量S2は、第1の量S1の2倍より大きいが、3倍より小さい。一実施形態において、図3Bに図示されるように、第1の複数の半導体フィン352は、正確に5つの半導体フィンを有し、第2の複数の半導体フィン254は、正確に5つの半導体フィンを有する。
別の態様において、一体化フィンのアプローチの代わりにフィン除去が実行されるフィントリミングプロセスでは、フィンは、ハードマスクのパターニング中に、物理的にフィンを除去することによってトリミング(除去)され得ることを理解されたい。後者のアプローチの例として、図4Aから図4Cは、本開示の一実施形態に係る、複数の半導体フィンを製造する方法における様々な工程を表す断面図を示す。
図4Aを参照すると、パターニングされたハードマスク層402が、バルク単結晶シリコン層などの半導体層404より上に形成される。図4Bを参照すると、次にフィン406が、例えばドライ又はプラズマエッチングプロセスによって半導体層404において形成される。図4Cを参照すると、選択されたフィン406が、例えば、マスキング及びエッチングプロセスを使用することによって除去される。示される例において、フィン406の1つが除去され、図4Cに図示されるように、残部であるフィンスタブ408を残し得る。そのような「フィンを最後にトリミングする」アプローチにおいて、ハードマスク402が全体としてパターニングされ、個々のフィーチャを除去又は変更することなく、格子構造を提供する。フィンが製造される後まで、フィンの数は変更されない。
別の態様において、シャロートレンチアイソレーション(STI)構造と呼され得る多層トレンチ分離領域が半導体フィンの間に実装され得る。一実施形態において、バルクシリコン基板において形成されたシリコンフィンの間に多層STI構造が形成され、シリコンフィンのサブフィン領域を画定する。
フィン又はトライゲートベースのトランジスタにはバルクシリコンを使用することが望ましいことがあり得る。しかしながら、デバイスの活性シリコンフィン部分(例えば、ゲート制御領域又はHSi)より下方の領域(サブフィン)は、ゲート制御が弱い、又は、無いという懸念が存在する。従って、ソース又はドレイン領域がHSi位置にあるか、又はそれより下方にある場合、サブフィン領域を通るリーク経路が存在し得る。適切なデバイス動作のために、サブフィン領域におけるリーク経路を制御すべきであることがあり得る。
上記の問題に対処するための1つのアプローチは、サブフィン領域が高濃度(例えば、2E18/cmより遥かに高い)にドーピングされる、ウェル注入操作の使用を伴う。これにより、サブフィンリークが防止されるが、フィンにおける相当のドーピングにもつながる。ハロー注入の追加により、フィンドーピングが更に増大し、それにより、線状のフィンの端部が高レベル(例えば、約1E18/cmより高い)にドーピングされる。
別のアプローチは、フィンのHSi部分に同一レベルのドーピングを必ずしも供給しない、サブフィンドーピングを通して提供されるドーピングを伴う。プロセスは、例えば、トライゲートドーピングガラスのサブフィン外方拡散を通じて、バルクシリコンウェハ上に製造されるトライゲート又はFinFETトランジスタのサブフィン領域を選択的にドーピングすることを伴い得る。例えば、トライゲート又はFinFETトランジスタのサブフィン領域を選択的にドーピングすることにより、サブフィンリークを軽減し、同時に、フィンドーピングを低く抑え得る。フィン側壁からの凹設後、ソリッドステートドーピングソース(例えば、p型及びn型のドーピング酸化物、窒化物、又は炭化物)をトランジスタプロセスフローに組み込むことにより、フィン本体を比較的ドーピングされていない状態に維持しながら、サブフィン領域に十分なドーピングを提供する。
従って、プロセススキームは、フィンのエッチングに続いてフィンに堆積されるソリッドソースドーピング層(例えば、ホウ素ドーピング酸化物)の使用を含み得る。後に、トレンチ充填及び研磨後、ドーピング層は、トレンチ充填材料と共に凹設され、デバイスのフィンの高さ(HSi)を画定する。この工程により、ドーピング層がHSiより上のフィン側壁から除去される。従って、ドーピング層は、サブフィン領域におけるフィン側壁に沿った部分だけに存在し、これにより、ドーピング配置の高精度の制御が保証される。ドライブインアニールの後、高濃度ドーピングは、サブフィン領域に限定され、(トランジスタのチャネル領域を形成する)HSiより上方のフィンの隣接する領域における低濃度ドーピングへと急速に遷移する。一般的に、ホウケイ酸ガラス(BSG)がNMOSフィンドーピングのために実装され、一方、リンケイ酸ガラス(PSG)又はヒ素リン酸ガラス(AsSG)層がPMOSフィンドーピングのために実装される。一例において、そのようなP型ソリッドステートドーパントソース層は、概ね0.1〜10重量%の範囲のホウ素濃度を有するBSG層である。別の例において、そのようなN型ソリッドステートドーパントソース層は、概ね0.1〜10重量%の範囲の濃度のリン又はヒ素をそれぞれ有するPSG層又はAsSG層である。窒化シリコンキャッピング層は、ドーピング層に含まれ得て、一方、二酸化シリコン又は酸化シリコン充填材料は、窒化シリコンキャッピング層に含まれ得る。
本開示の別の実施形態によれば、サブフィンリークは、比較的薄いフィン(例えば、約20ナノメートルより小さい幅を有するフィン)にしては十分に小さく、ドーピングされていない、又は、僅かにドーピングされた酸化シリコン又は二酸化シリコン膜がフィンに隣接して直接形成され、窒化シリコン層が、ドーピングされていない、又は、僅かにドーピングされた酸化シリコン又は二酸化シリコン膜に形成され、二酸化シリコン又は酸化シリコン充填材料が、窒化シリコンキャッピング層に含まれる。ハロードーピングなど、サブフィン領域のドーピングは、そのような構造でも実装され得ることを理解されたい。
図5Aは、本開示の一実施形態に係る、3層トレンチ分離構造によって隔てられた一対の半導体フィンの断面図を示す。
図5Aを参照すると、集積回路構造は、シリコンフィンなどのフィン502を備える。フィン502は、下フィン部(サブフィン)502A及び上フィン部502B(HSi)を含む。第1絶縁層504が、フィン502の下フィン部502Aの側壁のすぐ上にある。第2絶縁層506が、フィン502の下フィン部502Aの側壁のすぐ上にある第1絶縁層504のすぐ上にある。誘電体充填材料508が、フィン502の下フィン部502Aの側壁のすぐ上にある第1絶縁層504のすぐ上にある第2絶縁層506のすぐ横に隣接する。
一実施形態において、第1絶縁層504は、酸化シリコン又は二酸化シリコン絶縁層などの、シリコン及び酸素を含む非ドーピング絶縁層である。一実施形態において、第1絶縁層504は、シリコン及び酸素を含み、原子濃度が1E15原子/立方センチメートルより高い他の原子種を含まない。一実施形態において、第1絶縁層504の厚さは、0.5〜2ナノメートルの範囲にある。
一実施形態において、第2絶縁層506は、正規組成のSi窒化シリコン絶縁層、シリコンリッチ窒化シリコン絶縁層、又は、シリコンプア窒化シリコン絶縁層など、シリコン及び窒素を含む。一実施形態において、第2絶縁層506の厚さは、2〜5ナノメートルの範囲にある。
一実施形態において、誘電体充填材料508は、酸化シリコン又は二酸化シリコン絶縁層など、シリコン及び酸素を含む。一実施形態において、最終的に、ゲート電極がフィン502の上フィン部502Bの頂部の上方に、かつ、その側壁に横方向に隣接して形成される。
処理中、半導体フィンの上フィン部は、侵食又は消費され得ることを理解されたい。また、フィン同士の間のトレンチ分離構造は、同様に侵食されて非プレーナ型トポグラフィを有し得る、又は、非プレーナ型トポグラフィアップ製造により形成され得る。例として、図5Bは、本開示の別の実施形態に係る、別の3層トレンチ分離構造によって隔てられる別の一対の半導体フィンの断面図を示す。
図5Bを参照すると、集積回路構造は、シリコンフィンなどの第1フィン552を含む。第1フィン552は、下フィン部552A及び上フィン部552B、並びに、下フィン部552Aと上フィン部552Bとの間の領域にあるショルダフィーチャ554を含む。第2シリコンフィンなどの第2フィン562は、下フィン部562A及び上フィン部562B、並びに、下フィン部562Aと上フィン部562Bとの間の領域にあるショルダフィーチャ564を含む。第1絶縁層574は、第1フィン552の下フィン部552Aの側壁のすぐ上に、及び、第2フィン562の下フィン部562Aの側壁のすぐ上にある。第1絶縁層574は、第1フィン552のショルダフィーチャ554と実質的に同一平面にある第1端部574Aを有し、第1絶縁層574は更に、第2フィン562のショルダフィーチャ564と実質的に同一平面にある第2端部574Bを有する。第2絶縁層576は、第1フィン552の下フィン部552Aの側壁のすぐ上にある第1絶縁層574のすぐ上に、及び、第2フィン562の下フィン部562Aの側壁のすぐ上にある。
誘電体充填材料578は、第1フィン552の下フィン部552Aの側壁のすぐ上、及び、第2フィン562の下フィン部562Aの側壁のすぐ上にある第1絶縁層574のすぐ上にある第2絶縁層576のすぐ横に隣接する。一実施形態において、誘電体充填材料578は上面578Aを有し、図5Bに図示されるように、誘電体充填材料578の上面578Aの一部は、第1フィン552のショルダフィーチャ554の少なくとも1つの下にあり、第2フィン562のショルダフィーチャ564の少なくとも1つの下にある。
一実施形態において、第1絶縁層574は、酸化シリコン又は二酸化シリコン絶縁層などの、シリコン及び酸素を含む非ドーピング絶縁層である。一実施形態において、第1絶縁層574は、シリコン及び酸素を含み、原子濃度が1E15原子毎立方センチメートルより高い他の原子種を含まない。一実施形態において、第1絶縁層574の厚さは、0.5〜2ナノメートルの範囲にある。
一実施形態において、第2絶縁層576は、正規組成のSi窒化シリコン絶縁層、シリコンリッチ窒化シリコン絶縁層、又は、シリコンプア窒化シリコン絶縁層など、シリコン及び窒素を含む。一実施形態において、第2絶縁層576の厚さは、2〜5ナノメートルの範囲にある。
一実施形態において、誘電体充填材料578は、酸化シリコン又は二酸化シリコン絶縁層など、シリコン及び酸素を含む。一実施形態において、最終的に、ゲート電極が第1フィン552の上フィン部552Bの頂部の上方、かつ、その側壁に横方向に隣接して、及び、第2フィン562の上フィン部562Bの頂部の上方に、かつ、その側壁に横方向に隣接して形成される。ゲート電極は更に、第1フィン552と第2フィン562との間の誘電体充填材料578の上方にある。
図6Aから図6Dは、本開示の一実施形態に係る、3層トレンチ分離構造の製造における様々な工程の断面図を示す。
図6Aを参照すると、集積回路構造を製造する方法は、シリコンフィンなどのフィン602を形成することを含む。図6Bに図示されるように、第1絶縁層604がフィン602のすぐ上にコンフォーマルに形成される。一実施形態において、第1絶縁層604は、シリコン及び酸素を含み、原子濃度が1E15原子毎立方センチメートルより高い他の原子種を含まない。
図6Cを参照すると、第2絶縁層606が第1絶縁層604のすぐ上にコンフォーマルに形成される。一実施形態において、第2絶縁層606はシリコン及び窒素を含む。誘電体充填材料608は、図6Dに図示されるように、第2絶縁層606のすぐ上に形成される。
一実施形態において、当該方法は更に、誘電体充填材料608、第1絶縁層604及び第2絶縁層606を凹設し、露出した上フィン部602A(例えば、図5A及び図5Bの上フィン部502B、552B又は562Bなど)を有するフィン602を提供することを伴う。結果として生じる構造は、図5A又は図5Bに関連して説明され得る。一実施形態において、誘電体充填材料608、第1絶縁層604及び第2絶縁層606の凹設は、ウェットエッチングプロセスの使用を伴う。別の実施形態において、誘電体充填材料608、第1絶縁層604及び第2絶縁層606の凹設は、プラズマエッチング又はドライエッチングプロセスの使用を伴う。
一実施形態において、第1絶縁層604は、化学気相成長プロセスを使用して形成される。一実施形態において、第2絶縁層606は、化学気相成長プロセスを使用して形成される。一実施形態において、誘電体充填材料608は、スピンオンプロセスを使用して形成される。そのような一実施形態において、誘電体充填材料608は、スピンオン材料であり、例えば、凹部エッチングプロセスの前又は後のいずれかに水蒸気処理にさらされ、シリコン及び酸素を含む硬化材料を提供する。一実施形態において、最終的にゲート電極がフィン602の上フィン部の頂部の上方に、かつ、その側壁に横方向に隣接して形成される。
別の態様において、後続の処理工程の間にトレンチ分離領域の侵食から保護するために、ゲート側壁スペーサ材料が特定のトレンチ分離領域の上方に保持され得る。例えば、図7Aから図7Eは、本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程の斜視3次元断面図を示す。
図7Aを参照すると、集積回路構造を製造する方法は、シリコンフィンなどのフィン702を形成することを含む。フィン702は、下フィン部702A及び上フィン部702Bを有する。絶縁構造704が、フィン702の下フィン部702Aの側壁に直接隣接して形成される。ゲート構造706は、上フィン部702Bの上方、及び、絶縁構造704の上方に形成される。一実施形態において、ゲート構造は、犠牲ゲート誘電体層706A、犠牲ゲート706B及びハードマスク706Cを含む、プレースホルダ又はダミーのゲート構造である。誘電体材料708が、フィン702の上フィン部702Bにコンフォーマルに、ゲート構造706にコンフォーマルに、及び、絶縁構造704にコンフォーマルに形成される。
図7Bを参照すると、ハードマスク材料710が誘電体材料708の上方に形成される。一実施形態において、ハードマスク材料710は、スピンオンプロセスを使用して形成される炭素ベースハードマスク材料である。
図7Cを参照すると、ハードマスク材料710は凹設され、凹設ハードマスク材料712を形成し、フィン702の上フィン部702Bにコンフォーマルな、及び、ゲート構造706にコンフォーマルな誘電体材料708の一部を露出する。凹設ハードマスク材料712は、絶縁構造704にコンフォーマルな誘電体材料708の一部を覆う。一実施形態において、ハードマスク材料710は、ウェットエッチング処理を使用して凹設される。別の実施形態において、ハードマスク材料710は、アッシング、ドライエッチング、又は、プラズマエッチングプロセスを使用して凹設される。
図7Dを参照すると、誘電体材料708は異方性エッチングされ、ゲート構造706の側壁に沿って(誘電体スペーサ714Aとして)、フィン702の上フィン部702Bの側壁の一部に沿って、及び、絶縁構造704の上方に、パターニングされた誘電体材料714を形成する。
図7Eを参照すると、凹設ハードマスク材料712は、図7Dの構造から除去される。一実施形態において、ゲート構造706は、ダミーゲート構造であり、後続の処理は、ゲート構造706を恒久的なゲート誘電体及びゲート電極スタックで置き換えることを含む。一実施形態において、更なる処理は、以下でより詳細に説明されるように、ゲート構造706の互いに対向する側面に、埋め込みソース又はドレイン構造を形成することを含む。
再び図7Eを参照すると、一実施形態において、集積回路構造700は、第1シリコンフィンなどの第1フィン(左702)を含み、第1フィンは、下フィン部702A及び上フィン部702Bを有する。集積回路構造は更に、第2シリコンフィンなどの第2フィン(右702)を含み、第2フィンは、下フィン部702A及び上フィン部702Bを有する。絶縁構造704は、第1フィンの下フィン部702Aの側壁に直接隣接し、第2フィンの下フィン部702Aの側壁に直接隣接する。ゲート電極706は、第1フィン(左702)の上フィン部702Bの上方、第2フィン(右702)の上フィン部702Bの上方、及び、絶縁構造704の第1部分704Aの上方にある。第1誘電体スペーサ714Aは、第1フィン(左702)の上フィン部702Bの側壁に、第2誘電体スペーサ702Cは、第2フィン(右702)の上フィン部702Bの側壁に沿っている。第2誘電体スペーサ714Cは、第1フィン(左702)と第2フィン(右702)との間の絶縁構造704の第2部分704Bの上方にある第1誘電体スペーサ714Bと連続する。
一実施形態において、第1及び第2誘電体スペーサ714B及び714Cは、正規組成Siシリコン窒化物材料、シリコンリッチシリコン窒化物材料、又は、シリコンプアシリコン窒化物材料などのシリコン及び窒素を含む。
一実施形態において、集積回路構造700は更に、ゲート電極706の対向する側面に、埋め込みソース又はドレイン構造を含み、図9Bに関連して後述されるように、埋め込みソース又はドレイン構造は、第1及び第2フィン702の上フィン部702Bの側壁に沿って、第1及び第2誘電体スペーサ714B及び714Cの頂面より下に底面を有し、ソース又はドレイン構造は、第1及び第2フィン702の上フィン部702Bの側壁に沿って第1及び第2誘電体スペーサ714B及び714Cの頂面より上に頂面を有する。一実施形態において、図9Bにも関連して後述されるように、絶縁構造704は、第1絶縁層、第1絶縁層のすぐ上にある第2絶縁層、及び、第2絶縁層のすぐ横にある誘電体充填材料を含む。
図8Aから図8Fは、本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。
図8Aを参照すると、集積回路構造を製造する方法は、シリコンフィンなどのフィン702を形成することを含む。フィン702は、下フィン部(図8Aでは示されない)及び上フィン部702Bを有する。絶縁構造704は、フィン702の下フィン部702Aの側壁に直接隣接して形成される。一対のゲート構造706は、上フィン部702Bの上方に、及び、絶縁構造704の上方に形成される。上フィン部がわずかにページの奥にあるように、上フィン部702Bの前にある(ページ外)ゲート構造706及び絶縁構造の一部を示すために、図8Aから図8Fに示される視点は、わずかに投影されていることを理解されたい。一実施形態において、ゲート構造706は、犠牲ゲート誘電体層706A、犠牲ゲート706B及びハードマスク706Cを含む、プレースホルダ又はダミーゲート構造である。
図7Aに関連して説明されるプロセス工程に対応する図8Bを参照すると、誘電体材料708は、フィン702の上フィン部702Bにコンフォーマルに、ゲート構造706にコンフォーマルに、及び、絶縁構造704の露出部分にコンフォーマルに形成される。
図7Bに関連して説明されるプロセス工程に対応する図8Cを参照すると、ハードマスク材料710が誘電体材料708の上方に形成される。一実施形態において、ハードマスク材料710は、スピンオンプロセスを使用して形成される炭素ベースハードマスク材料である。
図7Cに関連して説明されるプロセス工程に対応する図8Dを参照すると、ハードマスク材料710は凹設されて、凹設ハードマスク材料712を形成し、フィン702の上フィン部702Bにコンフォーマルな、及び、ゲート構造706にコンフォーマルな誘電体材料708の一部を露出する。凹設ハードマスク材料712は、絶縁構造704にコンフォーマルな誘電体材料708の一部を覆う。一実施形態において、ハードマスク材料710は、ウェットエッチング処理を使用して凹設される。別の実施形態において、ハードマスク材料710は、アッシング、ドライエッチング、又は、プラズマエッチングプロセスを使用して凹設される。
図7Dに関連して説明されるプロセス工程に対応する図8Eを参照すると、誘電体材料708は異方性エッチングされ、ゲート構造706の側壁に沿って(部分714Aとして)、フィン702の上フィン部702Bの側壁の一部に沿って、及び、絶縁構造704の上方に、パターニングされた誘電体材料714を形成する。
図7Eに関連して説明されるプロセス工程に対応する図8Fを参照すると、凹設ハードマスク材料712は、図8Eの構造から除去される。一実施形態において、ゲート構造706は、ダミーゲート構造であり、後続の処理は、ゲート構造706を恒久的なゲート誘電体及びゲート電極スタックで置き換えることを含む。一実施形態において、更なる処理は、以下でより詳細に説明されるように、ゲート構造706の対向する側面に、埋め込みソース又はドレイン構造を形成することを含む。
再び図8Fを参照すると、一実施形態において、集積回路構造700は、シリコンフィンなどのフィン702を含み、フィン702は下フィン部(図8Fにおいて図示されない)及び上フィン部702Bを有する。絶縁構造704は、フィン702の下フィン部の側壁に直接隣接する。第1ゲート電極(左706)は、上フィン部702Bの上方、及び、絶縁構造704の第1部分704Aの上方にある。第2ゲート電極(右706)は、上フィン部702Bの上方、及び、絶縁構造704の第2部分704A' の上方にある。第1誘電体スペーサ(左706の右714A)は、第1ゲート電極(左706)の側壁に、第2誘電体スペーサ(右706の左714A)は、第2ゲート電極(右706)の側壁に沿っていて、第2誘電体スペーサは、第1ゲート電極(左706)と第2ゲート電極(右706)との間の絶縁構造704の第3部分704A"の上方の第1誘電体スペーサと連続する。
図9Aは、本開示の一実施形態に係る、恒久的なゲートスタックと、エピタキシャルソース又はドレイン領域とを含む集積回路構造についての、図7Eの軸a‐a'に沿って切断した、わずかに投影された断面図を示す。図9Bは、本開示の一実施形態に係る、エピタキシャルソース又はドレイン領域と、多層トレンチ分離構造とを含む集積回路構造についての、図7Eの軸b‐b'に沿って切断した断面図を示す。
図9A及び図9Bを参照すると、一実施形態において集積回路構造は、ゲート電極706の対向する側面に、埋め込みソース又はドレイン構造910を含む。埋め込みソース又はドレイン構造910は、第1及び第2フィン702の上フィン部702Bの側壁に沿って、第1及び第2誘電体スペーサ714B及び714Cの頂面990より下に底面910Aを有する。埋め込みソース又はドレイン構造910は、第1及び第2フィン702の上フィン部702Bの側壁に沿って、第1及び第2誘電体スペーサ714B及び714Cの頂面より上に頂面910Bを有する。
一実施形態において、ゲートスタック706は、恒久的なゲートスタック920である。そのような一実施形態において、恒久的なゲートスタック920は、図9Aに図示されるように、ゲート誘電体層922、仕事関数ゲート層などの第1ゲート層924、及び、ゲート充填材料926を含む。一実施形態において、恒久的なゲート構造920が絶縁構造704の上方にあるところで、犠牲多結晶シリコンゲート電極を伴うリプレースメントゲートプロセスの残部であり得る残留多結晶シリコン部分930上に恒久的なゲート構造920が形成される。
一実施形態において、絶縁構造704は、第1絶縁層902、第1絶縁層902のすぐ上にある第2絶縁層904、及び、第2絶縁層904のすぐ横にある誘電体充填材料906を含む。一実施形態において、第1絶縁層902は、シリコン及び酸素を含む非ドーピング絶縁層である。一実施形態において、第2絶縁層904は、シリコン及び窒素を含む。一実施形態において、誘電体充填材料906はシリコン及び酸素を含む。
別の態様において、埋め込みエピタキシャルソース又はドレイン領域は、半導体フィンのためのソース又はドレイン構造として実装される。例として、図10は、本開示の一実施形態に係る、ソース又はドレイン位置で切断された集積回路構造の断面図を示す。
図10を参照すると、集積回路構造1000は、P型金属酸化膜半導体(PMOS)デバイスなどのP型デバイスを含む。また、集積回路構造1000は、N型金属酸化膜半導体(PMOS)デバイスなどのN型デバイスを含む。
図10のPMOSデバイスは、バルクシリコン基板1001から形成されたシリコンフィンなどの第1の複数の半導体フィン1002を含む。ソース又はドレイン位置において、フィン1002の上部は除去され、同一又は異なる半導体材料が成長し、ソース又はドレイン構造1004を形成する。ソース又はドレイン構造1004は、ゲート電極のいずれかの側で切断された断面図では、同一に見えることを理解されたい。例えば、それらはソース側で、ドレイン側と基本的に同一に見えるであろう。一実施形態において、図示されるように、ソース又はドレイン構造1004は、絶縁構造1006の上面より下にある部分、及び、より上にある部分を有する。一実施形態において、図示されるように、ソース又はドレイン構造1004は、強くファセット化される。一実施形態において、導電性コンタクト1008は、ソース又はドレイン構造1004の上方に形成される。しかしながら、そのような一実施形態において、ソース又はドレイン構造1004の強いファセット化及び比較的幅広い成長は、導電性コンタクト1008による良好な被覆をを少なくともある程度抑制する。
図10のNMOSデバイスは、バルクシリコン基板1001から形成されたシリコンフィンなどの第2の複数の半導体フィン1052を含む。ソース又はドレイン位置において、フィン1052の上部は除去され、同一又は異なる半導体材料が成長し、ソース又はドレイン構造1054を形成する。ソース又はドレイン構造1054は、ゲート電極のいずれかの側で切断された断面図では、同一に見えることを理解されたい。例えば、それらはソース側で、ドレイン側と基本的に同一に見えるであろう。一実施形態において、図示されるように、ソース又はドレイン構造1054は、絶縁構造1006の上面より下の部分、及び、より上の部分を含む。一実施形態において、図示されるように、ソース又はドレイン構造1054は、ソース又はドレイン構造1004と比較して、弱くファセット化される。一実施形態において、導電性コンタクト1058は、ソース又はドレイン構造1054の上方に形成される。そのような一実施形態において、ソース又はドレイン構造1054の(ソース又はドレイン構造1004と比較して)比較的弱いファセット化、及び、結果として生じる、比較的狭い成長が、導電性コンタクト1058による良好な被覆を促進する。
PMOSデバイスのソース又はドレイン構造の形状は、上層コンタクトとの接触領域を改善するように、多様であり得る。例えば、図11は、本開示の一実施形態に係る、ソース又はドレイン位置で切断された別の集積回路構造の断面図を示す。
図11を参照すると、集積回路構造1100は、P型半導体(例えば、PMOS)デバイスを含む。PMOSデバイスは、シリコンフィンなどの第1フィン1102を含む。第1エピタキシャルソース又はドレイン構造1104は、第1フィン1102に埋め込まれる。一実施形態において、図示されないが、第1エピタキシャルソース又はドレイン構造1104は、(フィン1102のチャネル部などの上フィン部の上方に形成され得る)第1ゲート電極の第1側面にあり、第2エピタキシャルソース又はドレイン構造は、第1側面に対向するそのような第1ゲート電極の第2側面において、第1フィン1102に埋め込まれる。一実施形態において、第1及び第2エピタキシャルソース又はドレイン構造1104は、シリコン及びゲルマニウムを含み、プロファイル1105を有する。一実施形態において、プロファイルは、図11に図示されるように、マッチ棒状のプロファイルである。第1導電性電極1108は、第1エピタキシャルソース又はドレイン構造1104の上方にある。
再び図11を参照すると、一実施形態において、集積回路構造1100は、N型半導体(例えば、NMOS)デバイスも含む。NMOSデバイスは、シリコンフィンなどの第2フィン1152を含む。第3エピタキシャルソース又はドレイン構造1154は、第2フィン1152に埋め込まれる。一実施形態において、図示されないが、第3エピタキシャルソース又はドレイン構造1154は、(フィン1152のチャネル部などの上フィン部の上方に形成され得る)第2ゲート電極の第1側面にあり、第4エピタキシャルソース又はドレイン構造は、第1側面に対向するそのような第2ゲート電極の第2側面にある第2フィン1152に埋め込まれる。一実施形態において、第3及び第4エピタキシャルソース又はドレイン構造1154は、シリコンを含み、第1及び第2エピタキシャルソース又はドレイン構造1004のプロファイル1105と実質的に同一のプロファイルを有する。第2導電性電極1158は、第3エピタキシャルソース又はドレイン構造1154の上方にある。
一実施形態において、第1エピタキシャルソース又はドレイン構造1104は、弱くファセット化される。一実施形態において、第1エピタキシャルソース又はドレイン構造1104の高さは約50ナノメートルであり、幅は30〜35ナノメートルの範囲にある。そのような一実施形態において、第3エピタキシャルソース又はドレイン構造1154の高さは、約50ナノメートルであり、幅は30〜35ナノメートルの範囲にある。
一実施形態において、第1エピタキシャルソース又はドレイン構造1104では、第1エピタキシャルソース又はドレイン構造1104の底部1104Aにおける約20%のゲルマニウム濃度から、第1エピタキシャルソース又はドレイン構造1104の頂部1104Bにおける約45%のゲルマニウム濃度まで、勾配がある。一実施形態において、第1エピタキシャルソース又はドレイン構造1104は、ホウ素原子でドーピングされる。そのような一実施形態において、第3エピタキシャルソース又はドレイン構造1154は、リン原子又はヒ素原子でドーピングされる。
図12Aから図12Dは、本開示の一実施形態に係る、集積回路構造の製造における様々な工程を表す、ソース又はドレイン位置で切断した断面図を示す。
図12Aを参照すると、集積回路構造を製造する方法は、シリコン基板1201から形成されるシリコンフィンなどのフィンの形成を含む。フィン1202は、下フィン部1202A及び上フィン部1202Bを有する。一実施形態において、図示されないが、ページの奥の位置において、ゲート電極がフィン1202の上フィン部1202Bの一部の上方に形成される。そのようなゲート電極は、第2側面に対向する第1側面を有し、第1及び第2側面上にソース又はドレイン位置を画定する。例えば、説明の目的で、図12A〜図12Dの表示の断面位置は、ゲート電極の側面の1つにおけるソース又はドレイン位置の1つにおいて切断されている。
図12Bを参照すると、フィン1202のソース又はドレイン位置が凹設され、凹設フィン部分1206を形成する。フィン1202の凹設ソース又はドレイン位置は、ゲート電極の側面、及び、ゲート電極の第2側面にあり得る。図12A及び図12Bを両方参照すると、一実施形態において、誘電体スペーサ1204が、例えば、ゲート構造の側面で、フィン1202の一部の側壁に沿って形成される。そのような一実施形態において、フィン1202の凹設は、誘電体スペーサ1204の頂面1204Aより下にフィン1202を凹設することを伴う。
図12Cを参照すると、エピタキシャルソース又はドレイン構造1208が凹設フィン1206上に形成され、従って、例えば、ゲート電極の側面に形成され得る。そのような一実施形態において、第2エピタキシャルソース又はドレイン構造が、そのようなゲート電極の第2側面で、凹設フィン1206の第2部分上に形成される。一実施形態において、エピタキシャルソース又はドレイン構造1208は、シリコン及びゲルマニウムを含み、図12Cに図示されるように、マッチ棒状のプロファイルを有する。一実施形態において、図示されるように、誘電体スペーサ1204が含まれ、エピタキシャルソース又はドレイン構造1208の側壁の下部1208Aに沿っている。
図12Dを参照すると、導電性電極1210がエピタキシャルソース又はドレイン構造1208上に形成される。一実施形態において、導電性電極1210は、導電性バリア層1210A及び導電性充填材料1201Bを含む。一実施形態において、導電性電極1210は、図示されるように、エピタキシャルソース又はドレイン構造1208のプロファイルに従う。他の実施形態において、エピタキシャルソース又はドレイン構造1208の上部は、導電性電極1210の製造の間に侵食される。
別の態様において、分離フィンについての、フィントリムアイソレーション(FTI)及び単一ゲート間隔が説明される。基板表面から突出する半導体材料のフィンを利用する非プレーナ型トランジスタは、フィンの2、3、又は、更にはすべての側面を覆うゲート電極(すなわち、デュアルゲート、トライゲート、ナノワイヤトランジスタ)を活用する。次に、ソース及びドレイン領域は典型的には、フィンの中に、又は、フィンの再成長部分として、ゲート電極のいずれかの側に形成される。第1非プレーナ型トランジスタのソース又はドレイン領域を隣接する第2非プレーナ型トランジスタのソース又はドレイン領域から分離するべく、2つの隣接するフィンの間にギャップ又はスペースが形成され得る。そのような分離ギャップは、一般的に、何らかの種類のマスキングされたエッチングを必要とする。分離されると、ゲートスタックは次に、典型的には、やはり何らかの種類のマスキングされたエッチング(例えば、特定の実装に応じて、ラインエッチング又は開口エッチング)を用いて個々のフィンの上方にパターニングされる。
上述のフィン分離技法についての1つの潜在的な問題は、ゲートがフィンの端部と自己整合しないことであり、ゲートスタックパターンの、半導体フィンパターンとの整合は、これら2つのパターンの重ね合わせに依存する。従って、リソグラフィの重ね合わせの許容誤差が、半導体フィン及び分離ギャップの寸法に追加され、所与のレベルのトランジスタ機能のために必要となるであろう長さと比較して、フィンはより大きい長さである必要があり、分離ギャップはより大きい必要がある。従って、そのような過剰な寸法を減少させるデバイスアーキテクチャ及び製造技法は、トランジスタ密度の非常に有利な改善を提供する。
上述されたフィン分離技法についての別の潜在的な問題は、キャリア移動性を改善するために望ましい、半導体フィンにおける応力が、製造中に非常に多くのフィン表面が解放されたままである、トランジスタのチャネル領域から失われ得て、フィンのひずみの緩和を可能にすることである。従って、より高いレベルの望ましいフィン応力を維持するデバイスアーキテクチャ及び製造技法は、非プレーナ型トランジスタ性能の有利な改善を提供する。
本開示の一実施形態に係るスルーゲートフィン分離のアーキテクチャ及び技法が本明細書に説明されている。示される例示的な実施形態において、集積回路(IC)などのマイクロエレクトロニクスデバイスにおける非プレーナ型トランジスタは、トランジスタのゲート電極と自己整合する方式で、互いから分離される。本開示の実施形態は、非プレーナ型トランジスタを利用する、事実上いずれのICにも適用されるが、例示的なICには、これらに限定されないが、ロジック及びメモリ(SRAM)部分を含むマイクロプロセッサコア、RFIC(例えば、デジタルベースバンド及びアナログフロントエンドモジュールを含む無線IC)、及び、パワーICが含まれる。
実施形態において、隣接する半導体フィンの2つの端部は、1つのパターニングマスクレベルだけを使用して、ゲート電極に対して位置決めされる分離領域によって互いに電気的に分離される。一実施形態において、固定ピッチの複数の犠牲プレースホルダストライプを形成するために単一マスクが採用され、プレースホルダストライプの第1サブセットは、分離領域の位置又は寸法を画定し、一方、プレースホルダストライプの第2サブセットは、ゲート電極の位置又は寸法を画定する。特定の実施形態において、プレースホルダストライプの第1サブセットは除去され、第1サブセットの除去の結果として生じる開口にける半導体フィンに分離カットが形成され、一方、プレースホルダストライプの第2サブセットは、最終的に、非犠牲ゲート電極スタックと置き換えられる。ゲート電極置換に利用されるプレースホルダのサブセットが、分離領域を形成するために採用されるので、方法、及び、結果として生じるアーキテクチャは、本明細書において、「スルーゲート」分離と呼ばれる。本明細書において説明される1又は複数のスルーゲート分離の実施形態は、例えば、より高いトランジスタ密度、及び、より高いレベルの有利なトランジスタチャネル応力を可能にし得る。
ゲート電極の配置又は画定後に画定される分離により、より大きいトランジスタ密度を実現できる。なぜなら、フィンの分離寸法及び配置のピッチをゲート電極のピッチに完全に合わせることができ、その結果、ゲート電極及び分離領域の両方が単一マスキングレベルの最小フィーチャピッチの整数の倍数となるからである。フィンが配置される基板と不整合である格子を半導体フィンが有する更なる実施形態において、ゲート電極の配置又は画定後に分離を画定することによって、より大きい程度のひずみが維持される。そのような実施形態について、フィンの端部が画定される前に形成されるトランジスタの他のフィーチャ(ゲート電極、及び、追加されたソース又はドレイン材料など)は、分離カットがフィンに作られた後にフィンひずみを機械的に保持することを助ける。
更に文脈を提供すると、トランジスタのスケーリングは、チップ内におけるセルの、より高密度なパッキングから恩恵を受けることができる。現在、大部分のセルは、埋設フィンを有する2又はより多くのダミーゲートによって、それらの周囲から隔離されている。セルは、1つのセルを他のセルと接続するこれら2又はより多くのダミーゲートの下のフィンをエッチングすることによって分離される。近隣のセル同士を隔離するダミーゲートの数を2又はより多くの数から1に低減させることができる場合、スケーリングは著しく有益であり得る。上で説明されたように、1つの解決法には、2又はより多くのダミーゲートが必要である。2又はより多くのダミーゲートの下にあるフィンは、フィンパターニングの間にエッチングされる。そのようなアプローチの潜在的な問題は、セルのために使用できるチップ上のスペースをダミーゲートが消費することである。一実施形態において、本明細書において説明されるアプローチは、単一のダミーゲートだけを使用して、近隣のセルを隔離することを可能にする。
一実施形態において、フィントリムアイソレーションのアプローチは、自己整合パターニングスキームとして実装される。ここで、単一ゲートの下のフィンはエッチングで除去される。従って、近隣のセルを単一ダミーゲートによって隔離することができる。そのようなアプローチの利点には、チップ上のスペースを節減すること、及び、所与の面積について、より多くの計算能力を可能にすることが含まれ得る。そのアプローチは、サブフィンピッチ距離でフィントリミングを実行することも可能にし得る。
図13A及び図13Bは、本開示の一実施形態に係る、局所的分離構造を形成するために、マルチゲート間隔でフィンをパターニングする方法における様々な工程を表す平面図を示す。
図13Aを参照すると、第1方向1304に沿った長さを有する複数のフィン1302が示されている。複数のゲート線を最終的に形成するための位置を画定する、間隔1307を間に有するグリッド1306が、第1方向1304に直交する第2方向1308に沿って示されている。
図13Bを参照すると、複数のフィン1302の一部がカットされ(例えば、エッチングプロセスによって除去される)、その中にカット1312を有するフィン1310が残る。従って、カット1312において最終的に形成される分離構造は、単一ゲート線より大きい寸法(例えば、3本のゲート線1306の寸法)を有する。従って、ゲート線1306の位置に沿って最終的に形成されるゲート構造は、少なくとも部分的に、カット1312において形成される分離構造の上方に形成されるであろう。従って、カット1312は、比較的幅が広いフィンカットである。
図14Aから図14Dは、本開示の別の実施形態に係る、局所的分離構造を形成するための単一ゲート間隔を有するフィンをパターニングする方法における様々な工程を表す平面図を示す。
図14Aを参照すると、集積回路構造を製造する方法は、複数のフィン1402を形成する段階を含み、複数のフィン1402の個々は、第1方向1404に沿って最長寸法を有する。複数のゲート構造1406が複数のフィン1402の上方にあり、ゲート構造1406の個々は、第1方向1404に直交する第2方向1408に沿って最長寸法を有する。一実施形態において、ゲート構造1406は、犠牲又はダミーゲート線であり、例えば、多結晶シリコンから製造される。一実施形態において、複数のフィン1402はシリコンフィンであり、下層シリコン基板の一部と連続する。
図14Bを参照すると、誘電体材料構造1410が、複数のゲート構造1406のうち隣接するもの間に形成される。
図14Cを参照すると、複数のゲート構造1406の1つの部分1412が除去され、複数のフィン1402各々の部分1414を露出する。一実施形態において、複数のゲート構造1406の1つの部分1412を除去することは、複数のゲート構造1406の1つの部分1412の幅1418より広いリソグラフィウィンドウ1416を使用することを伴う。
図14Dを参照すると、複数のフィン1402各々の露出部分1414は除去され、カット領域1420を形成する。一実施形態において、複数のフィン1402各々の露出部分1414は、ドライ又はプラズマエッチングプロセスを使用して除去される。一実施形態において、複数のフィン1402各々の露出部分1414を除去することは、複数のフィン1402の高さより小さい深度までエッチングすることを伴う。そのような一実施形態において、深度は、複数のフィン1402におけるソース又はドレイン領域の深度より大きい。一実施形態において、分離マージンを提供するために、深度は複数のフィン1402の活性部分の深度より深い。一実施形態において、複数のフィン1402各々の露出部分1414は、複数のフィン1402のソース又はドレイン領域(エピタキシャルソース又はドレイン領域など)をエッチングすることなく、又は、実質的にエッチングすることなく除去される。そのような一実施形態において、複数のフィン1402各々の露出部分1414は、複数のフィン1402のソース又はドレイン領域(エピタキシャルソース又はドレイン領域など)を横方向にエッチングすることなく、又は、実質的に横方向にエッチングすることなく除去される。
一実施形態において、カット領域1420は最終的に、例えば、複数のフィン1402各々の除去された部分1414の位置において、絶縁層で充填される。例示的な絶縁層、又は、「ポリカット」若しくは「プラグ」構造は後述する。しかしながら、他の実施形態において、カット領域1420は、次に導電性構造が形成される絶縁層で部分的に充填されるだけである。導電性構造は、ローカルインターコネクトとして使用され得る。一実施形態において、絶縁層で、又は、ローカルインターコネクト構造を収容する絶縁層でカット領域1420を充填する前に、ドーパントは、固体ソースドーパント層によって、カット領域1420を通して、フィン又は複数のフィンの局所的にカットされた部分の中に注入又は供給され得る。
図15は、本開示の一実施形態に係る、局所的分離のためのマルチゲート間隔を有するフィンを備える集積回路構造の断面図を示す。
図15を参照すると、シリコンフィン1502は、第2フィン部分1506に横方向に隣接する第1フィン部分1504を有する。第1フィン部分1504は、図13A及び図13Bに関連して説明されるような、比較的幅広いカット1508によって第2フィン部分1506から隔離され、比較的幅広いカット1508は、幅Xを有する。誘電体充填材料1510は、比較的幅広いカット1508の中に形成され、第1フィン部分1504を第2フィン部分1506から電気的に分離する。複数のゲート線1512は、シリコンフィン1502の上方にあり、ゲート線の各々は、ゲート誘電体及びゲート電極スタック1514、誘電体キャップ層1516、並びに、側壁スペーサ1518を含み得る。2つのゲート線(左の2つのゲート線1512)は、比較的幅広いカット1508を占有し、従って、第1フィン部分1504は、事実上2つのダミー又は非活性ゲートによって第2フィン部分1506から隔離される。
対照的に、フィン部分は、単一ゲートの距離だけ隔離され得る。例として、図16Aは、本開示の別の実施形態に係る、局所的分離のための単一ゲート間隔を有するフィンを備える集積回路構造の断面図を示す。
図16Aを参照すると、シリコンフィン1602は、第2フィン部分1606に横方向に隣接した第1フィン部分1604を有する。第1フィン部分1604は、図14Aから図14Dに関連して説明されるような比較的狭いカット1608によって第2フィン部分1606から隔離され、比較的狭いカット1608は、幅Yを有し、Yは図15のXより小さい。誘電体充填材料1610が、比較的狭いカット1608の中に形成され、第1フィン部分1604を第2フィン部分1606から電気的に分離する。複数のゲート線1612はシリコンフィン1602の上方にあり、ゲート線の各々は、ゲート誘電体及びゲート電極スタック1614、誘電体キャップ層1616、並びに、側壁スペーサ1618を含み得る。誘電体充填材料1610は、以前に単一ゲート線があった位置を占有し、従って、第1フィン部分1604は、単一の「詰められた」ゲート線によって第2フィン部分1606から隔離される。一実施形態において、図示されるように、残留スペーサ材料1620が、除去されたゲート線部分の位置の側壁上に残る。フィン1602の他の領域は、後述されるように、前の幅広フィンカットプロセスによって製造された2つ又は更に多くの非活性ゲート線(3本の非活性ゲート線を有する領域1622)によって互いから分離され得ることを理解されたい。
再び図16Aを参照すると、集積回路構造1600は、シリコンフィンなどのフィン1602を備える。フィン1602は、第1方向1650に沿って最長寸法を有する。分離構造1610は、第1方向1650に沿って、フィン1602の第1上部1604をフィン1602の第2上部1606から隔離する。分離構造1610は、第1方向1650に沿った中心1611を有する。
第1ゲート構造1612Aは、フィン1602の第1上部1604の上方にあり、第1ゲート構造1612Aは、第1方向1650に直交する第2方向1652(例えば、ページの奥に向かう)に沿って最長寸法を有する。第1ゲート構造1612Aの中心1613Aは、第1方向1650に沿ったピッチだけ、分離構造1610の中心1611から離間している。第2ゲート構造1612Bはフィンの第1上部1604の上方にあり、第2ゲート構造1612Bは、第2方向1652に沿った最長寸法を有する。第2ゲート構造1612Bの中心1613Bは、第1方向1650に沿ったピッチだけ、第1ゲート構造1612Aの中心1613Aから離間している。第3ゲート構造1612Cは、フィン1602の第2上部1606の上方にあり、第3ゲート構造1612Cは、第2方向1652に沿った最長寸法を有する。第3ゲート構造1612Cの中心1613Cは、第1方向1650に沿ったピッチだけ、分離構造1610の中心1611から離間している。一実施形態において、図示されるように、分離構造1610は、第1ゲート構造1612Aの頂部、第2ゲート構造1612Bの頂部、及び、第3ゲート構造1612Cの頂部と実質的に同一平面にある頂部を有する。
一実施形態において、例示的な第3ゲート構造1612Cについて示されるように、第1ゲート構造1612A、第2ゲート構造1612B、及び、第3ゲート構造1612Cの各々は、high‐kゲート誘電体層1662の側壁上及びその間にゲート電極1660を含む。そのような一実施形態において、第1ゲート構造1612A、第2ゲート構造1612B、及び、第3ゲート構造1612Cの各々は、ゲート電極1660上に、及び、high‐kゲート誘電体層1662の側壁上に、絶縁キャップ1616を更に含む。
一実施形態において、集積回路構造1600は更に、第1ゲート構造1612Aと分離構造1610との間のフィン1602の第1上部1604上に、第1エピタキシャル半導体領域1664Aを含む。第2エピタキシャル半導体領域1664Bは、第1ゲート構造1612Aと第2ゲート構造1612Bとの間のフィン1602の第1上部1604上にある。第3エピタキシャル半導体領域1664Cは、第3ゲート構造1612Cと分離構造1610との間のフィン1602の第2上部1606上にある。一実施形態において、第1エピタキシャル半導体領域1664A、第2エピタキシャル半導体領域1664B及び第3エピタキシャル半導体領域1664Cはシリコン及びゲルマニウムを含む。別の実施形態において、第1エピタキシャル半導体領域1664A、第2エピタキシャル半導体領域1664B及び第3エピタキシャル半導体領域1664Cはシリコンを含む。
一実施形態において、分離構造1610は、フィン1602の第1上部1604及びフィン1602の第2上部1606上に応力を誘起する。一実施形態において、応力は圧縮応力である。別の実施形態において、応力は引張応力である。他の実施形態において、分離構造1610は、次に導電性構造が形成される、部分的に充填する絶縁層である。導電性構造は、ローカルインターコネクトとして使用され得る。一実施形態において、絶縁層、又は、ローカルインターコネクト構造を収容する絶縁層を有する分離構造1610を形成する前に、固体ソースドーパント層によって、フィン又は複数のフィンの局所的にカットされた部分へドーパントが注入又は供給される。
別の態様において、上述の分離構造1610などの分離構造が、フィンカットの局所位置又はフィンカットの幅広い位置で、活性ゲート電極に代わって形成され得ることを理解されたい。更に、フィンカットのそのような局所的、又は、幅広い位置の深度は、フィンの中で、互いに対して異なる様々な深度で形成され得る。第1の例において、図16Bは、本開示の一実施形態に係る、ゲート電極に代わってフィン分離構造が形成され得る位置を示す断面図を示す。
図16Bを参照すると、シリコンフィンなどのフィン1680が、基板1682より上に、それに連続して形成され得る。フィン1680は、フィン端部、又は、幅広いフィンカット1684を有し、これらは、例えば、フィンを最後にトリミングするという上述のアプローチなどにおいて、フィンパターニングのときに形成され得る。また、フィン1680は、例えば上述のようにダミーゲートが誘電体プラグで置き換えられるフィントリムアイソレーションのアプローチを使用してフィン1680の一部が除去された局所的カット1686を有する。活性ゲート電極1688がフィンの上方に形成され、説明の目的で、フィン1680を背景に、フィン1680のわずかに前に示され、破線は、前面図から隠れている領域を表す。誘電体プラグ1690は、フィン端部、又は、幅広いフィンカット1684において、そのような位置で活性ゲートを使用する代わりに形成され得る。追加的に、又は、代替的に、誘電体プラグ1692は、局所的カット1686において、そのような位置で活性ゲートを使用する代わりに形成され得る。エピタキシャルソース又はドレイン領域1694は、活性ゲート電極1688とプラグ1690又は1692との間のフィン1680の位置にも示されることを理解されたい。更に、一実施形態において、図16Bに図示されるように、局所的カット1686でのフィンの端部の表面の粗さは、幅広いカットの位置でのフィンの端部より粗い。
図17Aから図17Cは、本開示の一実施形態に係る、フィントリムアイソレーションのアプローチを使用して製造されるフィンカットについての、様々なあり得る深度を示す。
図17Aを参照すると、シリコンフィンなどの半導体フィン1700は、下層基板1702より上に、それに連続して形成され得る。フィン1700は、フィン1700に対する絶縁構造1704の高さによって画定されるような下フィン部1700A及び上フィン部1700Bを有する。局所的フィン分離カット1706Aは、第1フィン部分1710の中のフィン1700を第2フィン部分1712から隔離する。図17Aの例において、軸a‐a'に沿って示されているように、局所的フィン分離カット1706Aの深度は、基板1702までの、フィン1700の全体的な深度である。
図17Bを参照すると、第2の例において、軸a‐a'に沿って示されるように、局所的フィン分離カット1706Bの深度は、基板1702までの、フィン1700の全体的な深度より深い。つまり、カット1706Bは、下層基板1702の中まで延びる。
図17Cを参照すると、第3の例において、軸a‐a'に沿って示されるように、局所的フィン分離カット1706Cの深度は、フィン1700の全体的な深度より小さいが、分離構造1704の上面より深い。再び図17Cを参照すると、第4例において、軸a‐a'に沿って示されるように、局所的フィン分離カット1706Dの深度は、フィン1700の全体的な深度より小さく、分離構造1704の上面と概ね同一平面の高さである。
図18は、本開示の一実施形態に係る、フィン内のフィンカットの局所的、及び、幅広い位置の深度についての可能な選択肢を示す平面図と、軸a‐a'に沿って切断された対応する断面図とを示す。
図18を参照すると、シリコンフィンなどの第1半導体フィン1800及び第2半導体フィン1802は、絶縁構造1804より上に延びる上フィン部1800B及び1802Bを有する。フィン1800及び1802の両方は、例えば、上述したフィンを最後にトリミングするというアプローチなどにおけるフィンパターニングのときに形成され得るフィン端部又は幅広いフィンカット1806を有する。また、フィン1800及び1802の両方は、例えば上述のようにダミーゲートが誘電体プラグで置き換えられるフィントリムアイソレーションのアプローチを使用してフィン1800又は1802の一部が除去された局所的カット1808を有する。一実施形態において、図18に図示されるように、局所的カット1808における、フィンの端部1800及び1802の表面の粗さは、1806の位置におけるフィンの端部より粗い。
図18の断面図を参照すると、下フィン部1800A及び1802Aは、絶縁構造1804の高さより下に見ることができる。また、上述のように、絶縁構造1804の形成の前に、フィンを最後にトリミングするプロセスにおいて除去されたフィンの残部1810が断面図に見られる。基板より上に突出しているように示されているが、追加の例示的な幅広いカットの深度1820によって図示されるように、残部1810はまた、基板と同じ高さであること、又は、基板の中にあることもあり得る。フィン1800及び1802についての幅広いカット1806はまた、図示された例のカット深度1820について説明された高さであり得ることを理解されたい。局所的カット1808は、図示されているように、図17Aから図17Cについて説明されている深度に対応する例示的な深度を有し得る。
図16A、図16B、図17A〜図17C、及び、図18をまとめて参照すると、本開示の一実施形態によれば、集積回路構造は、シリコンを含むフィンを備え、フィンは頂部及び側壁を有し、頂部は第1方向に沿った最長寸法を有する。第1分離構造は、第1方向に沿って、フィンの第1部分の第1端部をフィンの第2部分の第1端部から隔離する。第1分離構造は、第1方向に沿った幅を有する。フィンの第1部分の第1端部は、表面の粗さを有する。ゲート構造は、フィンの第1部分の領域の頂部の上方にある、その側壁に横方向に隣接するゲート電極を含む。ゲート構造は、第1方向に沿った幅を有し、ゲート構造の中心は、第1方向に沿ったピッチだけ、第1分離構造の中心から離間する。第2分離構造は、フィンの第1部分の第2端部の上方にあり、第2端部は、第1端部に対向する。第2分離構造は、第1方向に沿った幅を有し、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の表面の粗さより小さい表面の粗さを有する。第2分離構造の中心は、第1方向に沿ったピッチだけ、ゲート構造の中心から離間している。
一実施形態において、フィンの第1部分の第1端部は、図16Bに図示されるような、スカラップ形のトポグラフィを有する。一実施形態において、第1エピタキシャル半導体領域は、ゲート構造と第1分離構造との間のフィンの第1部分上にある。第2エピタキシャル半導体領域は、ゲート構造と第2分離構造との間のフィンの第1部分上にある。一実施形態において、第1及び第2エピタキシャル半導体領域は、第1方向に直交する第2方向に沿った幅を有し、第2方向に沿った幅は、ゲート構造の下にある、第2方向に沿ったフィンの第1部分の幅より広く、例えば、図11及び図12Dに関連して説明されるエピタキシャルフィーチャは、図11及び図12Dに示される視点において、それらが成長するフィン部分より広い幅を有する。一実施形態において、ゲート構造は更に、ゲート電極の側壁に沿って、ゲート電極とフィンの第1部分との間にhigh‐k誘電体層を含む。
図16A、図16B、図17A〜図17C、及び、図18をまとめて参照すると、本開示の別の実施形態によれば、集積回路構造は、シリコンを含むフィンを備え、フィンは頂部及び側壁を有し、頂部は方向に沿った最長寸法を有する。第1分離構造は、当該方向に沿って、フィンの第1部分の第1端部をフィンの第2部分の第1端部から隔離する。フィンの第1部分の第1端部は深度を有する。ゲート構造は、フィンの第1部分の領域の頂部の上方にある、その側壁に横方向に隣接するゲート電極を含む。第2分離構造は、フィンの第1部分の第2端部の上方にあり、第2端部は、第1端部に対向する。フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度と異なる深度を有する。
一実施形態において、フィンの第1部分の第2端部の深度は、フィンの第1部分の第1端部の深度より小さい。一実施形態において、フィンの第1部分の第2端部の深度は、フィンの第1部分の第1端部の深度より大きい。一実施形態において、第1分離構造は当該方向に沿った幅を有し、ゲート構造は当該方向に沿った幅を有する。第2分離構造は、当該方向に沿った幅を有する。一実施形態において、ゲート構造の中心は、当該方向に沿ったピッチだけ、第1分離構造の中心から離間し、第2分離構造の中心は、当該方向に沿ったピッチだけ、ゲート構造の中心から離間している。
図16A、図16B、図17A〜図17C、及び、図18をまとめて参照すると、本開示の別の実施形態によれば、集積回路構造は、シリコンを含む第1フィンを備え、第1フィンは頂部及び側壁を有し、頂部は方向に沿った最長寸法を有し、不連続部が、当該方向に沿って、第1フィンの第1部分の第1端部をフィンの第2部分の第1端部から隔離する。第1フィンの第1部分は、第1端部に対向する第2端部を有し、フィンの第1部分の第1端部は深度を有する。また、集積回路構造は、シリコンを含む第2フィンを備え、第2フィンは、頂部及び側壁を有し、頂部は、当該方向に沿った最長寸法を有する。また、集積回路構造は、第1フィンと第2フィンとの間に、残部の、又は、残留のフィン部分を含む。残留フィン部分は、頂部及び側壁を有し、頂部は、当該方向に沿って最長寸法を有し、頂部は、フィンの第1部分の第1端部の深度と非同一平面にある。
一実施形態において、フィンの第1部分の第1端部の深度は、残部の、又は、残留のフィン部分の頂部より下である。一実施形態において、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度と同一平面にある深度を有する。一実施形態において、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度より下の深度を有する。一実施形態において、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度より上の深度を有する。一実施形態において、フィンの第1部分の第1端部の深度は、残部の、又は、残留のフィン部分の頂部より上にある。一実施形態において、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度と同一平面にある深度を有する。一実施形態において、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度より下の深度を有する。一実施形態において、フィンの第1部分の第2端部は、フィンの第1部分の第1端部の深度より上の深度を有する。一実施形態において、フィンの第1部分の第2端部は、残留フィン部分の頂部と同一平面にある深度を有する。一実施形態において、フィンの第1部分の第2端部は、残留フィン部分の頂部より下の深度を有する。一実施形態において、フィンの第1部分の第2端部は、残留フィン部分の頂部より上の深度を有する。
別の態様において、局所的な、又は、幅広いフィンカットの位置において形成される誘電体プラグは、フィン又はフィン部分へ特定の応力を提供するように調整できる。誘電体プラグは、そのような実装において、フィン端部ストレッサと呼ばれ得る。
1又は複数の実施形態は、フィンベース半導体デバイスの製造に関連する。そのようなデバイスについての性能改善は、ポリプラグ充填プロセスから誘起されるチャネル応力を介してなされ得る。実施形態には、ポリプラグ充填プロセスにおける材料特性を利用して、金属酸化膜半導体電界効果トランジスタ(MOSFET)チャネルにおいて機械的応力を誘起することが含まれ得る。その結果、誘起された応力は、トランジスタの移動性及び駆動電流を向上させることができる。更に、本明細書に説明されるプラグ充填の方法は、堆積中の何らかのシーム又はボイドの形成を無くすことを可能にし得る。
文脈を提供すると、フィンに接するプラグ充填の固有の材料特性を操作することにより、チャネル内に応力を誘起できる。1又は複数の実施形態によれば、プラグ充填材料の組成、堆積及び後処理の条件を調整することにより、NMOS及びPMOSトランジスタの両方に有益であるように、チャネルにおける応力が調節される。更に、そのようなプラグは、エピタキシャルソース又はドレインなど、他の一般的なストレッサ技法と比較すると、フィン基板の中に深く存在し得る。そのような効果を達成するプラグ充填の性質はまた、堆積中のシーム又はボイドを無くし、プロセス中に特定の欠陥モードを軽減する。
更に文脈を提供すると、ゲート(ポリ)プラグのための意図的な応力の工学技術は現在存在しない。エピタキシャルソース又はドレイン、ダミーポリゲート除去、応力ライナなど、従来のストレッサからの応力増強は、残念ながら、デバイスピッチが収縮するにつれて減衰する傾向がある。1又は複数の上記の問題に対処すべく、本開示の1又は複数の実施形態によれば、追加的な応力源がトランジスタ構造に組み込まれる。そのようなプロセスの別のあり得る恩恵は、他の化学気相成長方法で一般的であり得る、プラグ内のシーム又はボイドを無くすことであり得る。
図19A及び図19Bは、本開示の一実施形態に係る、例えば上述のような、フィンを最後にトリミングするプロセスの一部として、幅広いカットを有するフィンの端部におけるフィン端部ストレッサ位置を選択する方法における様々な工程の断面図を示す。
図19Aを参照すると、シリコンフィンなどのフィン1900が、基板1902より上に形成され、それと連続し得る。フィン1900は、フィン端部、又は、幅広いフィンカット1904を有し、これらは、例えば、フィンを最後にトリミングするという上述のアプローチなどにおいて、フィンパターニングのときに形成され得る。活性ゲート電極位置1906及びダミーゲート電極位置1908は、フィン1900の上方に形成され、説明の目的で、フィン1900を背景に、フィン1900のわずかに前に示され、破線は前面図から隠れている領域を表す。エピタキシャルソース又はドレイン領域1910はまた、ゲート位置1906とゲート位置1908との間のフィン1900の位置に示されていることを理解されたい。更に、層間誘電体材料1912は、ゲート位置1906とゲート位置1908との間のフィン1900の位置に含まれている。
図19Bを参照すると、ゲートプレースホルダ構造又はダミーゲート位置1908が除去され、フィン端部又は幅広いフィンカット1904が露出されている。この除去により、開口1920が形成され、ここに、例えばフィン端部ストレッサ誘電体プラグなどの誘電体プラグが最終的に形成され得るる。
図20A及び図20Bは、本開示の一実施形態に係る、例えば上述のような、フィントリムアイソレーションのプロセスの一部として、局所的カットを有するフィンの端部におけるフィン端部ストレッサ位置を選択する方法における様々な工程の断面図を示す。
図20Aを参照すると、シリコンフィンなどのフィン2000が、基板2002より上に形成され、それに連続し得る。フィン2000は局所的カット2004を有し、ここで、フィン2000の一部は、例えば上述のように、ダミーゲートが除去されてフィンが局所的な位置にエッチングされるフィントリムアイソレーションのアプローチを使用して除去される。活性ゲート電極位置2006及びダミーゲート電極位置2008は、フィン2000の上方に形成され、説明の目的で、フィン2000を背景に、フィン2000よりわずかに前に示され、破線は前面図から隠れている領域を表す。エピタキシャルソース又はドレイン領域2010はまた、ゲート位置2006とゲート位置2008との間のフィン2000の位置に示されていることを理解されたい。更に、層間誘電体材料2012は、ゲート位置2006とゲート位置2008との間のフィン2000の位置に含まれている。
図20Bを参照すると、ゲートプレースホルダ構造又はダミーゲート電極位置2008が除去され、局所的カット2004を有するフィン端部が露出する。この除去により、開口2020が形成され、ここに、例えばフィン端部ストレッサ誘電体プラグなどの誘電体プラグが最終的に形成され得る。
図21Aから図21Mは、本開示の一実施形態に係る、差異化されたフィン端部誘電体プラグを備える集積回路構造を製造する方法における様々な工程の断面図を示す。
図21Aを参照すると、初期構造2100は、NMOS領域及びPMOS領域を含む。初期構造2100のNMOS領域は、基板2104より上に形成され、かつ、それと連続し得る、第1シリコンフィンなどの第1フィン2102を含む。第1フィン2102は、局所的な、又は、幅広いフィンカットから形成され得るフィン端部2106を有する。第1活性ゲート電極位置2108及び第1ダミーゲート電極位置2110は、第1フィン2102の上方に形成され、説明の目的で、第1フィン2102を背景に、第1フィン2102よりわずかに前に示され、破線は、前面図から隠れている領域を表す。また、ドレイン構造のエピタキシャルシリコンソースなどのエピタキシャルN型ソース又はドレイン領域2112は、ゲート位置2108とゲート位置2110との間の第1フィン2102の位置に示される。更に、層間誘電体材料2114は、ゲート位置2108とゲート位置2110との間の第1フィン2102の位置に含まれる。
初期構造2100のPMOS領域は、基板2104より上に形成される、かつ、それに連続し得る、第2シリコンフィンなどの第2フィン2122を含む。第2フィン2122は、局所的な、又は、幅広いフィンカットから形成され得るフィン端部2126を有する。第2活性ゲート電極位置2128及び第2ダミーゲート電極位置2130は、第2フィン2122の上方に形成され、説明の目的で、第2フィン2122を背景に、第2フィン2122よりわずかに前に示され、破線は、前面図から隠れている領域を表す。また、ドレイン構造のエピタキシャルシリコンゲルマニウムソースなどのエピタキシャルP型ソース又はドレイン領域2132は、ゲート位置2128とゲート位置2130との間の第2フィン2122の位置に示される。更に、層間誘電体材料2134は、ゲート位置2128とゲート位置2130との間の第2フィン2122の位置に含まれる。
図21Bを参照すると、位置2110における第1ダミーゲート電極、及び、位置2130における第2ダミーゲート電極が除去される。除去により、第1フィン2102のフィン端部2106、及び、第2フィン2122のフィン端部2126が露出される。また、この除去により、開口2116及び2136がそれぞれ形成され、そこに、例えば、フィン端部ストレッサ誘電体プラグなどの誘電体プラグが最終的に形成され得る。
図21Cを参照すると、図21Bの構造にコンフォーマルな材料ライナ2140が形成される。一実施形態において、材料ライナは、シリコン窒化物材料ライナなどのシリコン及び窒素を含む。
図21Dを参照すると、金属窒化物層などの保護冠層2142が図21Cの構造上に形成される。
図21Eを参照すると、炭素ベースハードマスク材料などのハードマスク材料2144が、図21Dの構造の上方に形成される。リソグラフィマスク又はマスクスタック2146がハードマスク材料2144の上方に形成される。
図21Fを参照すると、PMOS領域における、ハードマスク材料2144の一部、又は、保護冠層2142の一部が、図21Eの構造から除去されている。リソグラフィマスク又はマスクスタック2146も除去されている。
図21Gを参照すると、図21Fの構造にコンフォーマルな第2材料ライナ2148が形成される。一実施形態において、第2材料ライナは、第2シリコン窒化物材料ライナなどのシリコン及び窒素を含む。一実施形態において、第2材料ライナ2148は、露出されたプラグにおける応力を調整するべく、異なる応力状態を有する。
図21Hを参照すると、第2炭素ベースハードマスク材料などの第2ハードマスク材料2150が、図21Gの構造の上方に形成され、次に、構造のPMOS領域の開口2136の中に凹設される。
図21Iを参照すると、第2材料ライナ2148が図21Hの構造からエッチングされることにより、第2材料ライナ2148がNMOS領域から除去され、第2材料ライナ2148が構造のPMOS領域に凹部される。
図21Jを参照すると、ハードマスク材料2144、保護冠層2142、及び、第2ハードマスク材料2150は、図21Iの構造から除去される。この除去により、開口2136と比較して、異なる2つの充填構造が開口2116にそれぞれ残る。
図21Kを参照すると、絶縁充填材料2152が、図21Jの構造の開口2116及び2136において形成され、平坦化される。一実施形態において、絶縁充填材料2152は、流動性酸化シリコン又は二酸化シリコン材料などの流動性酸化物材料である。
図21Lを参照すると、絶縁充填材料2152が図21Kの構造の開口2116及び2136の中に凹設されることにより、凹設絶縁充填材料2154を形成する。一実施形態において、凹設絶縁充填材料2154を硬化するべく、水蒸気酸化プロセスが、凹設プロセスの一部として、又は、凹設プロセスの後に実行される。そのような一実施形態において、凹設絶縁充填材料2154が収縮し、フィン2102及び2122上に引張応力を誘起する。しかしながら、NMOS領域より、PMOS領域の方が、引張応力誘起材料が比較的少ない。
図21Mを参照すると、第3材料ライナ2156は、図21Lの構造の上方にある。一実施形態において、第3材料ライナ2156は、第3シリコン窒化物材料ライナなどのシリコン及び窒素を含む。一実施形態において、第3材料ライナ2156は、凹設絶縁充填材料2154が、ソース又はドレインコンタクトエッチング中、又は、その後にエッチングで除去されることを防止する。
図22Aから図22Dは、本開示の一実施形態に係る、PMOSフィン端部ストレッサ誘電体プラグの例示的構造の断面図を示す。
図22Aを参照すると、構造2100のPMOS領域上の開口2136は、開口2136の側壁に沿った材料ライナ2140を含む。第2材料ライナ2148は、材料ライナ2140の下部にコンフォーマルであるが、材料ライナ2140の上部に対して凹設されている。凹設絶縁充填材料2154は、第2材料ライナ2148の中にあり、第2材料ライナ2148の上面と同一平面である上面を有する。第3材料ライナ2156は、材料ライナ2140の上部の中にあり、絶縁充填材料2154の上面上、及び、第2材料ライナ2148の上面上にある。第3材料ライナ2156は、例えば、第3材料ライナ2156を形成するために使用される成膜プロセスのアーティファクトなどのシーム2157を有する。
図22Bを参照すると、構造2100のPMOS領域上の開口2136は、開口2136の側壁に沿った材料ライナ2140を含む。第2材料ライナ2148は、材料ライナ2140の下部にコンフォーマルであるが、材料ライナ2140の上部に対して凹設されている。凹設絶縁充填材料2154は、第2材料ライナ2148の中にあり、第2材料ライナ2148の上面と同一平面である上面を有する。第3材料ライナ2156は、材料ライナ2140の上部の中にあり、絶縁充填材料2154の上面上、及び、第2材料ライナ2148の上面上にある。第3材料ライナ2156には、シームが無い。
図22Cを参照すると、構造2100のPMOS領域上の開口2136は、開口2136の側壁に沿った材料ライナ2140を含む。第2材料ライナ2148は、材料ライナ2140の下部にコンフォーマルであるが、材料ライナ2140の上部に対して凹設されている。凹設絶縁充填材料2154は、第2材料ライナ2148の中、及び、その上方にあり、第2材料ライナ2148の上面より上にある上面を有する。第3材料ライナ2156は、材料ライナ2140の上部の中にあり、絶縁充填材料2154の上面上にある。第3材料ライナ2156は、シーム無しで示されるが、他の実施形態において、第3材料ライナ2156はシームを有する。
図22Dを参照すると、構造2100のPMOS領域上の開口2136は、開口2136の側壁に沿った材料ライナ2140を含む。第2材料ライナ2148は、材料ライナ2140の下部にコンフォーマルであるが、材料ライナ2140の上部に対して凹設されている。凹設絶縁充填材料2154は、第2材料ライナ2148の中にあり、第2材料ライナ2148の上面より下に凹設された上面を有する。第3材料ライナ2156は、材料ライナ2140の上部の中にあり、絶縁充填材料2154の上面上、及び、第2材料ライナ2148の上面上にある。第3材料ライナ2156は、シーム無しで示されるが、他の実施形態において、第3材料ライナ2156はシームを有する。
図19A、図19B、図20A、図20B、図21A〜図21M及び図22A〜図22Dをまとめて参照すると、本開示の一実施形態によれば、集積回路構造は、シリコンなどのフィンを含み、フィンは、頂部及び側壁を有する。頂部は、方向に沿った最長寸法を有する。第1分離構造は、フィンの第1端部の上方にある。ゲート構造は、フィンの領域の頂部の上方にある、かつ、その側壁に横方向に隣接するゲート電極を含む。ゲート構造は、方向に沿って、第1分離構造から離間している。第2分離構造は、フィンの第2端部の上方にあり、第2端部は、第1端部に対向している。第2分離構造は、方向に沿って、ゲート構造から離間している。第1分離構造及び第2分離構造は両方とも、第1誘電体材料とは別個である凹設第2誘電体材料(例えば第2材料ライナ2148)を横方向から包囲する第1誘電体材料(例えば材料ライナ2140)を含む。凹設第2誘電体材料は、第1及び第2誘電体材料とは異なる第3誘電体材料(例えば、凹設絶縁充填材料2154)の少なくとも一部を横方向から包囲する。
一実施形態において、第1分離構造及び第2分離構造は両方とも、第1誘電体材料の上部によって横方向から包囲される第4誘電体材料(例えば第3材料ライナ2156)を更に含み、第4誘電体材料は、第3誘電体材料の上面上にある。そのような一実施形態において、第4誘電体材料は更に、第2誘電体材料の上面上にある。別のそのような実施形態において、第4誘電体材料は、概ね縦方向の中央シームを有する。別のそのような実施形態において、第4誘電体材料にはシームが無い。
一実施形態において、第3誘電体材料は、第2誘電体材料の上面と同一平面である上面を有する。一実施形態において、第3誘電体材料は、第2誘電体材料の上面より下にある上面を有する。一実施形態において、第3誘電体材料は、第2誘電体材料の上面より上にある上面を有し、第3誘電体材料は更に、第2誘電体材料の上面の上方にある。一実施形態において、第1及び第2分離構造は、フィン上に圧縮応力を誘起する。そのような一実施形態において、ゲート電極は、P型ゲート電極である。
一実施形態において、第1分離構造は、方向に沿った幅を有し、ゲート構造は、方向に沿った幅を有し、第2分離構造は、方向に沿った幅を有する。そのような一実施形態において、ゲート構造の中心は、当該方向に沿ったピッチだけ、第1分離構造の中心から離間し、第2分離構造の中心は、当該方向に沿ったピッチだけ、ゲート構造の中心から離間している。一実施形態において、第1及び第2分離構造は両方とも、層間誘電体層における対応するトレンチの中にある。
そのような一実施形態において、第1ソース又はドレイン領域は、ゲート構造と、第1分離構造との間にある。第2ソース又はドレイン領域は、ゲート構造と第2分離構造との間にある。そのような一実施形態において、第1及び第2ソース又はドレイン領域は、シリコン及びゲルマニウムを含むソース又はドレイン領域に埋め込まれる。そのような一実施形態において、ゲート構造は更に、ゲート電極の側壁に沿って、ゲート電極とフィンとの間にhigh‐k誘電体層を含む。
別の態様において、個々の誘電体プラグの深度は、半導体構造の中、又は、共通の基板上に形成されるアーキテクチャの中において多様であり得る。例として、図23Aは、本開示の別の実施形態に係る、フィン端部応力誘起フィーチャを有する別の半導体構造の断面図を示す。図23Aを参照すると、浅い誘電体プラグ2308Aが、一対の深い誘電体プラグ2308B及び2308Cと共に含まれる。そのような一実施形態において、図示されるように、浅い誘電体プラグ2308Cは、基板2304の中の半導体フィン2302の深度に概ね等しい深度であり、一方、一対の深い誘電体プラグ2308B及び2308Cは、基板2304の中の半導体フィン2302の深度より下の深度である。
再び図23Aを参照すると、そのような構成は、隣接フィン2302の間の分離を提供するべく、基板2304の中に、より深くエッチングする、トレンチにおけるフィントリムアイソレーション(FTI)デバイス上での応力増幅を可能にし得る。そのようなアプローチは、チップ上のトランジスタの密度を増大するように実装され得る。一実施形態において、プラグ充填からトランジスタに誘起される応力効果が、FTIトランジスタにおいて拡大される。なぜなら、フィン及び基板の両方において、又は、トランジスタのずっと下方において、応力伝達が生じるからである。
別の態様において、誘電体プラグに含まれる引張応力誘導酸化層の幅又は量は、例えば、デバイスがPMOSデバイスであるか、又は、NMOSデバイスであるかに依存して、半導体構造の中、又は、共通の基板上で形成されるアーキテクチャの中において多様であり得る。例として、図23Bは、本開示の別の実施形態に係る、フィン端部応力誘起フィーチャを有する別の半導体構造の断面図を示す。図23Bを参照すると、特定の実施形態において、NMOSデバイスは、対応するPMOSデバイスより、引張応力誘導酸化層2350を比較的多く含む。
図23Bを再び参照すると、一実施形態において、NMOS及びPMOSにおいて適切な応力を誘起するべく、プラグ充填の差異化が実装される。例えば、NMOSプラグ2308D及び2308Eは、PMOSプラグ2308F及び2308Gより、引張応力を誘起する酸化層2350の体積が大きく、幅が大きい。プラグ充填は、NMOS及びPMOSデバイスにおいて異なる応力を誘起するべくパターニングされ得る。例えば、PMOSデバイスを広げる(例えば、PMOSデバイスのために誘電体プラグトレンチを広げる)べく、リソグラフィパターニングが使用され得て、この点において、NMOS及びPMOSデバイスにおけるプラグ充填を差異化するために異なる充填の選択肢を実行できる。例示的な実施形態において、PMOSデバイス上のプラグにおける流動性酸化物の体積を減少させることにより、誘起された引張応力を減少させることができる。そのような一実施形態において、例えば、圧縮応力ソース及びドレイン領域からの圧縮応力が優位であり得る。他の実施形態において、異なるプラグライナ又は異なる充填材料の使用は、応力制御が調整可能となる。
上述のように、ポリプラグ応力効果は、NMOSトランジスタ(例えば、引張チャネル応力)及びPMOSトランジスタ(例えば、圧縮チャネル応力)の両方に有益であり得ることを理解されたい。本開示の一実施形態によれば、半導体フィンは、単軸応力半導体フィンである。単軸応力半導体フィンは、引張応力又は圧縮応力で単軸応力を受け得る。例えば、図24Aは、本開示の1又は複数の実施形態に係る、引張単軸応力を有するフィンの斜視図を示し、一方、図24Bは、圧縮単軸応力を有するフィンの斜視図を示す。
図24Aを参照すると、半導体フィン2400の中には、別個のチャネル領域(C)が配置される。ソース領域(S)及びドレイン領域(D)は、チャネル領域(C)のいずれかの側で、半導体フィン2400の中に配置される。半導体フィン2400の別個のチャネル領域は、単軸引張応力の方向(互いに逆向きに端部2402及び2404を指す矢印)に沿った、ソース領域(S)からドレイン領域(D)までの電流が流れる方向を有する。
図24Bを参照すると、半導体フィン2450の中には、別個のチャネル領域(C)が配置される。ソース領域(S)及びドレイン領域(D)は、チャネル領域(C)のいずれかの側で、半導体フィン2450の中に配置される。半導体フィン2450の別個のチャネル領域は、単軸圧縮応力の方向(端部2452及び2454から互いの方を指す矢印)に沿った、ソース領域(S)からドレイン領域(D)までの電流が流れる方向を有する。従って、本明細書に説明される実施形態は、トランジスタの移動性及び駆動電流を改善するべく実装され得て、回路及びチップがより速く実行することを可能にする。
別の態様において、ゲート線カット(ポリカット)が作られる位置と、フィントリムアイソレーション(FTI)局所的フィンカットが作られる位置との間には関係があり得る。一実施形態において、FTI局所的フィンカットは、ポリカットが作られる位置だけに作られる。しかしながら、そのような一実施形態において、FTIカットは、ポリカットが作られるすべての位置に必ずしも作られるわけではない。
図25A及び図25Bは、本開示の一実施形態に係る、選択ゲート線カット位置において局所的分離構造を形成するべく、単一ゲート間隔でフィンをパターニングする方法における様々な工程を表す平面図を示す。
図25Aを参照すると、集積回路構造を製造する方法は、複数のフィン2502を形成する段階を含み、複数のフィン2502の個々は、第1方向2504に沿って最長寸法を有する。複数のゲート構造2506が複数のフィン2502の上方にあり、ゲート構造2506の個々は、第1方向2504に直交する第2方向2508に沿って最長寸法を有する。一実施形態において、ゲート構造2506は、犠牲又はダミーゲート線であり、例えば、多結晶シリコンから製造される。一実施形態において、複数のフィン2502はシリコンフィンであり、下層シリコン基板の部分と連続している。
再び図25Aを参照すると、複数のゲート構造2506のうちの隣接するもの間に誘電体材料構造2510が形成される。複数のゲート構造2506のうちの2つの部分2512及び2513が除去され、複数のフィン2502各々の部分が露出する。一実施形態において、ゲート構造2506のうちの2つの部分2512及び2513を除去することは、ゲート構造2506の部分2512及び2513各々の幅より広いリソグラフィウィンドウを使用することを伴う。位置2512における複数のフィン2502各々の露出部分が除去され、カット領域2520が形成される。一実施形態において、複数のフィン2502の各々の露出部分は、ドライ又はプラズマエッチングプロセスを使用して除去される。しかしながら、位置2513における、複数のフィン2502各々の露出部分は、除去されないようにマスキングされる。一実施形態において、領域2512/2520は両方とも、ポリカット及びFTI局所的フィンカットを表す。しかしながら、位置2513は、ポリカットだけを表す。
図25Bを参照すると、ポリカット及びFTI局所的フィンカットの位置2512/2520、及び、ポリカットの位置2513は、誘電体プラグなどの絶縁構造2530で充填される。例示的な絶縁構造、又は、「ポリカット」若しくは「プラグ」構造は、後述される。
図26Aから図26Cは、本開示の一実施形態に係る、図25Bの構造の様々な領域についての、ポリカット及びFTI局所的フィンカットの位置、並びに、ポリカットだけの位置のための誘電体プラグの様々な可能性の断面図を示す。
図26Aを参照すると、位置2513における、誘電体プラグ2530の部分2600Aの断面図が、図25Bの構造の軸a‐a'に沿って示される。誘電体プラグ2530の部分2600Aは、未カットフィン2502の上、及び、誘電体材料構造2510の間に示される。
図26Bを参照すると、位置2512における、誘電体プラグ2530の部分2600Bの断面図が、図25Bの構造の軸b‐b'に沿って示される。誘電体プラグ2530の部分2600Bが、カットフィン位置2520上、及び、誘電体材料構造2510の間に示される。
図26Cを参照すると、位置2512における、誘電体プラグ2530の部分2600Cの断面図が、図25Bの構造の軸c‐c'に沿って示される。誘電体プラグ2530の部分2600Cは、フィン2502の間のトレンチ分離構造2602上、及び、誘電体材料構造2510の間に示される。上述した例の一実施形態において、トレンチ分離構造2602は、第1絶縁層2602Aと、第2絶縁層2602Bと、第2絶縁層2602B上の絶縁充填材料2602Cとを含む。
図25A、図25B及び図26A〜図26Cをまとめて参照すると、本開示の一実施形態によれば、集積回路構造を製造する方法は、複数のフィンを形成することを含み、複数のフィンの個々は、第1方向に沿っている。複数のゲート構造は、複数のフィンの上方に形成され、ゲート構造の個々は、第1方向に直交する第2方向に沿っている。誘電体材料構造が、複数のゲート構造のうちの隣接するもの間に形成される。複数のゲート構造のうちの第1ゲート構造の一部が除去され、複数のフィン各々の第1部分が露出される。複数のゲート構造のうちの第2ゲート構造の一部が除去され、複数のフィン各々の第2部分が露出される。複数のフィン各々の露出された第1部分は除去されるが、複数のフィン各々の露出された第2部分は除去されない。第1絶縁構造が、複数のフィンの除去された第1部分の位置において形成される。第2絶縁構造が、複数のゲート構造のうちの第2ゲート構造の除去された部分の位置において形成される。
一実施形態において、複数のゲート構造のうちの第1ゲート構造及び第2ゲート構造の一部を除去することは、複数のゲート構造のうちの第1ゲート構造及び第2ゲート構造の一部の各々の幅より広いリソグラフィウィンドウを使用することを伴う。一実施形態において、複数のフィンの各々の露出された第1部分を除去することは、複数のフィンの高さより小さい深度までエッチングすることを伴う。そのような一実施形態において、深度は、複数のフィンにおけるソース又はドレイン領域の深度より大きい。一実施形態において、複数のフィンはシリコンを含み、シリコン基板の一部と連続している。
図16A、図25A、図25B及び図26A〜図26Cをまとめて参照すると、本開示の別の実施形態によれば、集積回路構造はシリコンを含むフィンを備え、フィンは第1方向に沿った最長寸法を有する。分離構造は、フィンの上部の上方にあり、分離構造は、第1方向に沿った中心を有する。第1ゲート構造は、フィンの上部の上方にあり、第1ゲート構造は、第1方向に直交する第2方向に沿った最長寸法を有する。第1ゲート構造の中心は、第1方向に沿ったピッチだけ、分離構造の中心から離間している。第2ゲート構造は、フィンの上部の上方にあり、第2ゲート構造は、第2方向に沿った最長寸法を有する。第2ゲート構造の中心は、第1方向に沿ったピッチだけ、第1ゲート構造の中心から離間している。第3ゲート構造はフィンの上部の上方にあり、第1及び第2ゲート構造から見て分離構造の反対側にあり、第3ゲート構造は、第2方向に沿った最長寸法を有する。第3ゲート構造の中心は、第1方向に沿ったピッチだけ、分離構造の中心から離間している。
一実施形態において、第1ゲート構造、第2ゲート構造、及び、第3ゲート構造の各々は、high‐kゲート誘電体層の側壁上及びその間にゲート電極を含む。そのような一実施形態において、第1ゲート構造、第2ゲート構造及び第3ゲート構造の各々は更に、ゲート電極上、及び、high‐kゲート誘電体層の側壁上に絶縁キャップを含む。
一実施形態において、第1エピタキシャル半導体領域は、第1ゲート構造と分離構造との間のフィンの上部上にある。第2エピタキシャル半導体領域は、第1ゲート構造と第2ゲート構造との間のフィンの上部上にある。第3エピタキシャル半導体領域は、第3ゲート構造と分離構造との間のフィンの上部上にある。そのような一実施形態において、第1、第2及び第3エピタキシャル半導体領域は、シリコン及びゲルマニウムを含む。別のそのような実施形態において、第1、第2及び第3エピタキシャル半導体領域はシリコンを含む。
図16A、図25A、図25B及び図26A〜図26Cをまとめて参照すると、本開示の別の実施形態によれば、集積回路構造は、シャロートレンチアイソレーション(STI)構造を一対の半導体フィンの間に含み、STI構造は、第1方向に沿って最長寸法を有する。分離構造はSTI構造上にあり、分離構造は、第1方向に沿った中心を有する。第1ゲート構造はSTI構造上にあり、第1ゲート構造は、第1方向に直交する第2方向に沿った最長寸法を有する。第1ゲート構造の中心は、第1方向に沿ったピッチだけ、分離構造の中心から離間している。第2ゲート構造はSTI構造上にあり、第2ゲート構造は、第2方向に沿った最長寸法を有する。第2ゲート構造の中心は、第1方向に沿ったピッチだけ、第1ゲート構造の中心から離間している。第3ゲート構造は、第1及び第2ゲート構造から見て分離構造の反対側のSTI構造上にあり、第3ゲート構造は、第2方向に沿った最長寸法を有する。第3ゲート構造の中心は、第1方向に沿ったピッチだけ、分離構造の中心から離間している。
一実施形態において、第1ゲート構造、第2ゲート構造、及び、第3ゲート構造の各々は、high‐kゲート誘電体層の側壁上及びその間にあるゲート電極を含む。そのような一実施形態において、第1ゲート構造、第2ゲート構造及び第3ゲート構造の各々は更に、ゲート電極上、及び、high‐kゲート誘電体層の側壁上に絶縁キャップを含む。一実施形態において、一対の半導体フィンは、一対のシリコンフィンである。
別の態様において、ポリカット及びFTI局所的フィンカットの両方であろうと、又は、ポリカットだけであろうと、カット位置を充填するために使用される絶縁構造又は誘電体プラグは、対応するカットゲート線の誘電体スペーサの中に、又は、更には対応するカットゲート線の誘電体スペーサを超えて、横方向に延び得る。
トレンチコンタクト形状がポリカット誘電体プラグによる影響を受けない第1の例において、図27Aは、本開示の一実施形態に係る、ゲート線の誘電体スペーサの中に延びる誘電体プラグを有するゲート線カットを備える集積回路構造の平面図及び対応する断面図を示す。
図27Aを参照すると、集積回路構造2700Aは、第1方向2703に沿った最長寸法を有する第1シリコンフィン2702を備える。第2シリコンフィン2704は、第1方向2703に沿った最長寸法を有する。絶縁体材料2706は、第1シリコンフィン2702と第2シリコンフィン2704との間にある。ゲート線2708は、第2方向2709に沿って、第1シリコンフィン2702の上方に、及び、第2シリコンフィン2704の上方にあり、第2方向2709は、第1方向2703に直交する。ゲート線2708は、第1側面2708A及び第2側面2708Bを有し、第1端部2708C及び第2端部2708Dを有する。ゲート線2708は、ゲート線2708の第1端部2708Cと第2端部2708Dとの間、絶縁体材料2706の上方に、不連続部分2710を有する。不連続部分2710は誘電体プラグ2712で充填される。
トレンチコンタクト2714は、ゲート線2708の第1側面2708Aにおいて、第2方向2709に沿って、第1シリコンフィン2702の上方、及び、第2シリコンフィン2704の上方にある。トレンチコンタクト2714は、誘電体プラグ2712に横方向に隣接する位置2715において、絶縁体材料2706の上方に連続している。誘電体スペーサ2716は、横方向に、トレンチコンタクト2714と、ゲート線2708の第1側面2708Aとの間にある。誘電体スペーサ2716は、ゲート線2708の第1側面2708A、及び、誘電体プラグ2712に沿って連続する。誘電体スペーサ2716は、ゲート線2708の第1側面2708Aに横方向に隣接する幅(W1)より薄い、誘電体プラグ2712に横方向に隣接する幅(W2)を有する。
一実施形態において、第2トレンチコンタクト2718は、ゲート線2708の第2側面2708Bにおいて、第2方向2709に沿って、第1シリコンフィン2702の上方、及び、第2シリコンフィン2704の上方にある。第2トレンチコンタクト2718は、誘電体プラグ2712に横方向に隣接する位置2719において、絶縁体材料2706の上方に連続している。そのような一実施形態において、第2誘電体スペーサ2720は、横方向に、第2トレンチコンタクト2718と、ゲート線2708の第2側面2708Bとの間にある。第2誘電体スペーサ2720は、ゲート線2708の第2側面2708B、及び、誘電体プラグ2712に沿って連続する。第2誘電体スペーサは、ゲート線2708の第2側面2708Bに横方向に隣接する幅より薄い、誘電体2712プラグに横方向に隣接する幅を有する。
一実施形態において、ゲート線2708は、high‐kゲート誘電体層2722、ゲート電極2724、及び、誘電体キャップ層2726を含む。一実施形態において、誘電体プラグ2712は、誘電体スペーサ2714と同一の材料を含むが、誘電体スペーサ2714とは別個である。一実施形態において、誘電体プラグ2712は、誘電体スペーサ2714と異なる材料を含む。
トレンチコンタクト形状がポリカット誘電体プラグによる影響を受ける第2の例において、図27Bは、本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる誘電体プラグを有するゲート線カットを備える集積回路構造の平面図及び対応する断面図を示す。
図27Bを参照すると、集積回路構造2700Bは、第1方向2753に沿った最長寸法を有する第1シリコンフィン2752を備える。第2シリコンフィン2754は、第1方向2753に沿った最長寸法を有する。絶縁体材料2756は、第1シリコンフィン2752と第2シリコンフィン2754との間にある。ゲート線2758は、第2方向2759に沿って、第1シリコンフィン2752の上方に、及び、第2シリコンフィン2754の上方にあり、第2方向2759は、第1方向2753に直交する。ゲート線2758は、第1側面2758A及び第2側面2758Bを有し、第1端部2758C及び第2端部2758Dを有する。ゲート線2758は、ゲート線2758の第1端部2758Cと第2端部2758Dとの間、絶縁体材料2756の上方に、不連続部分2760を有する。不連続部分2760は誘電体プラグ2762で充填される。
トレンチコンタクト2764は、ゲート線2758の第1側面2758Aにおいて、第2方向2759に沿って、第1シリコンフィン2752の上方、及び、第2シリコンフィン2754の上方にある。トレンチコンタクト2764は、誘電体プラグ2762に横方向に隣接する位置2765において、絶縁体材料2756の上方に連続する。誘電体スペーサ2766は、横方向に、トレンチコンタクト2764と、ゲート線2758の第1側面2758Aとの間にある。誘電体スペーサ2766は、ゲート線2758の第1側面2758Aに沿っているが、誘電体プラグ2762に沿っておらず、不連続な誘電体スペーサ2766が結果として生じる。トレンチコンタクト2764は、誘電体スペーサ2766に横方向に隣接する幅(W2)より薄い誘電体プラグ2762に横方向に隣接する幅(W1)を有する。
一実施形態において、第2トレンチコンタクト2768は、ゲート線2758の第2側面2758Bにおいて、第2方向2759に沿って、第1シリコンフィン2752の上方、及び、第2シリコンフィン2754の上方にある。第2トレンチコンタクト2768は、誘電体プラグ2762に横方向に隣接する位置2769において、絶縁体材料2756の上方に連続する。そのような一実施形態において、第2誘電体スペーサ2770は、横方向に、第2トレンチコンタクト2768と、ゲート線2758の第2側面2758Bとの間にある。第2誘電体スペーサ2770は、ゲート線2758の第2側面2508Bに沿っているが、誘電体プラグ2762に沿っておらず、不連続な誘電体スペーサ2770が結果として生じる。第2トレンチコンタクト2768は、第2誘電体スペーサ2770に横方向に隣接する幅より薄い、誘電体プラグ2762に横方向に隣接する幅を有する。
一実施形態において、ゲート線2758は、high‐kゲート誘電体層2772、ゲート電極2774、及び、誘電体キャップ層2776を含む。一実施形態において、誘電体プラグ2762は、誘電体スペーサ2764と同一の材料を含むが、誘電体スペーサ2764とは別個である。一実施形態において、誘電体プラグ2762は、誘電体スペーサ2764と異なる材料を含む。
ポリカット位置のための誘電体プラグがプラグの頂部からプラグの底部へテーパ状になっている第3の例において、図28A〜図28Fは、本開示の別の実施形態に係る、ゲート線の誘電体スペーサを超えて延びる上部と、ゲート線の誘電体スペーサの中へ延びる下部とを有する誘電体プラグを有するゲート線カットを備える集積回路構造を製造する方法における様々な工程の断面図を示す。
図28Aを参照すると、複数のゲート線2802が、半導体フィンの間のトレンチ分離構造の上方など、構造2804の上方に形成される。一実施形態において、ゲート線2802の各々は、例えばダミーゲート電極2806及び誘電体キャップ2808を有する、犠牲又はダミーゲート線である。そのような犠牲又はダミーゲート線の一部は、リプレースメントゲートプロセスにおいて、後に(例えば、後述される誘電体プラグ形成の後に)置き換えられ得る。誘電体スペーサ2810は、ゲート線2802の側壁に沿っている。誘電体間層などの誘電体材料2812は、ゲート線2802の間にある。マスク2814が形成され、リソグラフィがパターニングされることによりゲート線2802の1つの一部が露出される。
マスク2814が置かれた図28Bを参照すると、中心ゲート線2802は、エッチングプロセスで除去される。次にマスク2814が除去される。一実施形態において、エッチングプロセスにより、除去されたゲート線2802の誘電体スペーサ2810の一部が侵食され、縮小した誘電体スペーサ2816が形成される。更に、マスク2814によって露出された誘電体材料2812の上部は、エッチングプロセスにおいて侵食され、侵食誘電体材料部分2818が形成される。特定の実施形態において、残留多結晶シリコンなどの残留ダミーゲート材料2820が、未完了のエッチングプロセスのアーティファクトとして構造に残る。
図28Cを参照すると、ハードマスク2822は、図28Bの構造の上方に形成される。ハードマスク2822は、図2Bの構造の上部に、特に、侵食誘電体材料部分2818にコンフォーマルであり得る。
図28Dを参照すると、例えば、ゲート線2802のうち中央のものを除去するために使用されるエッチングプロセスと化学に類似し得るエッチングプロセスを用いて、残留ダミーゲート材料2820が除去される。一実施形態において、ハードマスク2822は、残留ダミーゲート材料2820の除去中に、侵食誘電体材料部分2818を更なる侵食から保護する。
図28Eを参照すると、ハードマスク2822が除去されている。一実施形態において、ハードマスク2822は、侵食誘電体材料部分2818の更なる侵食無しで、又は、基本的に更なる侵食無しで除去される。
図28Fを参照すると、誘電体プラグ2830は、図28Eの構造の開口に形成される。誘電体プラグ2830の上部は、侵食誘電体材料部分2818の上方にあり、例えば、元のスペーサ2810を事実上超える。誘電体プラグ2830の下部は、縮小した誘電体スペーサ2816に隣接し、例えば、元のスペーサ2810の中に事実上あるが、それを超えない。その結果、誘電体プラグ2830は、図28Fに図示されるように、テーパ状のプロファイルを有する。誘電体プラグ2830は、他のポリカット若しくはFTIプラグ又はフィン端部ストレッサについて上述された材料及びプロセスから製造され得ることを理解されたい。
別の態様において、プレースホルダゲート構造又はダミーゲート構造の一部は、リプレースメントゲートプロセス中にトレンチ分離領域の侵食から保護するために、恒久的なゲート構造の下のトレンチ分離領域の上方に保持され得る。例えば、図29A〜図29Cは、本開示の一実施形態に係る、恒久的なゲートスタックの底部の一部に残留ダミーゲート材料を備える集積回路構造の平面図、及び、対応する断面図を示す。
図29A〜図29Cを参照すると、集積回路構造は、半導体基板2904から突出する、シリコンフィンなどのフィン2902を含む。フィン2902は、下フィン部2902B及び上フィン部2902Aを含む。上フィン部2902Aは、頂部2902C及び側壁2902Dを有する。分離構造2906は、下フィン部2902Bを包囲する。分離構造2906は、頂面2907を有する絶縁体材料2906Cを含む。半導体材料2908は、絶縁体材料2906Cの頂面2907の一部の上にある。半導体材料2908は、フィン2902から隔離される。
ゲート誘電体層2910は、上フィン部2902Aの頂部2902Cの上方にあり、上フィン部2902Aの側壁2902Dに横方向に隣接する。ゲート誘電体層2910は更に、絶縁体材料2906Cの頂面2907の一部の上の半導体材料2908上にある。フィン2902の酸化部分など、介在する追加的なゲート誘電体層2911は、上フィン部2902Aの頂部2902Cの上方のゲート誘電体層2910の間にあり得て、上フィン部2902Aの側壁2902Dに横方向に隣接し得る。ゲート電極2912は、上フィン部2902Aの頂部2902Cの上方のゲート誘電体層2910の上方にあり、上フィン部2902Aの側壁2902Dに横方向に隣接する。ゲート電極2912は更に、絶縁体材料2906Cの頂面2907の一部の上の半導体材料2908上のゲート誘電体層2910の上方にある。第1ソース又はドレイン領域2916は、ゲート電極2912の第1側面に隣接し、第2ソース又はドレイン領域2918は、ゲート電極2912の第2側面に隣接し、第2側面は第1側面に対向する。例を上述した一実施形態において、分離構造2906は、第1絶縁層2906A、第2絶縁層2906B及び絶縁体材料2906Cを含む。
一実施形態において、絶縁体材料2906Cの頂面2907の部分の上の半導体材料2908は多結晶シリコンである、又は、それを含む。一実施形態において、図示されているように、絶縁体材料2906Cの頂面2907は凹形の窪みを有し、半導体材料2908は凹形の窪みの中にある。一実施形態において、分離構造2906は、絶縁体材料2906Cの底部及び側壁に沿って、第2絶縁体材料(2906A、又は、2906B、又は、2906A/2906B両方)を含む。そのような一実施形態において、絶縁体材料2906Cの側壁に沿った第2絶縁体材料(2906A、又は、2906B、又は、2906A/2906B両方)の一部は、図示されているように、絶縁体材料2906Cの最上面より上に頂面を有する。一実施形態において、第2絶縁体材料(2906A、又は、2906B、又は、2906A/2906B両方)の頂面は、半導体材料2908の最上面より上に、又は、それと同一平面にある。
一実施形態において、絶縁体材料2906Cの頂面2907の一部の上の半導体材料2908は、ゲート誘電体層2910を超えて延びない。つまり、平面図の観点からは、半導体材料2908の位置は、ゲートスタック2912/2910によって覆われる領域に限定される。一実施形態において、第1誘電体スペーサ2920は、ゲート電極2912の第1側面に沿っている。第2誘電体スペーサ2922は、ゲート電極2912の第2側面に沿っている。そのような一実施形態において、ゲート誘電体層2910は更に、図29Bに図示されるように、第1誘電体スペーサ2920の側壁、及び、第2誘電体スペーサ2922に沿って延びている。
一実施形態において、ゲート電極2912は、コンフォーマルな伝導層2912A(例えば、仕事関数層)を含む。そのような一実施形態において、仕事関数層2912Aは、チタン及び窒素を含む。別の実施形態において、仕事関数層2912Aは、チタン、アルミニウム、炭素及び窒素を含む。一実施形態において、ゲート電極2912は更に、仕事関数層2912Aの上方に、導電性充填金属層2912Bを含む。そのような一実施形態において、導電性充填金属層2912Bはタングステンを含む。特定の実施形態において、導電性充填金属層2912Bは、原子百分率が95%又はそれより高いタングステン、及び、原子百分率が0.1〜2%であるフッ素を含む。一実施形態において、絶縁キャップ2924は、ゲート電極2912上にあり、図29Bに図示されるように、ゲート誘電体層2910の上方において延び得る。
図30A〜図30Dは、本開示の別の実施形態に係る、恒久的なゲートスタックの底部の一部において残留ダミーゲート材料を備える集積回路構造を製造する方法における様々な工程の断面図を示す。この視点は、図29Cの構造の軸a‐a'の一部に沿っている。
図30Aを参照すると、集積回路構造を製造する方法は、半導体基板3002からフィン3000を形成することを含む。フィン3000は、下フィン部3000A及び上フィン部3000Bを有する。上フィン部3000Bは、頂部3000C及び側壁3000Dを有する。分離構造3004は、下フィン部3000Aを包囲する。分離構造3004は、頂面3005を有する絶縁体材料3004Cを含む。プレースホルダゲート電極3006は、上フィン部3000Bの頂部3000Cの上方にあり、上フィン部3000Bの側壁3000Dに横方向に隣接する。プレースホルダゲート電極3006は半導体材料を含む。
図30Aの視点からは図示されないが(ただし、その位置は図29Cに示される)、第1ソース又はドレイン領域は、プレースホルダゲート電極3006の第1側面に隣接して形成され得て、第2ソース又はドレイン領域は、プレースホルダゲート電極3006の第2側面に隣接して形成され得て、第2側面は第1側面と対向する。更に、ゲート誘電体スペーサは、プレースホルダゲート電極3006の側壁に沿って形成され得て、層間誘電(ILD)層は、プレースホルダゲート電極3006に横方向に隣接して形成され得る。
一実施形態において、プレースホルダゲート電極3006は、多結晶シリコンであるか、又は、それを含む。一実施形態において、分離構造3004の絶縁体材料3004Cの頂面3005は、図示されるように、凹形の窪みを有する。プレースホルダゲート電極3006の一部は、凹形の窪みの中にある。一実施形態において、図示されるように、分離構造3004は、絶縁体材料3004Cの底部及び側壁に沿って、第2絶縁体材料(3004A、又は、3004B、又は、3004A及び3004B両方)を含む。そのような一実施形態において、絶縁体材料3004Cの側壁に沿った第2絶縁体材料(3004A、又は、3004B、又は、3004A及び3004B両方)の一部は、絶縁体材料3004Cの頂面3005の少なくとも一部より上に頂面を有する。一実施形態において、第2絶縁体材料(3004A、又は、3004B、又は、3004A及び3004B両方)の頂面は、プレースホルダゲート電極3006の一部の最下面より上にある。
図30Bを参照すると、プレースホルダゲート電極3006は、例えば図30Aの方向3008に沿って、上フィン部3000Bの頂部3000C及び側壁3000Dの上方からエッチングされる。エッチングプロセスは、リプレースメントゲートプロセスと呼ばれ得る。一実施形態において、エッチング又はリプレースメントゲートプロセスは未完了であり、分離構造3004の絶縁体材料3004Cの頂面3005の少なくとも一部の上にプレースホルダゲート電極3006の一部3012を残す。
図30A及び図30Bの両方を参照すると、一実施形態において、プレースホルダゲート電極3006の形成前に形成された上フィン部3000Bの酸化部分3010は、エッチングプロセスの間に、図示されるように保持される。しかしながら、別の実施形態において、プレースホルダゲート誘電体層が、プレースホルダゲート電極3006の形成前に形成され、プレースホルダゲート誘電体層は、プレースホルダゲート電極のエッチング後に除去される。
図30Cを参照すると、ゲート誘電体層3014は、上フィン部3000Bの頂部3000Cの上方に、及び、上フィン部3000Bの側壁3000Dに横方向に隣接して形成される。一実施形態において、図示されるように、ゲート誘電体層3014は、上フィン部3000Bの頂部3000Cの上方に、及び、上フィン部3000Bの側壁3000Dに横方向に隣接して、上フィン部3000Bの酸化部分3010上に形成される。別の実施形態において、プレースホルダゲート電極のエッチング後に上フィン部3000Bの酸化部分3010が除去される場合、ゲート誘電体層3014は、上フィン部3000Bの頂部3000Cの上方に、及び、上フィン部3000Bの側壁3000Dに横方向に隣接して、上フィン部3000Bのすぐ上に形成される。いずれの場合も、一実施形態において、ゲート誘電体層3014は更に、分離構造3004の絶縁体材料3004Cの頂面3005の一部の上の、プレースホルダゲート電極3006の一部3012の上に形成される。
図30Dを参照すると、恒久的なゲート電極3016は、上フィン部3000Bの頂部3000Cの上方に、及び、上フィン部3000Bの側壁3000Dに横方向に隣接して、ゲート誘電体層3014の上方に形成される。恒久的なゲート電極3016は更に、絶縁体材料3004Cの頂面3005の一部の上の、プレースホルダゲート電極3006の一部3012の上のゲート誘電体層3014の上方にある。
一実施形態において、恒久的なゲート電極3016の形成は、仕事関数層3016Aの形成を含む。そのような一実施形態において、仕事関数層3016Aは、チタン及び窒素を含む。別のそのような実施形態において、仕事関数層3016Aは、チタン、アルミニウム、炭素及び窒素を含む。一実施形態において、恒久的なゲート電極3016を形成することは、仕事関数層3016Aの上方に形成される導電性充填金属層3016Bを形成することを更に含む。そのような一実施形態において、導電性充填金属層3016Bを形成することは、六フッ化タングステン(WF)前駆体を用いる原子層堆積(ALD)を使用してタングステン含有膜を形成することを含む。一実施形態において、絶縁ゲートキャップ層3018が、恒久的なゲート電極3016上に形成される。
別の態様において、本開示のいくつかの実施形態は、ゲート電極のためのゲート誘電体構造において、非晶質high‐k層を含む。他の実施形態において、部分的に、又は、完全に結晶性のhigh‐k層が、ゲート電極のためのゲート誘電体構造に含まれる。部分的に、又は、完全に結晶性のhigh‐k層が含まれる一実施形態において、ゲート誘電体構造は、強誘電体(FE)ゲート誘電体構造である。部分的に、又は、完全に結晶性のhigh‐k層が含まれる別の実施形態において、ゲート誘電体構造は、反強誘電体(AFE)ゲート誘電体構造である。
一実施形態において、強誘電体又は反強誘電体ゲート酸化物を採用することによって、デバイスチャネルにおける電荷を増大させ、閾値下の挙動を改善するためのアプローチを本明細書において説明する。強誘電体及び反強誘電体ゲート酸化物により、より高い電流のためにチャネル電荷を増大させることができ、また、より急なターンオン動作を行うことができる。
文脈を提供すると、ハフニウム又はジルコニウム(Hf又はZr)ベースの強誘電体及び反強誘電体(FE又はAFE)材料は、典型的には、チタン酸ジルコン酸鉛(PZT)などの強誘電体材料より遥かに薄く、従って、高度にスケーリングされたロジックの技術に適合し得る。ロジックトランジスタの性能を改善できる、FE又はAFE材料の特徴は2つある。すなわち、(1)FE又はAFE分極によって実現される、チャネル内のより高い電荷、及び、(2)シャープなFE又はAFE転移に起因する、より急なターンオン動作である。そのような特性は、電流を増加させること、及び、閾値下の振れ(SS)を減少させることにより、トランジスタ性能を改善できる。
図31Aは、本開示の一実施形態に係る、強誘電体又は反強誘電体ゲート誘電体構造を有する半導体デバイスの断面図を示す。
図31Aを参照すると、集積回路構造3100は、基板3104より上にゲート構造3102を含む。一実施形態において、ゲート構造3102は、単結晶シリコンなどの単結晶材料を含む半導体チャネル構造3106より上又はその上方にある。ゲート構造3102は、ゲート誘電体構造の上方の半導体チャネル構造3106、及び、ゲート電極の上方のゲート誘電体を含む。ゲート誘電体は、強誘電性又は反強誘電性多結晶材料層3102Aを含む。ゲート電極は、強誘電性又は反強誘電性多結晶材料層3102A上に伝導層3102Bを有する。伝導層3102Bは金属を含み、FE又はAFE層の結晶化を強化する、バリア層、仕事関数層、又は、テンプレート層であり得る。1又は複数のゲート充填層3102Cは、伝導層3102B上、又は、より上にある。ソース領域3108及びドレイン領域3110は、ゲート構造3102を挟んで反対側にある。ソース又はドレインコンタクト3112は、位置3149において、ソース領域3108及びドレイン領域3110に電気的に接続され、層間誘電体層3114又はゲート誘電体スペーサ3116のうちの1つ又は両方によって、ゲート構造3102から離間している。図31Aの例において、ソース領域3108及びドレイン領域3110は、基板3104の領域である。一実施形態において、ソース又はドレインコンタクト3112は、バリア層3112A、及び、導電性トレンチ充填材料3112Bを含む。一実施形態において、強誘電性又は反強誘電性多結晶材料層3102Aは、図31Aに図示されるように、誘電体スペーサ3116に沿って延びる。
一実施形態において、及び、本開示全体にわたって適用されるように、強誘電性又は反強誘電性多結晶材料層3102Aは、強誘電体多結晶材料層である。一実施形態において、強誘電体多結晶材料層は、Zr及びHfを含む酸化物であり、ZrとHfの比は、50:50、又は、Zrの方が多い。斜方晶結晶性が増加するにつれて、強誘電体効果が増加し得る。一実施形態において、強誘電体多結晶材料層は、少なくとも80%の斜方晶結晶性を有する。
一実施形態において、及び、本開示全体にわたって適用されるように、強誘電性又は反強誘電性多結晶材料層3102Aは、反強誘電体多結晶材料層である。一実施形態において、反強誘電体多結晶材料層は、Zr及びHfを含む酸化物である。ZrとHfの比は、80:20、つまり、Zrの方が多く、100%Zr、ZrOである場合さえある。一実施形態において、反強誘電体多結晶材料層は、少なくとも80%の正方晶結晶性を有する。
一実施形態において、及び、本開示全体にわたって適用されるように、ゲートスタック3102のゲート誘電体は更に、強誘電性又は反強誘電性多結晶材料層3102Aと半導体チャネル構造3106との間に自然酸化シリコン層、high‐k誘電体(HfOx、Alなど)、又は、酸化物及びhigh‐kの組み合わせなどの非晶質誘電体層3103を含む。一実施形態において、及び、本開示全体にわたって適用されるように、強誘電性又は反強誘電性多結晶材料層3102Aは、1ナノメートルから8ナノメートルの範囲の厚さを有する。一実施形態において、及び、本開示全体にわたって適用されるように、強誘電性又は反強誘電性多結晶材料層3102Aの結晶粒子サイズは概ね、20ナノメートル又はそれより大きい範囲である。
一実施形態において、例えば原子層堆積(ALD)による、強誘電性又は反強誘電性多結晶材料層3102Aの堆積に続いて、金属(例えば、5〜10ナノメートルの窒化チタン、又は、窒化タンタル、又は、タングステンなどの層3102B)を含む層が、強誘電性又は反強誘電性多結晶材料層3102A上に形成される。次にアニールが実行される。一実施形態において、アニールは、1ミリ秒から30分の範囲の持続時間にわたって実行される。一実施形態において、アニールは、500〜1100℃の範囲の温度で実行される。
図31Bは、本開示の別の実施形態に係る、強誘電体又は反強誘電体ゲート誘電体構造を有する別の半導体デバイスの断面図を示す。
図31Bを参照すると、集積回路構造3150は、基板3154より上にゲート構造3152を含む。一実施形態において、ゲート構造3152は、単結晶シリコンなどの単結晶材料を含む半導体チャネル構造3156より上又は上方にある。ゲート構造3152は、半導体チャネル構造3156の上方のゲート誘電体、及び、ゲート誘電体構造の上方のゲート電極を含む。ゲート誘電体は強誘電性又は反強誘電性多結晶材料層3152Aを含み、更に、非晶質酸化物層3153を含み得る。ゲート電極は、強誘電性又は反強誘電性多結晶材料層3152A上に伝導層3152Bを有する。伝導層3152Bは、金属を含み、バリア層又は仕事関数層であり得る。1又は複数のゲート充填層3152Cは、伝導層3152B上、又は、より上にある。半導体チャネル構造3156と異なる半導体材料の領域などの、隆起したソース領域3158、及び、隆起したドレイン領域3160は、ゲート構造3152を挟んで反対側にある。ソース又はドレインコンタクト3162は、位置3199でソース領域3158及びドレイン領域3160に電気的に接続され、層間誘電体層3164又はゲート誘電体スペーサ3166のうちの1つ又は両方によってゲート構造3152から離間される。一実施形態において、ソース又はドレインコンタクト3162は、バリア層3162A、及び、導電性トレンチ充填材料3162Bを含む。一実施形態において、図31Bに図示されるように、強誘電性又は反強誘電性多結晶材料層3152Aは、誘電体スペーサ3166に沿って延びる。
図32Aは、本開示の別の実施形態に係る、一対の半導体フィンの上方にある複数のゲート線の平面図を示す。
図32Aを参照すると、複数の活性ゲート線3204が複数の半導体フィン3200の上方に形成される。ダミーゲート線3206は、複数の半導体フィン3200の端部にある。ゲート線3204/3206の間の間隔3208は、ソース又はドレイン領域3251、3252、3253及び3254などのソース又はドレイン領域に導電性コンタクトを提供するべくトレンチコンタクトが配置され得る位置である。一実施形態において、複数のゲート線3204/3206のパターニング、又は、複数の半導体フィン3200のパターニングは、格子構造として説明される。一実施形態において、格子状パターンは、複数のゲート線3204/3206、又は、一定のピッチで離間し、一定の幅を有する複数の半導体フィン3200のパターン、又は、その両方を含む。
図32Bは、本開示の一実施形態に係る、図32Aの軸a‐a'に沿って切断された断面図を示す。
図32Bを参照すると、複数の活性ゲート線3264は、基板3260より上に形成された半導体フィン3262の上方に形成される。ダミーゲート線3266は、半導体フィン3262の端部にある。誘電体層3270は、ダミーゲート線3266の外側にある。トレンチコンタクト材料3297は、活性ゲート線3264の間、及び、ダミーゲート線3266と活性ゲート線3264との間にある。埋め込まれたソース又はドレイン構造3268は、活性ゲート線3264の間、及び、ダミーゲート線3266と活性ゲート線3264との間の半導体フィン3262の中にある。
活性ゲート線3264は、ゲート誘電体構造3272、仕事関数ゲート電極部分3274、及び、充填ゲート電極部分3276、並びに、誘電体キャッピング層3278を含む。誘電体スペーサ3280は、活性ゲート線3264及びダミーゲート線3266の側壁に沿っている。一実施形態において、ゲート誘電体構造3272は、強誘電性又は反強誘電性多結晶材料層3298を含む。一実施形態において、ゲート誘電体構造3272は更に、非晶質酸化物層3299を含む。
別の態様において、例えばN型又はP型など同一の導電型のデバイスは、差異化された、同一の導電型のためのゲート電極スタックを有し得る。しかしながら、比較の目的で、同一の導電型を有するデバイスは、調節されたドーピングに基づいて差異化された電圧閾値(VT)を有し得る。
図33Aは、本開示の一実施形態に係る、調節されたドーピングに基づいて差異化された電圧閾値を有する一対のNMOSデバイスと、調節されたドーピングに基づいて差異化された電圧閾値を有する一対のPMOSデバイスとを有する断面図を示す。
図33Aを参照すると、第1NMOSデバイス3302は、シリコンフィン又は基板の上方など、半導体活性領域3300の上方で、第2NMOSデバイス3304と隣接する。第1NMOSデバイス3302及び第2NMOSデバイス3304の両方は、ゲート誘電体層3306、仕事関数層などの第1ゲート電極伝導層3308、及び、ゲート電極導電性充填物3310を含む。一実施形態において、第1NMOSデバイス3302の、及び、第2NMOSデバイス3304の第1ゲート電極伝導層3308は、同一の材料及び同一の厚さであり、従って、同一の仕事関数を有する。しかしながら、第1NMOSデバイス3302は、第2NMOSデバイス3304より低いVTを有する。そのような一実施形態において、第1NMOSデバイス3302は、「標準VT」デバイスと呼ばれ、第2NMOSデバイス3304は、「高VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、第1NMOSデバイス3302及び第2NMOSデバイス3304の領域3312において調節又は差異化されたインプラントドーピングを使用することによって実現される。
再び図33Aを参照すると、第1PMOSデバイス3322は、シリコンフィン又は基板の上方など、半導体活性領域3320の上方で、第2PMOSデバイス3324と隣接する。第1PMOSデバイス3322及び第2PMOSデバイス3324の両方は、ゲート誘電体層3326、仕事関数層などの第1ゲート電極伝導層3328、及び、ゲート電極導電性充填物3330を含む。一実施形態において、第1PMOSデバイス3322の、及び、第2PMOSデバイス3324の第1ゲート電極伝導層3328は、同一の材料及び同一の厚さであり、従って、同一の仕事関数を有する。しかしながら、第1PMOSデバイス3322は、第2PMOSデバイス3324より高いVTを有する。そのような一実施形態において、第1PMOSデバイス3322は、「標準VT」デバイスと呼ばれ、第2PMOSデバイス3324は、「低VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、第1PMOSデバイス3322及び第2PMOSデバイス3324の領域3332において調節又は差異化されたインプラントドーピングを使用することによって実現される。
図33Aと対照的に、図33Bは、本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有する一対のNMOSデバイス、及び、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有する一対のPMOSデバイスの断面図を示す。
図33Bを参照すると、第1NMOSデバイス3352は、シリコンフィン又は基板の上方など、半導体活性領域3350の上方で、第2NMOSデバイス3354と隣接する。第1NMOSデバイス3352及び第2NMOSデバイス3354の両方は、ゲート誘電体層3356を含む。しかしながら、第1NMOSデバイス3352及び第2NMOSデバイス3354は、構造上異なるゲート電極スタックを有する。特に、第1NMOSデバイス3352は、第1仕事関数層などの第1ゲート電極伝導層3358、及び、ゲート電極導電性充填物3360を含む。第2NMOSデバイス3354は、第2仕事関数層などの第2ゲート電極伝導層3359、第1ゲート電極伝導層3358、及び、ゲート電極導電性充填物3360を含む。第1NMOSデバイス3352は、第2NMOSデバイス3354より低いVTを有する。そのような一実施形態において、第1NMOSデバイス3352は、「標準VT」デバイスと呼ばれ、第2NMOSデバイス3354は、「高VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、同一の導電型のデバイスについて、差異化されゲートスタックを使用することによって実現される。
再び図33Bを参照すると、第1PMOSデバイス3372は、シリコンフィン又は基板の上方など、半導体活性領域3370の上方で、第2PMOSデバイス3374と隣接する。第1PMOSデバイス3372及び第2PMOSデバイス3374の両方は、ゲート誘電体層3376を含む。しかしながら、第1PMOSデバイス3372及び第2PMOSデバイス3374は、構造上異なるゲート電極スタックを有する。特に、第1PMOSデバイス3372は、仕事関数層などの、第1の厚さを有するゲート電極伝導層3378Aと、ゲート電極導電性充填物3380とを含む。第2PMOSデバイス3374は、第2の厚さを有するゲート電極伝導層3378Bと、ゲート電極導電性充填物3380とを含む。一実施形態において、ゲート電極伝導層3378A及びゲート電極伝導層3378Bは、同一の組成を有するが、ゲート電極伝導層3378Bの厚さ(第2の厚さ)は、ゲート電極伝導層3378Aの厚さ(第1の厚さ)より大きい。第1PMOSデバイス3372は、第2PMOSデバイス3374より高いVTを有する。そのような一実施形態において、第1PMOSデバイス3372は、「標準VT」デバイスと呼ばれ、第2PMOSデバイス3374は、「低VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、同一の導電型のデバイスについて、差異化されゲートスタックを使用することによって実現される。
再び図33Bを参照すると、本開示の一実施形態によれば、集積回路構造は、フィン(例えば、3350などのシリコンフィン)を含む。フィンは頂部(図示)及び側壁(ページの奥及び手前)を有することを理解されたい。ゲート誘電体層3356は、フィンの頂部の上方にあり、フィンの側壁に横方向に隣接する。デバイス3354のN型ゲート電極は、フィンの頂部の上方にあり、フィンの側壁に横方向に隣接して、ゲート誘電体層3356の上方にある。N型ゲート電極は、ゲート誘電体層3356上のP型金属層3359と、P型金属層3359上のN型金属層3358とを含む。理解されるように、第1N型ソース又はドレイン領域は、ゲート電極の第1側面(例えば、ページの奥)に隣接し得て、第2N型ソース又はドレイン領域は、ゲート電極の第2側面(例えば、ページの手前)に隣接し得て、第2側面は第1側面に対向する。
一実施形態において、P型金属層3359は、チタン及び窒素を含み、N型金属層3358は、チタン、アルミニウム、炭素及び窒素を含む。一実施形態において、P型金属層3359は、2〜12オングストロームの範囲の厚さを有し、特定の実施形態において、P型金属層3359は、2〜4オングストロームの範囲の厚さを有する。一実施形態において、N型ゲート電極は更に、N型金属層3358上に導電性充填金属層3360を含む。そのような一実施形態において、導電性充填金属層3360は、タングステンを含む。特定の実施形態において、導電性充填金属層3360は、原子百分率が95%又はより高いタングステン、及び、原子百分率が0.1〜2%であるフッ素を含む。
再び図33Bを参照すると、本開示の別の実施形態によれば、集積回路構造は、電圧閾値(VT)を有する第1N型デバイス3352と、第1ゲート誘電体層3356を有する第1N型デバイス3352と、第1ゲート誘電体層3356上の第1N型金属層3358とを備える。また、電圧閾値(VT)を有する第2N型デバイス3354と、第2ゲート誘電体層3356を有する第2N型デバイス3354と、第2ゲート誘電体層3356上のP型金属層3359と、P型金属層3359上の第2N型金属層3358とが含まれる。
一実施形態において、第2N型デバイス3354のVTは、第1N型デバイス3352のVTより高い。一実施形態において、第1N型金属層3358及び第2N型金属層3358は、同一の組成を有する。一実施形態において、第1N型金属層3358及び第2N型金属層3358は同一の厚さを有する。一実施形態において、N型金属層3358は、チタン、アルミニウム、炭素及び窒素を含み、P型金属層3359はチタン及び窒素を含む。
再び図33Bを参照すると、本開示の別の実施形態によれば、集積回路構造は、電圧閾値(VT)を有する第1P型デバイス3372と、第1ゲート誘電体層3376を有する第1P型デバイス3372と、第1ゲート誘電体層3376上の第1P型金属層3378Aとを含む。第1P型金属層3378Aは厚さを有する。また、第2P型デバイス3374が含まれ、電圧閾値(VT)を有する。第2P型デバイス3374は、第2ゲート誘電体層3376と、第2ゲート誘電体層3376上の第2P型金属層3378Bとを有する。第2P型金属層3378Bは、第1P型金属層3378Aの厚さより大きい厚さを有する。
一実施形態において、デバイス3374の第2P型のVTは、第1P型デバイス3372のVTより低い。一実施形態において、第1P型金属層3378A及び第2P型金属層3378Bは、同一の組成を有する。一実施形態において、第1P型金属層3378A及び第2P型金属層3378Bは両方ともチタン及び窒素を含む。一実施形態において、第1P型金属層3378Aの厚さは、第1P型金属層3378Aの材料の仕事関数飽和厚さより小さい。一実施形態において、図示されないが、第2P型金属層3378Bは、第2金属膜(例えば、第1堆積物から)上に第1金属膜(例えば、第2堆積から)を含み、シームは、第1金属膜と第2金属膜との間にある。
再び図33Bを参照すると、本開示の別の実施形態によれば、集積回路構造は、第1ゲート誘電体層3356と、第1ゲート誘電体層3356上の第1N型金属層3358とを有する第1N型デバイス3352を含む。第2N型デバイス3354は、第2ゲート誘電体層3356と、第2ゲート誘電体層3356上の第1P型金属層3359と、第1P型金属層3359上の第2N型金属層3358とを有する。第1P型デバイス3372は、第3ゲート誘電体層3376と、第3ゲート誘電体層3376上の第2P型金属層3378Aとを有する。第2P型金属層3378Aは厚さを有する。第2P型デバイス3374は、第4ゲート誘電体層3376と、第4ゲート誘電体層3376上の第3P型金属層3378Bとを有する。第3P型金属層3378Bは、第2P型金属層3378Aの厚さより大きい厚さを有する。
一実施形態において、第1N型デバイス3352は、電圧閾値(VT)を有し、第2N型デバイス3354は、電圧閾値(VT)を有し、第2N型デバイス3354のVTは、第1N型デバイス3352のVTより低い。一実施形態において、第1P型デバイス3372は電圧閾値(VT)を有し、第2P型デバイス3374は電圧閾値(VT)を有し、第2P型デバイス3374のVTは、第1P型デバイス3372のVTより低い。一実施形態において、第3P型金属層3378Bは、第2金属膜上の第1金属膜、及び、第1金属膜と第2金属膜との間のシームを含む。
同一の導電型の2種類より多くのVTデバイスが、同一のダイ上など、同一構造の中に含まれ得ることを理解されたい。第1の例において、図34Aは、本開示の一実施形態に係る、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するNMOSデバイスのトリプレットと、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するPMOSデバイスのトリプレットとの断面図を示す。
図34Aを参照すると、第1NMOSデバイス3402は、シリコンフィン又は基板の上方など、半導体活性領域3400の上方で、第2NMOSデバイス3404及び第3NMOSデバイス3403に隣接する。第1NMOSデバイス3402、第2NMOSデバイス3404及び第3NMOSデバイス3403は、ゲート誘電体層3406を含む。第1NMOSデバイス3402及び第3NMOSデバイス3403は、構造上同一又は類似のゲート電極スタックを有する。しかしながら、第2NMOSデバイス3404は、第1NMOSデバイス3402及び第3NMOSデバイス3403とは構造上異なるゲート電極スタックを有する。特に、第1NMOSデバイス3402及び第3NMOSデバイス3403は、第1仕事関数層などの第1ゲート電極伝導層3408と、ゲート電極導電性充填物3410とを含む。第2NMOSデバイス3404は、第2仕事関数層などの第2ゲート電極伝導層3409と、第1ゲート電極伝導層3408と、ゲート電極導電性充填物3410とを含む。第1NMOSデバイス3402は、第2NMOSデバイス3404より低いVTを有する。そのような一実施形態において、第1NMOSデバイス3402は、「標準VT」デバイスと呼ばれ、第2NMOSデバイス3404は、「高VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、同一の導電型のデバイスについて、差異化されたゲートスタックを使用することによって実現される。一実施形態において、第3NMOSデバイス3403のゲート電極構造は第1NMOSデバイス3402のゲート電極構造と同一であるが、第3NMOSデバイス3403は、第1NMOSデバイス3402及び第2NMOSデバイス3404のVTと異なるVTを有する。一実施形態において、第3NMOSデバイス3403のVTは、第1NMOSデバイス3402及び第2NMOSデバイス3404のVTの間にある。一実施形態において、第3NMOSデバイス3403及び第1NMOSデバイス3402の間の差異化されたVTは、第3NMOSデバイス3403の領域3412において、調節された、又は、差異化されたインプラントドーピングを使用することによって実現される。そのような一実施形態において、第3N型デバイス3403は、第1N型デバイス3402のチャネル領域のドーパント濃度と異なるドーパント濃度を有するチャネル領域を有する。
図34Aを再び参照すると、第1PMOSデバイス3422は、シリコンフィン又は基板の上方など、半導体活性領域3420の上方で、第2PMOSデバイス3424及び第3PMOSデバイス3423に隣接する。第1PMOSデバイス3422、第2PMOSデバイス3424及び第3PMOSデバイス3423は、ゲート誘電体層3426を含む。第1PMOSデバイス3422及び第3PMOSデバイス3423は、構造上同一又は類似のゲート電極スタックを有する。しかしながら、第2PMOSデバイス3424は、第1PMOSデバイス3422及び第3PMOSデバイス3423とは構造上異なるゲート電極スタックを有する。特に、第1PMOSデバイス3422及び第3PMOSデバイス3423は、第1の厚さを有する、仕事関数層などのゲート電極伝導層3428Aと、ゲート電極導電性充填物3430とを含む。第2PMOSデバイス3424は、第2の厚さを有するゲート電極伝導層3428Bと、ゲート電極導電性充填物3430とを含む。一実施形態において、ゲート電極伝導層3428A及びゲート電極伝導層3428Bは、同一の組成を有するが、ゲート電極伝導層3428Bの厚さ(第2の厚さ)は、ゲート電極伝導層3428Aの厚さ(第1の厚さ)より大きい。一実施形態において、第1PMOSデバイス3422は、第2PMOSデバイス3424より高いVTを有する。そのような一実施形態において、第1PMOSデバイス3422は、「標準VT」デバイスと呼ばれ、第2PMOSデバイス3424は、「低VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、同一の導電型のデバイスについて、差異化されたゲートスタックを使用することによって実現される。一実施形態において、第3PMOSデバイス3423のゲート電極構造は第1PMOSデバイス3422のゲート電極構造と同一であるが、第3PMOSデバイス3423は、第1PMOSデバイス3422及び第2PMOSデバイス3424のVTとは異なるVTを有する。一実施形態において、第3PMOSデバイス3423のVTは、第1PMOSデバイス3422及び第2PMOSデバイス3424のVTの間にある。一実施形態において、第3PMOSデバイス3423と第1PMOSデバイス3422との間の差異化されたVTは、第3PMOSデバイス3423の領域3432において、調節された、又は、差異化されたインプラントドーピングを使用することによって実現される。そのような一実施形態において、第3P型デバイス3423は、第1P型デバイス3422のチャネル領域のドーパント濃度と異なるドーパント濃度を有するチャネル領域を有する。
第2の例において、図34Bは、本開示の別の実施形態に係る、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するNMOSデバイスのトリプレットと、差異化されたゲート電極構造及び調節されたドーピングに基づいて差異化された電圧閾値を有するPMOSデバイスのトリプレットとの断面図を示す。
図34Bを参照すると、第1NMOSデバイス3452は、シリコンフィン又は基板の上方など、半導体活性領域3450の上方で、第2NMOSデバイス3454及び第3NMOSデバイス3453に隣接する。第1NMOSデバイス3452、第2NMOSデバイス3454及び第3NMOSデバイス3453は、ゲート誘電体層3456を含む。第2NMOSデバイス3454及び第3NMOSデバイス3453は、構造上同一又は類似のゲート電極スタックを有する。しかしながら、第1NMOSデバイス3452は、第2NMOSデバイス3454及び第3NMOSデバイス3453とは構造上異なるゲート電極スタックを有する。特に、第1NMOSデバイス3452は、第1仕事関数層などの第1ゲート電極伝導層3458と、ゲート電極導電性充填物3460とを含む。第2NMOSデバイス3454及び第3NMOSデバイス3453は、第2仕事関数層などの第2ゲート電極伝導層3459と、第1ゲート電極伝導層3458と、ゲート電極導電性充填物3460とを含む。第1NMOSデバイス3452は、第2NMOSデバイス3454より低いVTを有する。そのような一実施形態において、第1NMOSデバイス3452は、「標準VT」デバイスと呼ばれ、第2NMOSデバイス3454は、「高VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、同一の導電型のデバイスについて、差異化されたゲートスタックを使用することによって実現される。一実施形態において、第3NMOSデバイス3453のゲート電極構造は、第2NMOSデバイス3454のゲート電極構造と同一であるが、第3NMOSデバイス3453は、第1NMOSデバイス3452及び第2NMOSデバイス3454のVTとは異なるVTを有する。一実施形態において、第3NMOSデバイス3453のVTは、第1NMOSデバイス3452及び第2NMOSデバイス3454のVTの間にある。一実施形態においてにおいて、第3NMOSデバイス3453と第2NMOSデバイス3454との間の差異化されたVTは、第3NMOSデバイス3453の領域3462において、調節された、又は、差異化されたインプラントドーピングを使用することによって実現される。そのような一実施形態において、第3N型デバイス3453は、第2N型デバイス3454のチャネル領域のドーパント濃度と異なるドーパント濃度を有するチャネル領域を有する。
図34Bを再び参照すると、第1PMOSデバイス3472は、シリコンフィン又は基板の上方など、半導体活性領域3470の上方で、第2PMOSデバイス3474及び第3PMOSデバイス3473に隣接する。第1PMOSデバイス3472、第2PMOSデバイス3474及び第3PMOSデバイス3473は、ゲート誘電体層3476を含む。第2PMOSデバイス3474及び第3PMOSデバイス3473は、構造上同一又は類似のゲート電極スタックを有する。しかしながら、第1PMOSデバイス3472は、第2PMOSデバイス3474及び第3PMOSデバイス3473とは構造上異なるゲート電極スタックを有する。特に、第1PMOSデバイス3472は、第1の厚さを有する、仕事関数層などのゲート電極伝導層3478Aと、ゲート電極導電性充填物3480とを含む。第2PMOSデバイス3474及び第3PMOSデバイス3473は、第2の厚さを有するゲート電極伝導層3478Bと、ゲート電極導電性充填物3480とを含む。一実施形態において、ゲート電極伝導層3478A及びゲート電極伝導層3478Bは、同一の組成を有するが、ゲート電極伝導層3478Bの厚さ(第2の厚さ)は、ゲート電極伝導層3478Aの厚さ(第1の厚さ)より大きい。一実施形態において、第1PMOSデバイス3472は、第2PMOSデバイス3474より高いVTを有する。そのような一実施形態において、第1PMOSデバイス3472は、「標準VT」デバイスと呼ばれ、第2PMOSデバイス3474は、「低VT」デバイスと呼ばれる。一実施形態において、差異化されたVTは、同一の導電型のデバイスについて、差異化されたゲートスタックを使用することによって実現される。一実施形態において、第3PMOSデバイス3473のゲート電極構造は第2PMOSデバイス3474のゲート電極構造と同一であるが、第3PMOSデバイス3473は、第1PMOSデバイス3472及び第2PMOSデバイス3474のVTとは異なるVTを有する。一実施形態において、第3PMOSデバイス3473のVTは、第1PMOSデバイス3472及び第2PMOSデバイス3474のVTの間にある。一実施形態において、第3PMOSデバイス3473及び第1PMOSデバイス3472の間で差異化されたVTは、第3PMOSデバイス3473の領域3482において、調節された、又は、差異化されたインプラントドーピングを使用することによって実現される。そのような一実施形態において、第3P型デバイス3473は、第2P型デバイス3474のチャネル領域のドーパント濃度と異なるドーパント濃度を有するチャネル領域を有する。
図35A〜図35Dは、本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するNMOSデバイスを製造する方法における様々な工程の断面図を示す。
「標準VT NMOS」領域(STD VT NMOS)及び「高VT NMOS」領域(HIGH VT NMOS)が、共通の基板上で分岐するように示される図35Aを参照すると、集積回路構造を製造する方法は、第1及び第2シリコンフィンの上方など、第1半導体フィン3502の上方に、及び、第2半導体フィン3504の上方に、ゲート誘電体層3506を形成する段階を備える。P型金属層3508は、第1半導体フィン3502の上方、及び、第2半導体フィン3504の上方で、ゲート誘電体層3506上に形成される。
図35Bを参照すると、P型金属層3508の一部は、第1半導体フィン3502の上方のゲート誘電体層3506から除去されるが、P型金属層3508の一部3509は、第2半導体フィン3504の上方のゲート誘電体層3506上に保持される。
図35Cを参照すると、N型金属層3510は、第1半導体フィン3502の上方のゲート誘電体層3506上に、及び、第2半導体フィン3504の上方のゲート誘電体層3506の上のP型金属層の一部3509上に形成される。一実施形態において、後続の処理は、第1半導体フィン3502の上方に、電圧閾値(VT)を有する第1N型デバイスを形成すること、及び、第2半導体フィン3504の上方に、電圧閾値(VT)を有する第2N型デバイスを形成することを含み、第2N型デバイスのVTは、第1N型デバイスのVTより高い。
図35Dを参照すると、一実施形態において、導電性充填金属層3512は、N型金属層3510上に形成される。そのような一実施形態において、導電性充填金属層3512を形成することは、六フッ化タングステン(WF)前駆体を用いる原子層堆積(ALD)を使用してタングステン含有膜を形成することを含む。
図36A〜図36Dは、本開示の別の実施形態に係る、差異化されたゲート電極構造に基づいて差異化された電圧閾値を有するPMOSデバイスを製造する方法における様々な工程の断面図を示す。
「標準VT PMOS」領域(STD VT PMOS)及び「低VT PMOS」領域(LOW VT PMOS)が、共通の基板上で分岐するように示される図36Aを参照すると、集積回路構造を製造する方法は、第1及び第2シリコンフィンの上方など、第1半導体フィン3602の上方に、及び、第2半導体フィン3604の上方に、ゲート誘電体層3606を形成する段階を備える。第1P型金属層3608は、第1半導体フィン3602の上方、及び、第2半導体フィン3604の上方で、ゲート誘電体層3606上に形成される。
図36Bを参照すると、第1P型金属層3608の一部は、第1半導体フィン3602の上方のゲート誘電体層3606から除去されるが、第1P型金属層3608の一部3609は、第2半導体フィン3604の上方のゲート誘電体層3606上に保持される。
図36Cを参照すると、第2P型金属層3610は、第1半導体フィン3602の上方のゲート誘電体層3606上、及び、第2半導体フィン3604の上方のゲート誘電体層3606上の第1P型金属層の一部3609の上に形成される。一実施形態において、後続の処理は、第1半導体フィン3602の上方に、電圧閾値(VT)を有する第1P型デバイスを形成すること、及び、第2半導体フィン3604の上方に、電圧閾値(VT)を有する第2P型デバイスを形成することを含み、第2P型デバイスのVTは、第1P型デバイスのVTより低い。
一実施形態において、第1P型金属層3608及び第2P型金属層3610は、同一の組成を有する。一実施形態において、第1P型金属層3608及び第2P型金属層3610は同一の厚さを有する。一実施形態において、第1P型金属層3608及び第2P型金属層3610は、同一の厚さ及び同一の組成を有する。一実施形態において、図示されるように、シーム3611は、第1P型金属層3608と第2P型金属層3610との間にある。
図36Dを参照すると、一実施形態において、導電性充填金属層3612は、P型金属層3610の上方に形成される。そのような一実施形態において、導電性充填金属層3612を形成することは、六フッ化タングステン(WF)前駆体を用いる原子層堆積(ALD)を使用してタングステン含有膜を形成することを含む。一実施形態において、図示されるように、導電性充填金属層3612を形成する前に、N型金属層3614がP型金属層3610上に形成される。そのような一実施形態において、N型金属層3614は、デュアル金属ゲート置換処理スキームのアーティファクトである。
別の態様において、相補型金属酸化膜半導体(CMOS)半導体デバイスの金属ゲート構造が説明される。一例において、図37は、本開示の一実施形態に係る、P/N接合を備える集積回路構造の断面図を示す。
図37を参照すると、集積回路構造3700は、そこから突出する第1半導体フィン3706を有するNウェル領域3704と、そこから突出する第2半導体フィン3710を有するPウェル領域3708とを有する半導体基板3702を含む。第1半導体フィン3706は、第2半導体フィン3710から離間している。Nウェル領域3704は、半導体基板3702におけるPウェル領域3708に直接隣接する。トレンチ分離構造3712は、第1半導体フィン3706と第2半導体フィン3210との間、及び、その外側の半導体基板3702上にある。第1半導体フィン3706及び第2半導体フィン3210は、トレンチ分離構造3712より上に延びる。
ゲート誘電体層3714は、第1半導体フィン3706及び第2半導体フィン3710上、並びに、トレンチ分離構造3712上にある。ゲート誘電体層3714は、第1半導体フィン3706と第2半導体フィン3710との間で連続する。伝導層3716は、第1半導体フィン3706の上方の、ゲート誘電体層3714の上方にあるが、第2半導体フィン3710の上方に無い。一実施形態において、伝導層3716は、チタン、窒素及び酸素を含む。P型金属ゲート層3718は、第1半導体フィン3706の上方の伝導層3716の上方にあるが、第2半導体フィン3710の上方に無い。P型金属ゲート層3718は更に、第1半導体フィン3706と第2半導体フィン3710との間のトレンチ分離構造3712の一部の上にあるが、その全部の上にあるわけではない。n型金属ゲート層3720は、第2半導体フィン3710の上方、第1半導体フィン3706と第2半導体フィン3710との間のトレンチ分離構造3712の上方、及び、P型金属ゲート層3718の上方にある。
一実施形態において、層間誘電(ILD)層3722は、第1半導体フィン3706及び第2半導体フィン3710の外側の、トレンチ分離構造3712より上にある。ILD層3722は開口3724を有し、開口3724は、第1半導体フィン3706及び第2半導体フィン3710を露出する。そのような一実施形態において、伝導層3716、P型金属ゲート層3718及びn型金属ゲート層3720は更に、図示されるように、開口3724の側壁3726に沿って形成される。特定の実施形態において、伝導層3716は、図示されるように、開口3724の側壁3726に沿ったp型金属ゲート層3718の頂面3719、及び、n型金属ゲート層3720の頂面3721より下に、開口3724の側壁3726に沿った頂面3717を有する。
一実施形態において、P型金属ゲート層3718は、チタン及び窒素を含む。一実施形態において、n型金属ゲート層3720は、チタン及びアルミニウムを含む。一実施形態において、導電性充填金属層3730は、図示されるように、n型金属ゲート層3720の上方にある。そのような一実施形態において、導電性充填金属層3730はタングステンを含む。特定の実施形態において、導電性充填金属層3730は、原子百分率が95%又はより高いタングステン、及び、原子百分率が0.1〜2%であるフッ素を含む。一実施形態において、ゲート誘電体層3714は、ハフニウム及び酸素を含む層を有する。一実施形態において、図示されるように、熱又は化学酸化層3732が、第1半導体フィン3706の上部と、第2半導体フィン3710の上部との間にある。一実施形態において、半導体基板3702は、バルクシリコン半導体基板である。
ここで、図37の右側だけを参照すると、本開示の一実施形態によれば、集積回路構造は、そこから突出する半導体フィン3706を有するNウェル領域3704を含む半導体基板3702を備える。トレンチ分離構造3712は、半導体フィン3706の周囲の半導体基板3702上にある。半導体フィン3706は、トレンチ分離構造3712より上に延びる。ゲート誘電体層3714は、半導体フィン3706の上方にある。伝導層3716は、半導体フィン3706の上方のゲート誘電体層3714の上方にある。一実施形態において、伝導層3716は、チタン、窒素及び酸素を含む。P型金属ゲート層3718は、半導体フィン3706の上方の伝導層3716の上方にある。
一実施形態において、層間誘電(ILD)層3722は、トレンチ分離構造3712より上にある。ILD層は開口を有し、開口は半導体フィン3706を露出する。更に、伝導層3716及びP型金属ゲート層3718は、開口の側壁に沿って形成される。そのような一実施形態において、伝導層3716は、開口の側壁に沿ったP型金属ゲート層3718の頂面より下に、開口の側壁に沿った頂面を有する。一実施形態において、P型金属ゲート層3718は、伝導層3716上にある。一実施形態において、P型金属ゲート層3718はチタン及び窒素を含む。一実施形態において、導電性充填金属層3730は、P型金属ゲート層3718の上方にある。そのような一実施形態において、導電性充填金属層3730はタングステンを含む。特定のそのような実施形態において、導電性充填金属層3730は、原子百分率が95%又はより高いタングステン、及び、原子百分率が0.1〜2%であるフッ素から構成される。一実施形態において、ゲート誘電体層3714は、ハフニウム及び酸素を有する層を含む。
図38Aから図38Hは、本開示の一実施形態に係る、デュアル金属ゲートリプレースメントゲートプロセスフローを使用して集積回路構造を製造する方法における様々な工程の断面図を示す。
NMOS(N型)領域及びPMOS(P型)領域を示す図38Aを参照すると、集積回路構造を製造する方法は、基板3800より上の第1半導体フィン3804及び第2半導体フィン3806より上に層間誘電(ILD)層3802を形成する段階を備える。開口3808は、ILD層3802において形成され、開口3808は、第1半導体フィン3804及び第2半導体フィン3806を露出する。一実施形態において、開口3808は、第1半導体フィン3804及び第2半導体フィン3806の上方に最初に置かれていたゲートプレースホルダ又はダミーゲート構造を除去することによって形成される。
ゲート誘電体層3810は、開口3808の中に、第1半導体フィン3804及び第2半導体フィン3806の上方に、並びに、第1半導体フィン3804と第2半導体フィン3806との間のトレンチ分離構造3812の一部の上に形成される。一実施形態において、図示されるように、ゲート誘電体層3810は、第1半導体フィン3804及び第2半導体フィン3806上に形成される、酸化シリコン又は二酸化シリコン層などの熱又は化学酸化層3811上に形成される。別の実施形態において、ゲート誘電体層3810は、第1半導体フィン3804及び第2半導体フィン3806のすぐ上に形成される。
伝導層3814は、第1半導体フィン3804及び第2半導体フィン3806の上方に形成されたゲート誘電体層3810の上方に形成される。一実施形態において、伝導層3814は、チタン、窒素及び酸素を含む。P型金属ゲート層3816は、第1半導体フィン3804の上方、及び、第2半導体フィン3806の上方に形成された伝導層3814の上方に形成される。
図38Bを参照すると、誘電体エッチングストップ層3818がp型金属ゲート層3816上に形成される。一実施形態において、誘電体エッチングストップ層3818は、酸化シリコン(例えばSiO)の第1層と、酸化シリコンの第1層の上の酸化アルミニウム(例えばAl)の層と、酸化アルミニウムの層の上の酸化シリコン(例えばSiO)の第2層とを含む。
図38Cを参照すると、マスク3820は、図38Bの構造の上方に形成される。マスク3820はPMOS領域を覆い、NMOS領域を露出させる。
図38Dを参照すると、誘電体エッチングストップ層3818、p型金属ゲート層3816、及び、伝導層3814がパターニングされ、パターニングされた誘電体エッチングストップ層3819と、パターニングされたp型金属ゲート層3817とを、第1半導体フィン3804の上方のパターニングされた伝導層3815の上方に提供するが、第2半導体フィン3806の上方には提供しない。一実施形態において、伝導層3814は、パターニング中に、第2半導体フィン3806を保護する。
図38Eを参照すると、マスク3820は図38Dの構造から除去される。図38Fを参照すると、パターニング誘電体エッチングストップ層3819は、図38Eの構造から除去される。
図38Gを参照すると、n型金属ゲート層3822が、第2半導体フィン3806の上方、第1半導体フィン3804と第2半導体フィン3806との間のトレンチ分離構造3812の一部の上方、及び、パターニングされたp型金属ゲート層3817の上方に形成される。一実施形態において、パターニングされた伝導層3815、パターニングされたp型金属ゲート層3817、及び、n型金属ゲート層3822は更に、開口3808の側壁3824に沿って形成される。そのような一実施形態において、パターニングされた伝導層3815は、パターニングされたp型金属ゲート層3817の頂面、及び、開口3808の側壁3824に沿ったn型金属ゲート層3822の頂面より下に、開口3808の側壁3824に沿った頂面を有する。
図38Hを参照すると、導電性充填金属層3826がn型金属ゲート層3822の上方に形成される。一実施形態において、導電性充填金属層3826は、六フッ化タングステン(WF)前駆体を用いる原子層堆積(ALD)を使用してタングステン含有膜を堆積させることによって形成される。
別の態様において、相補型金属酸化膜半導体(CMOS)半導体デバイスのためのデュアルシリサイド構造が説明される。例示的なプロセスフローとして、図39A〜図39Hは、本開示の一実施形態に係る、デュアルシリサイドベースの集積回路を製造する方法における様々な工程を表す断面図を示す。
NMOS領域及びPMOS領域が共通の基板上で分岐するように示されている図39Aを参照すると、集積回路構造を製造する方法は、第1シリコンフィンなどの第1フィン3904の上方に、誘電体側壁スペーサ3903を含み得る第1ゲート構造3902を形成する段階を備える。誘電体側壁スペーサ3953を含み得る第2ゲート構造3952は、第2シリコンフィンなどの第2フィン3954の上方に形成される。絶縁体材料3906は、第1フィン3904の上方で第1ゲート構造3902に隣接して、及び、第2フィン3954の上方で第2ゲート構造3952に隣接して形成される。一実施形態において、絶縁体材料3906は犠牲材料であり、デュアルシリサイドプロセスにおいてマスクとして使用される。
図39Bを参照すると、絶縁体材料3906の第1部分は、第2フィン3954の上方からは除去されないが、第1フィン3904の上方からは除去され、第1ゲート構造3902に隣接する第1フィン3904の第1ソース又はドレイン領域3908及び第2ソース又はドレイン領域3910が露出される。一実施形態において、第1ソース又はドレイン領域3908及び第2ソース又はドレイン領域3910は、図示されるように、第1フィン3904の窪み部の中に形成されるエピタキシャル領域である。そのような一実施形態において、第1ソース又はドレイン領域3908及び第2ソース又はドレイン領域3910は、シリコン及びゲルマニウムを含む。
図39Cを参照すると、第1金属シリサイド層3912は、第1フィン3904の第1ソース又はドレイン領域3908及び第2ソース又はドレイン領域3910上に形成される。一実施形態において、第1金属シリサイド層3912は、図39Bの構造上にニッケル及び白金を含む層を堆積させ、ニッケル及び白金を含む層をアニーリングし、ニッケル及び白金を含む層の未反応部分を除去することによって形成される。
図39Dを参照すると、第1金属シリサイド層3912の形成後、絶縁体材料3906の第2部分は、第2フィン3954の上方から除去され、第2ゲート構造3952に隣接する、第2フィン3954の第3ソース又はドレイン領域3958及び第4ソース又はドレイン領域3960が露出する。一実施形態において、第2ソース又はドレイン領域3958及び第3ソース又はドレイン領域3960は、図示されるように、第2シリコンフィンの中など、第2フィン3954の中に形成される。しかしながら、別の実施形態において、第3ソース又はドレイン領域3958及び第4ソース又はドレイン領域3960は、第2フィン3954の窪み部の中で形成されるエピタキシャル領域である。そのような一実施形態において、第3ソース又はドレイン領域3958及び第4ソース又はドレイン領域3960はシリコンを含む。
図39Eを参照すると、第1金属層3914が、図39Dの構造上に、すなわち、第1ソース又はドレイン領域3908、第2ソース又はドレイン領域3910、第3ソース又はドレイン領域3958、及び、第4ソース又はドレイン領域3960上に形成される。次に、第2金属シリサイド層3962が、第2フィン3954の第3ソース又はドレイン領域3958及び第4ソース又はドレイン領域3960上に形成される。第2金属シリサイド層3962は、例えばアニールプロセスを使用して、第1金属層3914から形成される。一実施形態において、第2金属シリサイド層3962は、第1金属シリサイド層3912とは異なる組成である。一実施形態において、第1金属層3914は、チタン層であるか、又は、それを含む。一実施形態において、図示されるように、第1金属層3914は、例えば図39Dの開口トレンチにコンフォーマルに、コンフォーマルな金属層として形成される。
図39Fを参照すると、一実施形態において、第1ソース又はドレイン領域3908、第2ソース又はドレイン領域3910、第3ソース又はドレイン領域3958及び第4ソース又はドレイン領域3960の各々より上にU字形金属層3916を形成するために、第1金属層3914が凹設される。
図39Gを参照すると、一実施形態において、第2金属層3918は、図39Fの構造のU字形金属層3916上に形成される。一実施形態において、第2金属層3918は、U字形金属層3916と異なる組成を有する。
図39Hを参照すると、一実施形態において、第3金属層3920は、図39Gの構造の第2金属層3918上に形成される。一実施形態において、第3金属層3920は、U字形金属層3916と同一の組成を有する。
再び図39Hを参照すると、本開示の一実施形態によれば、集積回路構造3900は、基板より上にP型半導体デバイス(PMOS)を含む。P型半導体デバイスは、第1シリコンフィンなどの第1フィン3904を含む。第1フィンは頂部(3904Aとして示される)、及び、側壁(例えば、ページの奥及び手前)を有することを理解されたい。第1ゲート電極3902は、第1フィン3904の頂部3904Aの上方の、第1フィン3904の側壁に横方向に隣接する第1ゲート誘電体層を含み、第1フィン3904の頂部3904Aの上方の、第1フィン3904の側壁に横方向に隣接する第1ゲート誘電体層の上方に、第1ゲート電極を含む。第1ゲート電極3902は、第1側面3902Aと、第1側面3902Aに対向する第2側面3902Bとを有する。
第1半導体ソース又はドレイン領域3908、及び、第2半導体ソース又はドレイン領域3910はそれぞれ、第1ゲート電極3902の第1側面3902A及び第2側面3902Bに隣接する。第1トレンチコンタクト構造3930及び第2トレンチコンタクト構造3932はそれぞれ、第1ゲート電極3902の第1側面3902A及び第2側面3902Bに隣接する第1半導体ソース又はドレイン領域3908及び第2半導体ソース又はドレイン領域3910の上方にある。第1金属シリサイド層3912は、第1トレンチコンタクト構造3930と第1半導体ソース又はドレイン領域3908との間、及び、第2トレンチコンタクト構造3932と第2トレンチコンタクト構造3910との間にそれぞれ直に挟まれる。
集積回路構造3900は、基板より上にN型半導体デバイス(NMOS)を含む。N型半導体デバイスは、第2シリコンフィンなどの第2フィン3954を含む。第2フィンは頂部(3954Aとして示される)、及び、側壁(例えば、ページの奥及び手前)を有することを理解されたい。第2ゲート電極3952は、第2フィン3954の頂部3954Aの上方の、第2フィン3954の側壁に横方向に隣接する第2ゲート誘電体層を含み、第2フィン3954の頂部3954Aの上方の、第2フィン3954の側壁に横方向に隣接する第2ゲート誘電体層の上方に、第2ゲート電極を含む。第2ゲート電極3952は、第1側面3952Aと、第1側面3952Aに対向する第2側面3952Bとを有する。
第3半導体ソース又はドレイン領域3958、及び、第4半導体ソース又はドレイン領域3960はそれぞれ、第2ゲート電極3952の第1側面3952A、及び、第2側面3952Bに隣接する。第3トレンチコンタクト構造3970、及び、第4トレンチコンタクト構造3972はそれぞれ、第2ゲート電極3952の第1側面3952A及び第2側面3952Bに隣接する、第3半導体ソース又はドレイン領域3958及び第4半導体ソース又はドレイン領域3960の上方にある。第2金属シリサイド層3962は、第3トレンチコンタクト構造3970と第3半導体ソース又はドレイン領域3958との間、及び、第4トレンチコンタクト構造3972と第4半導体ソース又はドレイン領域3960との間にそれぞれ直接挟まれる。一実施形態において、第1金属シリサイド層3912は、第2金属シリサイド層3962に含まれない、少なくとも1種類の金属を含む。
一実施形態において、第2金属シリサイド層3962は、チタン及びシリコンを含む。第1金属シリサイド層3912は、ニッケル、白金及びシリコンを含む。一実施形態において、第1金属シリサイド層3912は更に、ゲルマニウムを含む。一実施形態において、第1金属シリサイド層3912は更にチタンを含む。チタンは、例えば、後に第1金属層3914と共に第2金属シリサイド層3962を形成する間に第1金属シリサイド層3912に組み込まれる。そのような一実施形態において、既にPMOSソース又はドレイン領域上に形成されているシリサイド層は、NMOSソース又はドレイン領域上にシリサイド領域を形成するために使用されるアニールプロセスによって更に変更される。この結果、すべてのシリサイド金属をわずかな割合だけ有する、PMOSソース又はドレイン領域上のシリサイド層が生じ得る。しかしながら、他の実施形態において、PMOSソース又はドレイン領域上に既に形成されたそのようなシリサイド層は、NMOSソース又はドレイン領域上にシリサイド領域を形成するために使用されるアニールプロセスによって変化しない、又は、実質的に変化しない。
一実施形態において、第1半導体ソース又はドレイン領域3908、及び、第2半導体ソース又はドレイン領域3910は、シリコン及びゲルマニウムを含む、第1埋め込み半導体ソース又はドレイン領域及び第2埋め込み半導体ソース又はドレイン領域である。そのような一実施形態において、第3半導体ソース又はドレイン領域3958、及び、第4半導体ソース又はドレイン領域3960は、シリコンを含む、第3埋め込み半導体ソース又はドレイン領域、及び、第4埋め込み半導体ソース又はドレイン領域である。別の実施形態において、第3半導体ソース又はドレイン領域3958、及び、第4半導体ソース又はドレイン領域3960は、フィン3954において形成され、埋め込みエピタキシャル領域ではない。
一実施形態において、第1トレンチコンタクト構造3930、第2トレンチコンタクト構造3932、第3トレンチコンタクト構造3970及び第4トレンチコンタクト構造3972はすべて、U字形金属層3916と、U字形金属層3916全体の上及びその上方にあるT字形金属層3918とを含む。一実施形態において、U字形金属層3916は、チタンを含み、T字形金属層3918は、コバルトを含む。一実施形態において、第1トレンチコンタクト構造3930、第2トレンチコンタクト構造3932、第3トレンチコンタクト構造3970、第4トレンチコンタクト構造3972はすべて、T字形金属層3918上に第3金属層3920を更に含む。一実施形態において、第3金属層3920及びU字形金属層3916は、同一の組成を有する。特定の実施形態において、第3金属層3920及びU字形金属層はチタンを含み、T字形金属層3918はコバルトを含む。
別の態様において、例えばソース又はドレイン領域についての、トレンチコンタクト構造が説明される。一例において、図40Aは、本開示の一実施形態に係る、NMOSデバイスのためのトレンチコンタクトを備える集積回路構造の断面図を示す。図40Bは、本開示の別の実施形態に係る、PMOSデバイスのためのトレンチコンタクトを備える集積回路構造の断面図を示す。
図40Aを参照すると、集積回路構造4000は、シリコンフィンなどのフィン4002を含む。ゲート誘電体層4004は、フィン4002の上方にある。ゲート電極4006は、ゲート誘電体層4004の上方にある。一実施形態において、ゲート電極4006は、コンフォーマルな伝導層4008、及び、導電性充填物4010を含む。一実施形態において、誘電体キャップ4012は、ゲート電極4006の上方に、及び、ゲート誘電体層4004の上方にある。ゲート電極は、第1側面4006Aと第1側面4006Aに対向する第2側面4006Bとを有する。誘電体スペーサ4013は、ゲート電極4006の側壁に沿っている。一実施形態において、図示されるように、ゲート誘電体層4004は更に、第1の誘電体スペーサ4013と、ゲート電極4006の第1側面4006Aとの間に、及び、第2の誘電体スペーサ4013と、ゲート電極4006の第2側面4006Bとの間にある。一実施形態において、図示されないが、熱又は化学酸化シリコン又は二酸化シリコン層などの薄い酸化物層は、フィン4002とゲート誘電体層4004との間にある。
第1半導体ソース又はドレイン領域4014及び第2半導体ソース又はドレイン領域4016はそれぞれ、ゲート電極4006の第1側面4006A及び第2側面4006Bに隣接する。一実施形態において、図示されるように、第1半導体ソース又はドレイン領域4014及び第2半導体ソース又はドレイン領域4016は、フィン4002の中にある。しかしながら、別の実施形態において、第1半導体ソース又はドレイン領域4014及び第2半導体ソース又はドレイン領域4016は、フィン4002の凹部に形成された埋め込みエピタキシャル領域である。
第1トレンチコンタクト構造4018及び第2トレンチコンタクト構造4020はそれぞれ、ゲート電極4006の第1側面4006A及び第2側面4006Bに隣接する、第1半導体ソース又はドレイン領域4014及び第2半導体ソース又はドレイン領域4016の上方にある。第1トレンチコンタクト構造4018及び第2トレンチコンタクト構造4020は両方とも、U字形金属層4022と、U字形金属層4022全体の上及びその上方にあるT字形金属層4024とを含む。一実施形態において、U字形金属層4022及びT字形金属層4024は組成が異なる。そのような一実施形態において、U字形金属層4022はチタンを含み、T字形金属層4024はコバルトを含む。一実施形態において、第1トレンチコンタクト構造4018、及び、第2トレンチコンタクト構造4020は両方とも、T字形金属層4024上に第3金属層4026を更に含む。そのような一実施形態において、第3金属層4026及びU字形金属層4022は同一の組成を有する。特定の実施形態において、第3金属層4026及びU字形金属層4022はチタンを含み、T字形金属層4024はコバルトを含む。
第1トレンチコンタクトビア4028は、第1トレンチコンタクト4018に電気的に接続される。特定の実施形態において、第1トレンチコンタクトビア4028は、第1トレンチコンタクト4018の第3金属層4026上にあり、それに結合される。第1トレンチコンタクトビア4028は更に、誘電体スペーサ4013のうちの1つの一部の上方にあり、それに接触し、誘電体キャップ4012の一部の上方にあり、それに接触する。第2トレンチコンタクトビア4030は、第2トレンチコンタクト4020に電気的に接続される。特定の実施形態において、第2トレンチコンタクトビア4030は、第2トレンチコンタクト4020の第3金属層4026上にあり、それに結合される。第2トレンチコンタクトビア4030は更に、別の誘電体スペーサ4013の一部の上方にあり、それに接触し、誘電体キャップ4012の別の一部の上方にあり、それに接触する。
一実施形態において、金属シリサイド層4032は、第1トレンチコンタクト構造4018と第1半導体ソース又はドレイン領域4014、及び、第2トレンチコンタクト構造4020と第2半導体ソース又はドレイン領域4016との間にそれぞれ直接挟まれる。一実施形態において、金属シリサイド層4032はチタン及びシリコンを含む。特定のそのような実施形態において、第1半導体ソース又はドレイン領域4014及び第2半導体ソース又はドレイン領域4016は、第1N型半導体ソース又はドレイン領域及び第2N型半導体ソース又はドレイン領域である。
図40Bを参照すると、集積回路構造4050は、シリコンフィンなどのフィン4052を含む。ゲート誘電体層4054は、フィン4052の上方にある。ゲート電極4056は、ゲート誘電体層4054の上方にある。一実施形態において、ゲート電極4056は、コンフォーマルな伝導層4058、及び、導電性充填物4060を含む。一実施形態において、誘電体キャップ4062は、ゲート電極4056の上方に、及び、ゲート誘電体層4054の上方にある。ゲート電極は、第1側面4056Aと第1側面4056Aに対向する第2側面4056Bとを有する。誘電体スペーサ4063は、ゲート電極4056の側壁に沿っている。一実施形態において、図示されるように、ゲート誘電体層4054は更に、第1の誘電体スペーサ4063と、ゲート電極4056の第1側面4056Aとの間に、及び、第2の誘電体スペーサ4063と、ゲート電極4056の第2側面4056Bとの間にある。一実施形態において、図示されないが、熱又は化学酸化シリコン又は二酸化シリコン層などの薄い酸化物層は、フィン4052とゲート誘電体層4054との間にある。
第1半導体ソース又はドレイン領域4064、及び、第2半導体ソース又はドレイン領域4066は、それぞれ、ゲート電極4056の第1側面4056A、及び、第2側面4056Bに隣接する。一実施形態において、図示されるように、第1半導体ソース又はドレイン領域4064及び第2半導体ソース又はドレイン領域4066はそれぞれ、フィン4052の凹部4065及び4067に形成される埋め込みエピタキシャル領域である。しかしながら、別の実施形態において、第1半導体ソース又はドレイン領域4064及び第2半導体ソース又はドレイン領域4066はフィン4052の中にある。
第1トレンチコンタクト構造4068及び第2トレンチコンタクト構造4070はそれぞれ、ゲート電極4056の第1側面4056A及び第2側面4056Bに隣接する、第1半導体ソース又はドレイン領域4064及び第2半導体ソース又はドレイン領域4066の上方にある。第1トレンチコンタクト構造4068及び第2トレンチコンタクト構造4070は両方とも、U字形金属層4072と、U字形金属層4072全体の上及びその上方にあるT字形金属層4074とを含む。一実施形態において、U字形金属層4072及びT字形金属層4074は組成が異なる。そのような一実施形態において、U字形金属層4072はチタンを含み、T字形金属層4074はコバルトを含む。一実施形態において、第1トレンチコンタクト構造4068、及び、第2トレンチコンタクト構造4070は両方とも、T字形金属層4074上に第3金属層4076を更に含む。そのような一実施形態において、第3金属層4076及びU字形金属層4072は同一の組成を有する。特定の実施形態において、第3金属層4076及びU字形金属層4072はチタンを含み、T字形金属層4074はコバルトを含む。
第1トレンチコンタクトビア4078は、第1トレンチコンタクト4068に電気的に接続される。特定の実施形態において、第1トレンチコンタクトビア4078は、第1トレンチコンタクト4068の第3金属層4076上にあり、それに結合される。第1トレンチコンタクトビア4078は更に、誘電体スペーサ4063のうちの1つの一部の上方にあり、それに接触し、誘電体キャップ4062の一部の上方にあり、それに接触する。第2トレンチコンタクトビア4080は、第2トレンチコンタクト4070に電気的に接続される。特定の実施形態において、第2トレンチコンタクトビア4080は、第2トレンチコンタクト4070の第3金属層4076上にあり、それに結合される。第2トレンチコンタクトビア4080は更に、別の誘電体スペーサ4063の一部の上方にあり、それに接触し、誘電体キャップ4062の別の一部の上方にあり、それに接触する。
一実施形態において、金属シリサイド層4082は、第1トレンチコンタクト構造4068と第1半導体ソース又はドレイン領域4064、及び、第2トレンチコンタクト構造4070と第2半導体ソース又はドレイン領域4066との間にそれぞれ直接挟まれる。一実施形態において、金属シリサイド層4082は、ニッケル、白金及びシリコンを含む。特定のそのような実施形態において、第1半導体ソース又はドレイン領域4064及び第2半導体ソース又はドレイン領域4066は、第1P型半導体ソース又はドレイン領域、及び、第2P型半導体ソース又はドレイン領域である。一実施形態において、金属シリサイド層4082は更に、ゲルマニウムを含む。一実施形態において、金属シリサイド層4082は更に、チタンを含む。
本明細書において説明される1又は複数の実施形態は、ラップアラウンド半導体コンタクトのための金属化学気相成長の使用に関連する。実施形態は、化学気相成長(CVD)、プラズマ強化化学気相成長(PECVD)、原子層堆積(ALD)、導電性コンタクト製造、又は、薄膜うちの1又は複数に適用され得る、又は、それらを含み得る。
特定の実施形態は、コンフォーマルなソース又はドレインコンタクトを提供するべく、コンタクト金属の低温(例えば、500℃より低い、又は、400〜500℃の範囲)化学気相成長を使用する、チタン又は同様の金属層の製造を含み得る。そのようなコンフォーマルなソース又はドレインコンタクトの実装は、3次元(3D)トランジスタ相補型金属酸化膜半導体(CMOS)性能を改善し得る。
文脈を提供すると、半導体コンタクト層に対する金属は、スパッタリングを使用して堆積され得る。スパッタリングは、視線方向(line of sight)プロセスであり、3Dトランジスタ製造には、あまり適していないことがあり得る。公知のスパッタリングソリューションは、デバイス接触面において、金属‐半導体の接合が弱い、又は、不完全であり、堆積の入射が斜めになる。
本開示の1又は複数の実施形態によれば、3次元のコンフォマリティを提供し、金属半導体接合接触領域を最大化するべく、コンタクト金属の製造のために低温化学気相成長プロセスが実装される。結果として生じる、より大きい接触領域は、接合部の抵抗を減少させ得る。実施形態は、非平面トポグラフィを有する半導体表面上の堆積を含み得て、領域のトポグラフィは、それ自体の表面の形状及びフィーチャを指し、非平面トポグラフィは、非平坦である、表面の形状及びフィーチャ、又は、表面の形状及びフィーチャの一部、すなわち、全体が平坦であるわけではない表面の形状及びフィーチャを含む。
本明細書に説明される実施形態は、ラップアラウンドコンタクト構造の製造を含み得る。そのような一実施形態において、化学気相成長、プラズマ強化化学気相成長、原子層堆積又はプラズマエンハンスト原子層堆積によって、トランジスタソースドレインコンタクト上にコンフォーマルに堆積された純粋な金属の使用が説明される。そのようなコンフォーマルな堆積は、トランジスタデバイスの性能を改善するべく、金属半導体コンタクトの利用可能な領域を増大させ、抵抗を減少させるために使用され得る。一実施形態において、比較的低温の堆積は、最小限に抑えられた、単位領域あたりの接合部の抵抗をもたらす。
本明細書に説明されるように、金属層成膜プロセスを伴う集積スキームを使用して、様々な集積回路構造が製造され得ることを理解されたい。本開示の一実施形態によれば、集積回路構造を製造する方法は、RFソースを有する化学気相成長(CVD)チャンバにおいて基板を提供することを含み、基板はその上にフィーチャを有する。当該方法はまた、基板のフィーチャの上にチタン(Ti)層を形成するために、テトラクロロチタン(TiCl)と水素(H)とを反応させる段階を備える。
一実施形態において、チタン層は、98%又はそれより高いチタン、及び、0.5〜2%の塩素を含む全原子組成を有する。代替的な実施形態において、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、ニオビウム(NB)又はバナジウム(V)の高純度金属層を製造するために、類似のプロセスが使用される。一実施形態において、膜の厚さの変動は比較的小さく、例えば、一実施形態において、すべての被覆表面において、50%より大きく、公称は70%又はそれより大きい(すなわち、厚さの変動は30%又はそれより小さい)。一実施形態において、Si又はSiGeは、堆積の間に反応し、Tiの取り込みを速くするので、厚さは、他の表面より、シリコン(Si)又はシリコンゲルマニウム(SiGe)上で、測定可能な程度厚い。一実施形態において、膜組成は、不純物として概ね0.5%(又は、1%より低い)のClを含み、他の観察される不純物は基本的に含まない。一実施形態において、成膜プロセスは、ラインオブサイトのスパッタ堆積によって隠された表面など、非ラインオブサイト表面上での金属の被覆を可能にする。本明細書において説明される実施形態は、ソース及びドレインコンタクトを通して駆動される電流の外部抵抗を減少させることによって、トランジスタデバイス性能を改善するために実装され得る。
本開示の一実施形態によれば、基板のフィーチャは、半導体ソース又はドレイン構造を露出するソース又はドレインコンタクトトレンチである。チタン層(又は、他の高純度金属層)は、半導体ソース又はドレイン構造のための導電性コンタクト層である。そのような実装の例示的な実施形態は、図41A、図41B、図42、図43A〜図43C及び図44に関連して後述される。
図41Aは、本開示の一実施形態に係る、ソース又はドレイン領域上に導電性コンタクトを有する半導体デバイスの断面図を示す。
図41Aを参照すると、半導体構造4100は、基板4104より上にゲート構造4102を含む。ゲート構造4102は、ゲート誘電体層4102A、仕事関数層4102B及びゲート充填4102Cを含む。ソース領域4108及びドレイン領域4110は、ゲート構造4102を挟んで反対側にある。ソース又はドレインコンタクト4112は、ソース領域4108及びドレイン領域4110に電気的に接続され、層間誘電体層4114又はゲート誘電体スペーサ4116のうちの1つ又は両方によってゲート構造4102から離間される。ソース領域4108及びドレイン領域4110は、基板4104の領域である。
一実施形態において、ソース又はドレインコンタクト4112は、上述のような高純度金属層4112Aと、導電性トレンチ充填材料4112Bとを含む。一実施形態において、高純度金属層4112Aは、98%又はより高いチタンを含む全原子組成を有する。そのような一実施形態において、高純度金属層4112Aの全原子組成は更に、0.5〜2%の塩素を含む。一実施形態において、高純度金属層4112Aの厚さの変動は、30%又はそれより小さい。一実施形態において、導電性トレンチ充填材料4112Bは、これらに限定されないが、Cu、Al、W、又は、それらの合金などの導電性材料から構成される。
図41Bは、本開示の一実施形態に係る、隆起したソース又はドレイン領域上に導電性コンタクトを有する別の半導体デバイスの断面図を示す。
図41Bを参照すると、半導体構造4150は、基板4154より上にゲート構造4152を含む。ゲート構造4152は、ゲート誘電体層4152A、仕事関数層4152B及びゲート充填4152Cを含む。ソース領域4158及びドレイン領域4160は、ゲート構造4152を挟んで反対側にある。ソース又はドレインコンタクト4162は、ソース領域4158及びドレイン領域4160に電気的に接続され、層間誘電体層4164又はゲート誘電体スペーサ4166のうちの1つ又は両方によってゲート構造4152から離間される。ソース領域4158及びドレイン領域4160は、基板4154のエッチングで除去された領域に形成されたエピタキシャル又は埋め込み材料領域である。図示されるように、一実施形態において、ソース領域4158及びドレイン領域4160は、隆起ソース及びドレイン領域である。そのような特定の実施形態において、隆起ソース及びドレイン領域は、隆起シリコンソース及びドレイン領域、又は、隆起シリコンゲルマニウムソース及びドレイン領域である。
一実施形態において、ソース又はドレインコンタクト4162は、上述のような高純度金属層4162A、及び、導電性トレンチ充填材料4162Bを含む。一実施形態において、高純度金属層4162Aは、98%又はより高いチタンを含む全原子組成を有する。そのような一実施形態において、高純度金属層4162Aの全原子組成は更に、0.5〜2%の塩素を含む。一実施形態において、高純度金属層4162Aの厚さの変動は、30%又はより小さい。一実施形態において、導電性トレンチ充填材料4162Bは、これらに限定されないが、Cu、Al、W、又は、それらの合金などの導電性材料から構成される。
従って、一実施形態において、図41A及び図41Bをまとめて参照すると、集積回路構造は、表面を有するフィーチャ(半導体ソース又はドレイン構造を露出させるソース又はドレインコンタクトトレンチ)を備える。高純度金属層4112A又は4162Aは、ソース又はドレインコンタクトトレンチの表面上にある。コンタクト形成プロセスは、ソース又はドレイン領域の露出されたシリコン又はゲルマニウム又はシリコンゲルマニウム材料の消費を伴い得ることを理解されい。そのような消費により、デバイス性能が低下し得る。対照的に、本開示の一実施形態によれば、半導体ソース(4108又は4158)又はドレイン(4110又は4160)構造の表面(4149又は4199)は、ソース又はドレインコンタクトトレンチより下では、侵食若しくは消費されない、又は、実質的に侵食又は消費されない。そのような一実施形態において、消費又は侵食が無いことは、高純度金属接触層の低温堆積から生じる。
図42は、本開示の一実施形態に係る、一対の半導体フィンの上方の複数のゲート線の平面図を示す。
図42を参照すると、複数の活性ゲート線4204は、複数の半導体フィン4200の上方に形成される。ダミーゲート線4206は、複数の半導体フィン4200の端部にある。ゲート線4204と4206との間の間隔4208は、ソース又はドレイン領域4251、4252、4253及び4254などの、ソース又はドレイン領域への導電性コンタクトとしてトレンチコンタクトが形成され得る位置にある。
図43A〜図43Cは、本開示の一実施形態に係る、集積回路構造を製造する方法における様々な工程についての、図42の軸a‐a'に沿って切断された断面図を示す。
図43Aを参照すると、複数の活性ゲート線4304が、基板4300より上に形成された半導体フィン4302の上方に形成される。ダミーゲート線4306は、半導体フィン4302の端部にある。誘電体層4310は、活性ゲート線4304の間、ダミーゲート線4306と活性ゲート線4304との間、及び、ダミーゲート線4306の外側にある。埋め込みソース又はドレイン構造4308は、活性ゲート線4304の間、及び、ダミーゲート線4306と活性ゲート線4304との間の半導体フィン4302の中にある。活性ゲート線4304は、ゲート誘電体層4312、仕事関数ゲート電極部分4314、及び、充填ゲート電極部分4316、並びに、誘電体キャッピング層4318を含む。誘電体スペーサ4320は、活性ゲート線4304及びダミーゲート線4306の側壁に沿っている。
図43Bを参照すると、トレンチコンタクトが形成されることになっている位置に開口4330を提供するべく、活性ゲート線4304の間、及び、ダミーゲート線4306と活性ゲート線4304との間にある、誘電体層4310の一部が除去される。活性ゲート線4304の間、及び、ダミーゲート線4306と活性ゲート線4304との間にある、誘電体層4310の一部を除去することにより、埋め込みソース又はドレイン構造4308の侵食がもたらされ得て、それにより、図43Bに図示されるように、上部鞍形トポグラフィを有し得る侵食埋め込みソース又はドレイン構造4332が提供される。
図43Cを参照すると、トレンチコンタクト4334が、活性ゲート線4304の間、及び、ダミーゲート線4306と活性ゲート線4304との間の開口4330の中に形成される。トレンチコンタクト4334の各々は、金属接触層4336及び導電性充填材料4338を含み得る。
図44は、本開示の一実施形態に係る、集積回路構造についての、図42の軸b‐b'に沿って切断された断面図を示す。
図44を参照すると、フィン4402は、基板4404より上に図示されている。フィン4402の下部は、トレンチ分離材料4404によって包囲されている。埋め込みソース及びドレイン構造4406の成長を可能にするために、フィン4402の上部が除去されている。トレンチコンタクト4408が誘電体層4410の開口の中に形成され、開口は、埋め込みソース及びドレイン構造4406を露出させる。トレンチコンタクトは、金属接触層4412及び導電性充填材料4414を含む。一実施形態によれば、金属接触層4412は、図44に図示されるように、トレンチコンタクト4408の頂部に延びることを理解されたい。しかしながら、別の実施形態において、金属接触層4412は、トレンチコンタクト4408の頂部へ延びず、例えば、図43Cにおける金属接触層4336の図示と同様に、トレンチコンタクト4408の中にいくらか凹設される。
従って、図42、図43A〜図43C及び図44をまとめて参照すると、本開示の一実施形態によれば、集積回路構造は、基板(4300、4400)より上に半導体フィン(4200、4302、4402)を含む。半導体フィン(4200、4302、4402)は、頂部及び側壁を有する。ゲート電極(4204、4304)は、半導体フィン(4200、4302、4402)の頂部の上方にあり、その一部の側壁に隣接する。ゲート電極(4204、4304)は、半導体フィン(4200、4302、4402)におけるチャネル領域を画定する。第1半導体ソース又はドレイン構造(4251、4332、4406)は、ゲート電極(4204、4304)の第1側面のチャネル領域の第1端部にあり、第1半導体ソース又はドレイン構造(4251、4332、4406)は、非平面トポグラフィを有する。第2半導体ソース又はドレイン構造(4252、4332、4406)は、ゲート電極(4204、4304)の第2側面におけるチャネル領域の第2端部にあり、第2端部は第1端部に対向し、第2側面は第1側面に対向する。第2半導体ソース又はドレイン構造(4252、4332、4406)は、非平面トポグラフィを有する。金属コンタクト材料(4336、4412)は、第1半導体ソース又はドレイン構造(4251、4332、4406)のすぐ上にあり、第2半導体ソース又はドレイン構造(4252、4332、4406)のすぐ上にある。金属コンタクト材料(4336、4412)は、第1半導体ソース又はドレイン構造(4251、4332、4406)の非平面トポグラフィにコンフォーマルであり、第2半導体ソース又はドレイン構造(4252、4332、4406)の非平面トポグラフィにコンフォーマルである。
一実施形態において、金属コンタクト材料(4336、4412)は、95%又はより高い単一金属種を含む全原子組成を有する。そのような一実施形態において、金属コンタクト材料(4336、4412)は、98%又はより高いチタンを含む全原子組成を有する。そのような特定の実施形態において、金属コンタクト材料(4336、4412)の全原子組成は更に、0.5〜2%の塩素を含む。一実施形態において、金属コンタクト材料(4336、4412)の厚さの変動は、第1半導体ソース又はドレイン構造(4251、4332、4406)の非平面トポグラフィに沿って、及び、第2半導体ソース又はドレイン構造(4252、4332、4406)の非平面トポグラフィに沿って、30%又はより小さい。
一実施形態において、第1半導体ソース又はドレイン構造(4251、4332、4406)の非平面トポグラフィ、及び、第2半導体ソース又はドレイン構造(4252、4332、4406)の非平面トポグラフィは両方とも、例えば、図44に図示されるように、隆起した中央部分、及び、より低い側面部分を含む。一実施形態において、第1半導体ソース又はドレイン構造(4251、4332、4406)の非平面トポグラフィ、及び、第2半導体ソース又はドレイン構造(4252、4332、4406)の非平面トポグラフィは両方とも、例えば、図43Cに図示されるように、鞍形部分を含む。
一実施形態において、第1半導体ソース又はドレイン構造(4251、4332、4406)及び第2半導体ソース又はドレイン構造(4252、4332、4406)は両方とも、シリコンを含む。一実施形態において、第1半導体ソース又はドレイン構造(4251、4332、4406)、及び、第2半導体ソース又はドレイン構造(4252、4332、4406)は両方とも、例えば、シリコンゲルマニウムの形式で、ゲルマニウムを更に含む。
一実施形態において、第1半導体ソース又はドレイン構造(4251、4332、4406)のすぐ上の金属コンタクト材料(4336、4412)は、更に、第1半導体ソース又はドレイン構造(4251、4332、4406)の上方の誘電体層(4320、4410)におけるトレンチの側壁に沿っており、トレンチは、第1半導体ソース又はドレイン構造(4251、4332、4406)の一部を露出させる。そのような一実施形態において、トレンチの側壁に沿った金属コンタクト材料(4336)の厚さは、第1半導体ソース又はドレイン構造(4332における4336A)から、第1半導体ソース又はドレイン構造(4332)より上の位置(4336B)へ向かって薄くなり、その例は、図43Cに示される。一実施形態において、導電性充填材料(4338、4414)は、図43C及び44に図示されるように、トレンチの中の金属コンタクト材料(4336、4412)上にある。
一実施形態において、集積回路構造は更に、頂部及び側壁を有する第2半導体フィン(例えば、図42の上部フィン4200、4302、4402)を含む。ゲート電極(4204、4304)は更に、第2半導体フィンの頂部の上方にあり、その一部の側壁に隣接し、ゲート電極は、第2半導体フィンにおけるチャネル領域を画定する。第3半導体ソース又はドレイン構造(4253、4332、4406)は、ゲート電極(4204、4304)の第1側面における第2半導体フィンのチャネル領域の第1端部にあり、第3半導体ソース又はドレイン構造は非平面トポグラフィを有する。第4半導体ソース又はドレイン構造(4254、4332、4406)は、ゲート電極(4204、4304)の第2側面における第2半導体フィンのチャネル領域の第2端部にあり、第2端部は第1端部に対向し、第4半導体ソース又はドレイン構造(4254、4332、4406)は、非平面トポグラフィを有する。金属コンタクト材料(4336、4412)は、第3半導体ソース又はドレイン構造(4253、4332、4406)のすぐ上にあり、第4半導体ソース又はドレイン構造(4254、4332、4406)のすぐ上にあり、金属コンタクト材料(4336、4412)は、第3半導体ソース又はドレイン構造(4253、4332、4406)の非平面トポグラフィにコンフォーマルであり、第4半導体ソース又はドレイン構造(4254、4332、4406)の非平面トポグラフィにコンフォーマルである。一実施形態において、金属コンタクト材料(4336、4412)は、第1半導体ソース又はドレイン構造(4251、4332、左側4406)及び第3半導体ソース又はドレイン構造(4253、4332、右側4406)の間に連続し、第2半導体ソース又はドレイン構造(4252)及び第4半導体ソース又はドレイン構造(4254)の間に連続する。
別の態様において、ハードマスク材料は、導電性トレンチコンタクトが中断されるトレンチ線位置(例えばコンタクトプラグ位置)における誘電体材料を保護(侵食を抑制)するために使用され得て、その上方に保持され得る。例えば、図45A及び図45Bはそれぞれ、本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造の平面図、及び、対応する断面図を示す。
図45A及び図45Bを参照すると、一実施形態において、集積回路構造4500は、シリコンフィンなどのフィン4502Aを備える。複数のゲート構造4506は、フィン4502Aの上方にある。ゲート構造4506の個々は、フィン4502Aに直交する方向4508に沿っていて、一対の誘電体側壁スペーサ4510を有する。トレンチコンタクト構造4512は、フィン4502Aの上方にあり、ゲート構造4506の第1対4506A/4506Bの誘電体側壁スペーサ4510の間に直接挟まれる。コンタクトプラグ4514Bは、フィン4502Aの上方にあり、ゲート構造4506の第2対4506B/4506Cの誘電体側壁スペーサ4510の間に直接挟まれる。コンタクトプラグ4514Bは、下部誘電体材料4516及び上部ハードマスク材料4518を含む。
一実施形態において、コンタクトプラグ4516Bの下部誘電体材料4516は、例えば、酸化シリコン又は二酸化シリコン材料などのシリコン及び酸素を含む。コンタクトプラグ4516Bの上部ハードマスク材料4518は、例えば、窒化シリコン、シリコンリッチ窒化物、又は、シリコンプア窒化物材料などのシリコン及び窒素を含む。
一実施形態において、トレンチコンタクト構造4512は、下部導電性構造4520と、下部導電性構造4520上の誘電体キャップ4522とを含む。一実施形態において、トレンチコンタクト構造4512の誘電体キャップ4522は、図示されるように、コンタクトプラグ4514Bの上部ハードマスク材料4518の上面と同一平面である上面を有する。
一実施形態において、複数のゲート構造4506の個々は、ゲート誘電体層4526上にゲート電極4524を含む。誘電体キャップ4528は、ゲート電極4524上にある。一実施形態において、複数のゲート構造4506の個々の誘電体キャップ4528は、図示されるように、コンタクトプラグ4514Bの上部ハードマスク材料4518の上面と同一平面にある上面を有する。一実施形態において、図示されないが、熱又は化学酸化シリコン又は二酸化シリコン層などの薄い酸化物層は、フィン4502Aとゲート誘電体層4526との間にある。
再び図45A及び図45Bを参照すると、一実施形態において、集積回路構造4500は、複数のシリコンフィンなど、複数のフィン4502を含む。複数のフィン4502の個々は、第1方向4504に沿っている。複数のゲート構造4506は、複数のフィン4502の上方にある。複数のゲート構造4506の個々は、第1方向4504に直交する第2方向4508に沿っている。複数のゲート構造4506の個々は、一対の誘電体側壁スペーサ4510を有する。トレンチコンタクト構造4512は、複数のフィン4502の第1フィン4502Aの上方にあり、一対のゲート構造4506の誘電体側壁スペーサ4510の間に直接挟まれる。コンタクトプラグ4514Aは、複数のフィン4502の第2フィン4502Bの上方にあり、一対のゲート構造4506の誘電体側壁スペーサ4510の間に直接挟まれる。コンタクトプラグ4514Bの断面図と同様に、コンタクトプラグ4514Aは、下部誘電体材料4516及び上部ハードマスク材料4518を含む。
一実施形態において、コンタクトプラグ4516Aの下部誘電体材料4516は、例えば、酸化シリコン又は二酸化シリコン材料などのシリコン及び酸素を含む。コンタクトプラグ4516Aの上部ハードマスク材料4518は、例えば、窒化シリコン、シリコンリッチ窒化物、又は、シリコンプア窒化物材料などのシリコン及び窒素を含む。
一実施形態において、トレンチコンタクト構造4512は、下部導電性構造4520と、下部導電性構造4520上の誘電体キャップ4522とを含む。一実施形態において、トレンチコンタクト構造4512の誘電体キャップ4522は、図示されるように、コンタクトプラグ4514A又は4514Bの上部ハードマスク材料4518の上面と同一平面である上面を有する。
一実施形態において、複数のゲート構造4506の個々は、ゲート誘電体層4526上にゲート電極4524を含む。誘電体キャップ4528は、ゲート電極4524上にある。一実施形態において、複数のゲート構造4506の個々の誘電体キャップ4528は、図示されるように、コンタクトプラグ4514A又は4514Bの上部ハードマスク材料4518の上面と同一平面にある上面を有する。一実施形態において、図示されないが、熱又は化学酸化シリコン又は二酸化シリコン層などの薄い酸化物層は、フィン4502Aとゲート誘電体層4526との間にある。
本開示の1又は複数の実施形態は、ゲート整合コンタクトプロセスに関連する。そのようなプロセスは、半導体構造の製造、例えば集積回路の製造のためのコンタクト構造を形成すべく、実装され得る。一実施形態において、コンタクトパターンは、既存のゲートパターンと整合するように形成される。対照的に、他のアプローチでは、典型的には、選択性コンタクトエッチングと組み合わせた、既存のゲートパターンに対するリソグラフィコンタクトパターンの厳しい位置合わせを伴った追加的なリソグラフィプロセスを含む。例えば、別のプロセスは、別個のコンタクト及びコンタクトプラグのパターニングと共に、ポリ(ゲート)グリッドのパターニングを含み得る。
本明細書において説明される1又は複数の実施形態によれば、コンタクト形成の方法は、既存のゲートパターンに基本的に完全に整合されるコンタクトパターンの形成を伴うが、非常に厳しい位置合わせ余裕度を伴うリソグラフィ工程の使用を排除する。そのような一実施形態において、このアプローチは、本質的に高選択性のウェットエッチング(例えば、ドライエッチング又はプラズマエッチングに対して)の使用を可能にして、コンタクト開口を生成する。一実施形態において、コンタクトパターンは、コンタクトプラグのリソグラフィ工程と組み合わせて、既存のゲートパターンを利用することにより形成される。そのような一実施形態において、本アプローチは、他のアプローチに使用されるような、コンタクトパターンを生成するためのさもなければ重要なリソグラフィ工程の必要性の排除を可能にする。一実施形態において、トレンチコンタクトグリッドは、別個にパターニングされるのではなく、むしろポリ(ゲート)線の間に形成される。例えば、そのような一実施形態において、トレンチコンタクトグリッドは、ゲート格子パターニング後だが、ゲート格子カット前に形成される。
図46Aから図46Dは、本開示の一実施形態に係る、その上にハードマスク材料を有するトレンチコンタクトプラグを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。
図46Aを参照すると、集積回路構造を製造する方法は、複数のフィンを形成する段階を備え、複数のフィンの個々4602は、第1方向4604に沿っている。複数のフィンの個々4602は、拡散領域4606を含み得る。複数のゲート構造4608は複数のフィンの上方に形成される。複数のゲート構造4508の個々は、第1方向4604に直交する第2方向4610に沿っている(例えば、方向4610は、ページの奥及び手前)。犠牲材料構造4612が、ゲート構造4608の第1対の間に形成される。コンタクトプラグ4614は、ゲート構造4608の第2対の間にある。コンタクトプラグは、下部誘電体材料4616を含む。ハードマスク材料4618は、下部誘電体材料4616上にある。
一実施形態において、ゲート構造4608は、犠牲又はダミーゲートスタック及び誘電体スペーサ4609を含む。犠牲又はダミーゲートスタックは、多結晶シリコン又は窒化シリコンピラー又はいくつかの他の犠牲材料から構成され得て、これらは、ゲートダミー材料と呼ばれ得る。
図46Bを参照すると、犠牲材料構造4612は、図46Aの構造から除去され、ゲート構造4608の第1対の間に開口4620を形成する。
図46Cを参照すると、トレンチコンタクト構造4622が、ゲート構造4608の第1対の間の開口4620に形成される。更に、一実施形態において、トレンチコンタクト構造4622の形成の一部として、図46A及び46Bのハードマスク4618が平坦化される。最終的に仕上げられるコンタクトプラグ4614'は、下部誘電体材料4616と、ハードマスク材料4618から形成される上部ハードマスク材料4624とを含む。
一実施形態において、コンタクトプラグ4614'の各々の下部誘電体材料4616は、シリコン及び酸素を含み、コンタクトプラグ4614'の各々の上部ハードマスク材料4624は、シリコン及び窒素を含む。一実施形態において、トレンチコンタクト構造4622の各々は、下部導電性構造4626上に下部導電性構造4626及び誘電体キャップ4628を含む。一実施形態において、トレンチコンタクト構造4622の誘電体キャップ4628は、コンタクトプラグ4614'の上部ハードマスク材料4624の上面と同一平面にある上面を有する。
図46Dを参照すると、ゲート構造4608の犠牲又はダミーゲートスタックは、リプレースメントゲートプロセススキームにおいて置き換えられる。そのようなスキームにおいて、ポリシリコン又は窒化シリコンピラー材料などのダミーゲート材料は除去され、恒久的なゲート電極材料に置き換えられる。そのような一実施形態において、恒久的なゲート誘電体層も、前の処理から持ち越されるのではなく、このプロセスにおいても形成される。
従って、恒久的なゲート構造4630は、恒久的なゲート誘電体層4632及び恒久的なゲート電極層又はスタック4634を含む。更に、一実施形態において、恒久的なゲート構造4630の上部は、例えばエッチングプロセスによって除去され、誘電体キャップ4636と置き換えられる。一実施形態において、個々の恒久的なゲート構造4630の誘電体キャップ4636は、コンタクトプラグ4614'の上部ハードマスク材料4624の上面と同一平面である上面を有する。
再び図46A〜図46Dを参照すると、一実施形態において、図示されるようなトレンチコンタクト構造4622の形成後、リプレースメントゲートプロセスが実行される。しかしながら、他の実施形態によれば、リプレースメントゲートプロセスがトレンチコンタクト構造4622の形成前に実行される。
別の態様において、コンタクトオーバーアクティブゲート(COAG)構造及びプロセスが説明される。本開示の1又は複数の実施形態は、半導体構造又はデバイスのゲート電極の活性部分の上方に配置された1又は複数のゲートコンタクト構造(例えば、ゲートコンタクトビア)を有する半導体構造又はデバイスに関連する。本開示の1又は複数の実施形態は、半導体構造又はデバイスのゲート電極の活性部分の上方に形成される1又は複数のゲートコンタクト構造を有する半導体構造又はデバイスを製造する方法に関連する。本明細書において説明されるアプローチは、活性ゲート領域の上方のゲートコンタクト形成を可能にすることによって、スタンダードセル領域を減少させるために使用され得る。1又は複数の実施形態において、ゲート電極に接触するために製造されるゲートコンタクト構造は、自己整合ビア構造である。
現世代のスペース及びレイアウトの制約と比較してスペース及びレイアウトの制約が幾分緩和される技術において、ゲート構造へのコンタクトは、分離領域の上方に配置されたゲート電極の一部に接触させることによって製造され得る。例として、図47Aは、ゲート電極の非活性部分の上方に配置されたゲートコンタクトを有する半導体デバイスの平面図を示す。
図47Aを参照すると、半導体構造又はデバイス4700Aは、基板4702に、又は、分離領域4706の中に配置された拡散又は活性領域4704を含む。ゲート線4708A、4708B及び4708Cなど、1又は複数のゲート線(ポリ線としても知られている)は、分離領域4706の一部の上方だけでなく、拡散又は活性領域4704の上方に配置される。コンタクト4710A及び4710Bなどのソース又はドレインコンタクト(トレンチコンタクトとしても知られている)は、半導体構造又はデバイス4700Aのソース及びドレイン領域の上方に配置される。トレンチコンタクトビア4712A及び4712Bは、それぞれ、トレンチコンタクト4710A及び4710Bへのコンタクトを提供する。隔離ゲートコンタクト4714、及び、上層ゲートコンタクトビア4716は、ゲート線4708Bへのコンタクトを提供する。ソース又はドレイントレンチコンタクト4710A又は4710Bと対照的に、ゲートコンタクト4714は、平面図の観点からは、分離領域4706の上方に配置されるが、拡散又は活性領域4704の上方には配置されない。更に、ゲートコンタクト4714、又は、ゲートコンタクトビア4716のいずれも、ソース又はドレイントレンチコンタクト4710A及び4710Bの間に配置されない。
図47Bは、ゲート電極の非活性部分の上方に配置されたゲートコンタクトを有する非プレーナ型半導体デバイスの断面図を示す。図47Bを参照すると、半導体構造又はデバイス4700B(例えば、図47Aのデバイス4700Aの非プレーナ型バージョン)は、基板4702から形成された、分離領域4706の中の非プレーナ型拡散又は活性領域4704C(例えば、フィン構造)を備える。ゲート線4708Bは、分離領域4706の一部の上方だけでなく、非プレーナ型拡散又は活性領域4704Bの上方に配置される。示されるように、ゲート線4708Bは、誘電体キャップ層4754と共にゲート電極4750及びゲート誘電体層4752を含む。ゲートコンタクト4714、及び、上層ゲートコンタクトビア4716はまた、上層金属インターコネクト4760と共に、この視点から見られ、これらはすべて、層間誘電スタック又は層4770の中に配置される。また、図47Bの視点から見ると、ゲートコンタクト4714は、分離領域4706の上方に配置されるが、非プレーナ型拡散又は活性領域4704Bの上方に配置されない。
再び図47A及び図47Bを参照すると、半導体構造又はデバイス4700A及び4700Bの構成ではそれぞれ、ゲートコンタクトが分離領域の上方に置かれる。そのような構成では、レイアウトスペースが無駄になる。しかしながら、ゲートコンタクトを活性領域の上方に置くには、非常に厳しい位置合わせ余裕度が要求され、さもなければ、ゲートコンタクトをランディング(land)するための十分なスペースを提供するべく、ゲート寸法を増加させる必要がある。更に、従来は、拡散領域の上方のゲートへのコンタクトは、他のゲート材料(例えばポリシリコン)を穿孔して下層活性領域に接触するリスクがあるという理由で、回避されてきた。本明細書に説明される1又は複数の実施形態は、拡散又は活性領域の上方に形成されるゲート電極の一部に接触するコンタクト構造の製造に対する実現可能なアプローチ、及び、結果として生じる構造を提供することによって、上記の問題に対処する。
例として、図48Aは、本開示の一実施形態に係る、ゲート電極の活性部分の上方に配置されたゲートコンタクトビアを有する半導体デバイスの平面図を示す。図48Aを参照すると、半導体構造又はデバイス4800Aは、基板4802に、及び、分離領域4806の中に配置された拡散又は活性領域4804を備える。ゲート線4808A、4808B及び4808Cなどの1又は複数のゲート線は、分離領域4806の一部の上方だけでなく、拡散又は活性領域4804の上方に配置される。トレンチコンタクト4810A及び4810Bなどのソース又はドレイントレンチコンタクトは、半導体構造又はデバイス4800Aのソース及びドレイン領域の上方に配置される。トレンチコンタクトビア4812A及び4812Bは、それぞれ、トレンチコンタクト4810A及び4810Bへのコンタクトを提供する。介在する隔離ゲートコンタクト層が無いゲートコンタクトビア4816は、ゲート線4808Bへのコンタクトを提供する。図47Aと対照的に、ゲートコンタクト4816は、平面図の観点からは、拡散又は活性領域4804の上方に、及び、ソース又はドレインコンタクト4810Aと4810Bとの間に配置される。
図48Bは、本開示の一実施形態に係る、ゲート電極の活性部分の上方に配置されるゲートコンタクトビアを有する非プレーナ型半導体デバイスの断面図を示す。図48Bを参照すると、半導体構造又はデバイス4800B(例えば、図48Aのデバイス4800Aの非プレーナ型バージョン)は、基板4802から形成された、分離領域4806の中にある非プレーナ型拡散又は活性領域4804B(例えば、フィン構造)を含む。ゲート線4808Bは、分離領域4806の一部の上方だけでなく、非プレーナ型拡散又は活性領域4804Bの上方に配置される。示されるように、ゲート線4808Bは、誘電体キャップ層4854と共に、ゲート電極4850及びゲート誘電体層4852を含む。また、ゲートコンタクトビア4816は、この視点からは、上層金属インターコネクト4860と共に見られ、これらは両方とも、層間誘電スタック又は層4870の中に配置される。また、図48Bの視点から見られるように、ゲートコンタクトビア4816は、非プレーナ型拡散又は活性領域4804Bの上方に配置される。
従って、再び図48A及び図48Bを参照すると、一実施形態において、トレンチコンタクトビア4812A、4812B、及び、ゲートコンタクトビア4816は、同一の層に形成され、基本的に同一平面にある。図47A及び図47Bと比較すると、ゲート線へのコンタクトは、そうでなければ、追加のゲートコンタクト層(例えば、対応するゲート線に垂直な方向に延び得る)を含むであろう。しかしながら、図48A及び図48Bに関連して説明される構造において、構造4800A及び4800Bの製造はそれぞれ、隣接するソースドレイン領域に短絡することなく、活性ゲート部分上の金属インターコネクト層から直にコンタクトをランディングすることを可能にする。一実施形態において、そのような構成は、分離されたトランジスタゲートを延ばして信頼できるコンタクトを形成する必要性を排除することによって、回路レイアウトにおける領域の大幅な減少を提供する。一実施形態において、全体にわたって使用されるように、ゲートの活性部分への言及は、下層基板の活性又は拡散領域の上方に(平面図の観点から)配置されるゲート線又は構造の一部を指す。一実施形態において、ゲートの非活性部分への言及は、下層基板の分離領域の上方に(平面図の観点から)配置されたゲート線又は構造の一部を指す。
一実施形態において、半導体構造又はデバイス4800は、限定されないが、フィンFET又はトライゲートデバイスのような非プレーナ型デバイスである。このような実施形態において、対応する半導体のチャネル領域は、3次元物体から構成されるか、又は3次元物体に形成される。そのような一実施形態において、ゲート線4808A‐4808Cのゲート電極スタックは、少なくとも3次元物体の頂面、及び、その一対の側壁を包囲する。別の実施形態において、少なくともチャネル領域は、ゲートオールアラウンドデバイスの中のような、別個の3次元物体となるように作られる。そのような一実施形態において、ゲート線4808A‐4808Cのゲート電極スタックの各々は、チャネル領域を完全に包囲する。
より一般的には、1又は複数の実施形態は、活性トランジスタゲートのすぐ上にゲートコンタクトビアをランディングするためのアプローチ、及び、それから形成される構造に関連する。そのようなアプローチは、接触の目的で、分離したゲート線を延ばす必要性を排除し得る。そのようなアプローチはまた、別個のゲートコンタクト(GCN)層がゲート線又は構造からの信号を伝導する必要性を排除し得る。一実施形態において、上のフィーチャの除去は、トレンチコンタクト(TCN)の中にコンタクト金属を凹設し、プロセスフローにおいて追加の誘電体材料(例えばTILA)を導入することによって実現される。追加の誘電体材料は、ゲート整合コンタクトプロセス(GAP)処理スキームにおけるトレンチコンタクト整合に既に使用されているゲート誘電体材料キャップ層(例えばGILA)とは異なるエッチング特性を有するトレンチコンタクト誘電体キャップ層として含まれる。
例示的な製造スキームとして、図49A〜図49Dは、本開示の一実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクト構造を有する半導体構造を製造する方法における様々な工程を表す断面図を示す。
図49Aを参照すると、半導体構造4900が、トレンチコンタクト(TCN)形成に続いて提供される。構造4900の特定の構成は、説明の目的のためだけに使用されること、及び、様々なあり得るレイアウトが、本明細書に説明される本開示の実施形態からの恩恵を受け得ることを理解されたい。半導体構造4900は、基板4902より上に配置されたゲートスタック構造4908A‐4908Eなど、1又は複数のゲートスタック構造を備える。ゲートスタック構造は、ゲート誘電体層及びゲート電極を含み得る。トレンチコンタクト4910A〜4910Cなどのトレンチコンタクト、例えば基板4902の拡散領域へのコンタクトはまた、構造4900の中に含まれ、誘電体スペーサ4920によって、ゲートスタック構造4908A‐4908Eから離間される。絶縁キャップ層4922は、図49Aにも図示されるように、ゲートスタック構造4908A〜4908E(例えば、GILA)上に配置され得る。図49Aにも図示されるように、層間誘電体材料から製造された領域4923などの、コンタクト妨害領域又は「コンタクトプラグ」は、コンタクト形成が阻害されることになっている領域に含まれ得る。
一実施形態において、構造4900を提供することは、既存のゲートパターンと基本的に完全に整合されたコンタクトパターンの形成を伴うが、非常に厳しい位置合わせ余裕度を伴うリソグラフィ工程の使用を排除する。そのような一実施形態において、このアプローチは、本質的に高選択性のウェットエッチング(例えば、ドライエッチング又はプラズマエッチングに対して)の使用を可能にして、コンタクト開口を生成する。一実施形態において、コンタクトパターンは、コンタクトプラグのリソグラフィ工程と組み合わせて、既存のゲートパターンを利用することにより形成される。そのような一実施形態において、本アプローチは、他のアプローチに使用されるような、コンタクトパターンを生成するためのさもなければ重要なリソグラフィ工程の必要性の排除を可能にする。一実施形態において、トレンチコンタクトグリッドは、別個にパターニングされるのではなく、むしろポリ(ゲート)線の間に形成される。例えば、そのような一実施形態において、トレンチコンタクトグリッドは、ゲート格子パターニング後だが、ゲート格子カット前に形成される。
更に、ゲートスタック構造4908A‐4908Eは、リプレースメントゲートプロセスによって製造され得る。そのようなスキームにおいて、ポリシリコン又は窒化シリコンピラー材料などのダミーゲート材料は除去され、恒久的なゲート電極材料に置き換えられ得る。そのような一実施形態において、恒久的なゲート誘電体層は、前の処理から持ち越されるのではなく、このプロセスにおいても形成される。一実施形態において、ダミーゲートは、ドライエッチング又はウェットエッチングプロセスによって除去される。一実施形態において、ダミーゲートは、多結晶シリコン又は非晶質シリコンから構成され、SFを含むドライエッチングプロセスで除去される。別の実施形態において、ダミーゲートは、多結晶シリコン又は非晶質シリコンから構成され、NHOH又は水酸化テトラメチルアンモニウム水溶液を含むウェットエッチングプロセスで除去される。一実施形態において、ダミーゲートは窒化シリコンから構成され、リン酸水溶液を含むウェットエッチングで除去される。
一実施形態において、本明細書において説明される1又は複数のアプローチは、構造4900に到達するために、ダミー及びリプレースメントコンタクトプロセスと組み合わせた、ダミー及びリプレースメントゲートプロセスを基本的に意図する。そのような一実施形態において、リプレースメントコンタクトプロセスは、恒久的なゲートスタックの少なくとも一部の高温アニールを可能にするべく、リプレースメントゲートプロセスの後に実行される。例えば、そのような特定の実施形態において、恒久的なゲート構造のうち少なくとも一部のアニールは、例えばゲート誘電体層が形成された後に、約600℃より高い温度で実行される。アニールは、恒久的なコンタクトの形成前に実行される。
図49Bを参照すると、構造4900のトレンチコンタクト4910A‐4910Cは、スペーサ4920の中に凹設され、スペーサ4920及び絶縁キャップ層4922の頂面より下の高さを有する凹設トレンチコンタクト4911A‐4911Cを提供する。次に、絶縁キャップ層4924が凹設トレンチコンタクト4911A〜4911C(例えばTILA)上に形成される。本開示の一実施形態によれば、凹設トレンチコンタクト4911A〜4911C上の絶縁キャップ層4924は、ゲートスタック構造4908A〜4908E上の絶縁キャップ層4922とは異なるエッチング特性を有する材料から構成される。後続の処理工程に見られるように、そのような差は、4922/4924のうちの一方を、4922/4924のうちの他方から選択的にエッチングするために活用され得る。
トレンチコンタクト4910A〜4910Cは、スペーサ4920及び絶縁キャップ層4922の材料に対する選択的プロセスによって凹設され得る。例えば一実施形態において、トレンチコンタクト4910A〜4910Cは、ウェットエッチングプロセス又はドライエッチングプロセスなどのエッチングプロセスによって凹設される。絶縁キャップ層4924は、トレンチコンタクト4910A〜4910Cの露出部分より上にコンフォーマルなシール層を提供することに適したプロセスによって形成され得る。例えば、一実施形態において、絶縁キャップ層4924は、化学気相成長(CVD)プロセスによって、構造全体より上のコンフォーマル層として形成される。次に、コンフォーマル層は、例えば化学機械研磨(CMP)によって平坦化され、トレンチコンタクト4910A〜4910Cより上だけに絶縁キャップ層4924材料を提供し、スペーサ4920及び絶縁キャップ層4922を再露出させる。
絶縁キャップ層4922/4924についての好適な材料の組み合わせに関して、一実施形態において、一対の4922/4924の一方は、酸化シリコンから構成され、他方は窒化シリコンから構成される。別の実施形態において、一対の4922/4924の一方は、酸化シリコンから構成され、他方は炭素ドーピング窒化シリコンから構成される。別の実施形態において、一対の4922/4924の一方は、酸化シリコンから構成され、他方は炭化シリコンから構成される。別の実施形態において、一対の4922/4924の一方は、窒化シリコンから構成され、他方は炭素ドーピング窒化シリコンから構成される。別の実施形態において、一対の4922/4924の一方は、窒化シリコンから構成され、他方は、炭化シリコンから構成される。別の実施形態において、一対の4922/4924の一方は、炭素ドーピング窒化シリコンから構成され、他方は、炭化シリコンから構成される。
図49Cを参照すると、層間誘電(ILD)4930及びハードマスク4932スタックが形成され、パターニングされ、例えば、図49Bの構造より上にパターニングされた金属(0)トレンチ4934を提供する。
層間誘電(ILD)4930は、フロントエンド処理とバックエンド処理との間に強固な構造を維持しながら、その中に最終的に形成された金属フィーチャを電気的に分離することに好適な材料から構成され得る。更に、一実施形態において、ILD4930の組成は、図49Dに関連して以下でより詳細に説明されるように、トレンチコンタクト誘電体キャップ層パターニングのためのビアエッチング選択性と合致するように選択される。一実施形態において、ILD4930は、酸化シリコンの単一又は複数の層、又は、炭素ドーピング酸化物(CDO)材料の単一又は複数の層から構成される。しかしながら、他の実施形態において、ILD4930は、二層組成を有し、上部は、ILD4930の下層底部とは異なる材料から構成される。ハードマスク層4932は、後続の犠牲層として機能するために好適な材料から構成され得る。例えば、一実施形態において、ハードマスク層4932は炭素(例えば架橋有機ポリマーの層として)から実質的に構成される。他の実施形態において、窒化シリコン又は炭素ドーピング窒化シリコン層は、ハードマスク4932として使用される。層間誘電(ILD)4930及びハードマスク4932スタックは、リソグラフィ及びエッチングプロセスによってパターニングされ得る。
図49Dを参照すると、ビア開口4936(例えばVCT)は、層間誘電(ILD)4930において形成され、金属(0)トレンチ4934から1又は複数の凹設トレンチコンタクト4911A‐4911Cに延びる。例えば、図49Dにおいて、ビア開口は、凹設トレンチコンタクト4911A及び4911Cを露出させるように形成される。ビア開口4936の形成は、層間誘電(ILD)4930、及び、対応する絶縁キャップ層4924の各部分の両方のエッチングを含む。そのような一実施形態において、絶縁キャップ層4922の一部は、層間誘電(ILD)4930のパターニングの間に露出される(例えば、ゲートスタック構造4908B及び4908Eの上方の絶縁キャップ層4922の一部が露出される)。その実施形態において、絶縁キャップ層4924がエッチングされ、絶縁キャップ層4922に対して選択的に(すなわち、著しくエッチング又は影響することなく)ビア開口4936を形成する。
一実施形態において、ビア開口パターニングは、絶縁キャップ層4922(すなわち、ゲート絶縁キャップ層)をエッチングすることなく、エッチングプロセスによって絶縁キャップ層4924(すなわち、トレンチコンタクト絶縁キャップ層)へ最終的に転写される。絶縁キャップ層4924(TILA)は、酸化シリコン、窒化シリコン、炭化シリコン、炭素ドーピング窒化シリコン、炭素ドーピング酸化シリコン、非晶質シリコン、並びに、酸化ジルコニウム、酸化ハフニウム、酸化ランタン又はそれらの組み合わせを含む様々な金属酸化物及びシリケートのいずれか、又は、それらを含む組み合わせから構成され得る。層は、CVD、ALD、PECVD、PVD、HDP補助CVD、低温CVDを含む技法のいずれかを使用することによって堆積され得る。対応するプラズマドライエッチングは、化学的及び物理的スパッタリング原理の組み合わせとして現像される。材料除去率、エッチングプロファイル、及び、膜選択性を制御するために、同時ポリマー堆積が使用され得る。ドライエッチングは、典型的には、30〜100mTorrの範囲の圧力、及び、50〜1000ワットのプラズマバイアスで、典型的には、NF、CHF、C、HBr、Oを含む気体の混合物を用いて引き起こされる。ドライエッチングは、トランジスタのソースドレイン領域とのコンタクトを形成するための4924(TILA)のドライエッチング中に4922(GILA)の損失を最小化するべく、キャップ層4924(TILA)と4922(GILA)層との間に顕著なエッチング選択性を達成するように設計され得る。
再び図49Dを参照すると、絶縁キャップ層4924(すなわち、ゲート絶縁キャップ層)をエッチングすることなく、エッチングプロセスによって、最終的に絶縁キャップ層4922(すなわち、トレンチコンタクト絶縁キャップ層)へ転写されるビア開口パターンを製造するために、類似のアプローチが実装され得ることを理解されたい。
コンタクトオーバーアクティブゲート(COAG)技術の概念を更に例示すると、図50は、本開示の一実施形態に係る、上層絶縁キャップ層を含むトレンチコンタクトを備える集積回路構造の平面図及び対応する断面図を示す。
図50を参照すると、集積回路構造5000は、シリコンフィンなど、半導体基板又はフィン5002より上にゲート線5004を含む。ゲート線5004は、ゲートスタック5005(例えば、ゲート誘電体層又はスタック、及び、ゲート誘電体層又はスタックの上のゲート電極を含む)、及び、ゲートスタック5005上のゲート絶縁キャップ層5006を含む。誘電体スペーサ5008は、図示されるように、ゲートスタック5005の側壁に沿っており、一実施形態において、ゲート絶縁キャップ層5006の側壁に沿っている。
トレンチコンタクト5010は、ゲート線5004の側壁に隣接し、誘電体スペーサ5008はゲート線5004とトレンチコンタクト5010との間にある。トレンチコンタクト5010の個々は、導電性コンタクト構造5011、及び、導電性コンタクト構造5011上のトレンチコンタクト絶縁キャップ層5012を含む。
図50を再び参照すると、ゲートコンタクトビア5014が、ゲート絶縁キャップ層5006の開口の中に形成され、ゲートスタック5005に電気的に接触する。一実施形態において、図示されるように、ゲートコンタクトビア5014は、半導体基板又はフィン5002の上方、及び、トレンチコンタクト5010の間に横方向に挟まれる位置において、ゲートスタック5005に電気的に接触する。そのような一実施形態において、導電性コンタクト構造5011上のトレンチコンタクト絶縁キャップ層5012は、ゲートコンタクトビア5014によって、ゲートからソースへの短絡、又は、ゲートからドレインへの短絡を防止する。
再び図50を参照すると、トレンチコンタクトビア5016が、トレンチコンタクト絶縁キャップ層5012の開口の中に形成され、それぞれの導電性コンタクト構造5011と電気的に接触する。一実施形態において、図示されるように、トレンチコンタクトビア5016は、半導体基板又はフィン5002の上方にある、かつ、ゲート線5004のゲートスタック5005に横方向に隣接する位置において、それぞれの導電性コンタクト構造5011と電気的に接触する。そのような一実施形態において、ゲートスタック5005上のゲート絶縁キャップ層5006は、トレンチコンタクトビア5016によって、ソースからゲートへの短絡、又は、ドレインからゲートへの短絡を防止する。
絶縁ゲートキャップ層と絶縁トレンチコンタクトキャップ層との間の異なる構造的関係が製造され得ることを理解されたい。例として、図51A〜図51Fは、本開示の一実施形態に係る、様々な集積回路構造の断面図を示し、各々は、上層絶縁キャップ層を含むトレンチコンタクトと、上層絶縁キャップ層を含むゲートスタックとを有する。
図51A、図51B及び図51Cを参照すると、集積回路構造5100A、5100B及び5100Cはそれぞれ、シリコンフィンなどのフィン5102を含む。断面図として図示されているが、フィン5102は頂部5102A及び側壁(示される視点のページの奥及び手前)を有することを理解されたい。第1ゲート誘電体層5104及び第2ゲート誘電体層5106は、フィン5102の頂部5102Aの上方にあり、フィン5102の側壁に横方向に隣接する。第1ゲート電極5108及び第2ゲート電極5110はそれぞれ、第1ゲート誘電体層5104及び第2ゲート誘電体層5106の上方にあり、フィン5102の頂部5102Aの上方にあり、フィン5102の側壁に横方向に隣接する。第1ゲート電極5108及び第2ゲート電極5110は各々、コンフォーマルな伝導層5109Aを含む。仕事関数設定層、導電性充填材料5109Bなどは、コンフォーマルな伝導層5109Aより上にある。第1ゲート電極5108及び第2ゲート電極5110は両方とも、第1側面5112と、第1側面5112に対向する第2側面5114とを有する。第1ゲート電極5108及び第2ゲート電極5110はまた、両方とも、頂面5118を有する絶縁キャップ5116を有する。
第1誘電体スペーサ5120は、第1ゲート電極5108の第1側面5112に隣接する。第2誘電体スペーサ5122は、第2ゲート電極5110の第2側面5114に隣接する。半導体ソース又はドレイン領域5124は、第1誘電体スペーサ5120及び第2誘電体スペーサ5122に隣接する。トレンチコンタクト構造5126は、第1誘電体スペーサ5120及び第2誘電体スペーサ5122に隣接する半導体ソース又はドレイン領域5124の上方にある。
トレンチコンタクト構造5126は、導電性構造5130上の絶縁キャップ5128を含む。トレンチコンタクト構造5126の絶縁キャップ5128は、第1ゲート電極5108及び第2ゲート電極5110の絶縁キャップ5116の頂面5118と実質的に同一平面にある頂面5129を有する。一実施形態において、トレンチコンタクト構造5126の絶縁キャップ5128は、第1誘電体スペーサ5120及び第2誘電体スペーサ5122における凹部5132の中に横に延びる。このような実施形態において、トレンチコンタクト構造5126の絶縁キャップ5128は、トレンチコンタクト構造5126の導電性構造5130を覆う。しかしながら、他の実施形態において、トレンチコンタクト構造5126の絶縁キャップ5128は、第1誘電体スペーサ5120及び第2誘電体スペーサ5122における凹部5132の中へ横方向に延びず、従って、トレンチコンタクト構造5126の導電性構造5130を覆わない。
図51A〜図51Cに図示されるように、トレンチコンタクト構造5126の導電性構造5130は、長方形でないことがあり得ることを理解されたい。例えば、トレンチコンタクト構造5126の導電性構造5130は、図51Aの射影に示される導電性構造5130Aについて示される形状と類似の、又は、同一の断面形状を有し得る。
一実施形態において、トレンチコンタクト構造5126の絶縁キャップ5128は、第1ゲート電極5108及び第2ゲート電極5110の絶縁キャップ5116の組成とは異なる組成を有する。そのような一実施形態において、トレンチコンタクト構造5126の絶縁キャップ5128は、シリコン炭化物材料などの炭化物材料を含む。第1ゲート電極5108及び第2ゲート電極5110の絶縁キャップ5116は、シリコン窒化物材料などの窒化物材料を含む。
一実施形態において、図51Aに図示されるように、第1ゲート電極5108及び第2ゲート電極5110の両方の絶縁キャップ5116は、トレンチコンタクト構造5126の絶縁キャップ5128の底面5128Aより下にある底面5117Aを有する。別の実施形態において、図51Bに図示されるように、第1ゲート電極5108及び第2ゲート電極5110の両方の絶縁キャップ5116は、トレンチコンタクト構造5126の絶縁キャップ5128の底面5128Bと実質的に同一平面にある底面5117Bを有する。別の実施形態において、図51Cに図示されるように、第1ゲート電極5108及び第2ゲート電極5110の両方の絶縁キャップ5116は、トレンチコンタクト構造5126の絶縁キャップ5128の底面5128Cより上にある底面5117Cを有する。
一実施形態において、トレンチコンタクト構造5128の導電性構造5130は、U字形金属層5134と、U字形金属層5134の全体の上及びその上方にあるT字形金属層5136と、T字形金属層5136上の第3金属層5138とを含む。トレンチコンタクト構造5126の絶縁キャップ5128は、第3金属層5138上にある。そのような一実施形態において、第3金属層5138及びU字形金属層5134は、チタンを含み、T字形金属層5136は、コバルトを含む。特定のそのような実施形態において、T字形金属層5136は更に、炭素を含む。
一実施形態において、金属シリサイド層5140は、トレンチコンタクト構造5126の導電性構造5130と、半導体ソース又はドレイン領域5124との間に直接挟まれる。そのような一実施形態において、金属シリサイド層5140はチタン及びシリコンを含む。特定のそのような実施形態において、半導体ソース又はドレイン領域5124はN型半導体ソース又はドレイン領域である。別の実施形態において、金属シリサイド層5140は、ニッケル、白金及びシリコンを含む。特定のそのような実施形態において、半導体ソース又はドレイン領域5124はP型半導体ソース又はドレイン領域である。別の特定のそのような実施形態において、金属シリサイド層は更に、ゲルマニウムを含む。
一実施形態において、図51Dを参照すると、導電ビア5150は、フィン5102の頂部5102Aの上方の第1ゲート電極5108の一部の上にあり、それに電気的に接続される。導電ビア5150は、第1ゲート電極5108の絶縁キャップ5116における開口5152の中にある。そのような一実施形態において、導電ビア5150は、トレンチコンタクト構造5126の絶縁キャップ5128の一部の上にあるが、トレンチコンタクト構造5126の導電性構造5130と電気的に接続されない。特定のそのような実施形態において、導電ビア5150は、トレンチコンタクト構造5126の絶縁キャップ5128の侵食部分5154の中にある。
一実施形態において、図51Eを参照すると、導電ビア5160は、トレンチコンタクト構造5126の一部の上にあり、それに電気的に接続される。導電ビアは、トレンチコンタクト構造5126の絶縁キャップ5128の開口5162の中にある。そのような一実施形態において、導電ビア5160は、第1ゲート電極5108及び第2ゲート電極5110の絶縁キャップ5116の一部の上にあるが、第1ゲート電極5108及び第2ゲート電極5110と電気的に接続されない。特定のそのような実施形態において、導電ビア5160は、第1ゲート電極5108及び第2ゲート電極5110の絶縁キャップ5116の侵食部分5164の中にある。
再び図51Eを参照すると、一実施形態において、導電ビア5160は、図51Dの導電ビア5150と同一構造の第2導電ビアである。そのような一実施形態において、そのような第2導電ビア5160は、導電ビア5150から分離される。別のそのような実施形態において、図51Fに図示されるように、第2導電ビア5160などは、導電ビア5150と一体化され、電気的に短絡するコンタクト5170を形成する。
本明細書に説明されているアプローチ及び構造は、他の方法を使用して製造することが不可能又は困難である他の構造又はデバイスの形成を可能にし得る。第1の例において、図52Aは、本開示の別の実施形態に係る、ゲートの活性部分の上方に配置されたゲートコンタクトビアを有する別の半導体デバイスの平面図を示す。図52Aを参照すると、半導体構造又はデバイス5200は、複数のトレンチコンタクト5210A及び5210B(これらのフィーチャは、図示されないが、基板の活性領域より上に配置される)と交互嵌合する複数のゲート構造5208A‐5208Cを含む。ゲートコンタクトビア5280がゲート構造5208Bの活性部分上に形成される。ゲートコンタクトビア5280は更に、ゲート構造5208Cの活性部分上に配置され、ゲート構造5208B及び5208Cを結合する。介在するトレンチコンタクト5210Bは、トレンチコンタクト分離キャップ層(例えばTILA)を使用することによってコンタクト5280から分離され得ることを理解されたい。図52Aのコンタクト構成は、レイアウトにおける隣接するゲート線をストラッピング(strapping)することに対する、より容易なアプローチを提供し得て、ストラップを上側のメタライゼーション層に通す必要が無く、従って、より小さいセル領域、又は、より複雑でない配線スキーム、又は、その両方を可能にする。
第2の例において、図52Bは、本開示の別の実施形態に係る、一対のトレンチコンタクトを結合するトレンチコンタクトビアを有する別の半導体デバイスの平面図を示す。図52Bを参照すると、半導体構造又はデバイス5250は、複数のトレンチコンタクト5260A及び5260B(これらのフィーチャは、図示されないが、基板の活性領域より上に配置される)と交互嵌合する複数のゲート構造5258A‐5258Cを含む。トレンチコンタクトビア5290は、トレンチコンタクト5260A上に形成される。トレンチコンタクトビア5290は更に、トレンチコンタクト5260B上に配置され、トレンチコンタクト5260A及び5260Bを結合する。介在するゲート構造5258Bは、ゲート分離キャップ層を使用することによって(例えば、GILAプロセスによって)トレンチコンタクトビア5290から分離され得ることを理解されたい。図52Bのコンタクト構成は、レイアウトにおける隣接するトレンチコンタクトをストラッピングすることに対する、より容易なアプローチを提供し得て、ストラップをメタライゼーションの上層に通す必要が無く、従って、より小さいセル領域、又は、より複雑でない配線スキーム、又は、その両方を可能にする。
ゲート電極のための絶縁キャップ層は、複数の堆積工程を使用することによって製造され得て、その結果、マルチ堆積製造プロセスのアーティファクトを含み得る。例として、図53A〜図53Eは、本開示の一実施形態に係る、上層絶縁キャップ層を有するゲートスタックを備える集積回路構造を製造する方法における様々な工程を表す断面図を示す。
図53Aを参照すると、初期構造5300は、基板又はフィン5302より上のゲートスタック5304を含む。ゲートスタック5304は、ゲート誘電体層5306、コンフォーマルな伝導層5308、及び、導電性充填材料5310を含む。一実施形態において、ゲート誘電体層5306は、原子層堆積(ALD)プロセスを使用して形成されるhigh‐kゲート誘電体層であり、コンフォーマルな伝導層は、ALDプロセスを使用して形成される仕事関数層である。そのような一実施形態において、熱又は化学二酸化シリコン又は酸化シリコン層などの熱又は化学酸化層5312は、基板又はフィン5302と、ゲート誘電体層5306との間にある。窒化シリコンスペーサなどの誘電体スペーサ5314は、ゲートスタック5304の側壁に隣接する。誘電体ゲートスタック5304及び誘電体スペーサ5314は、層間誘電体(ILD)層5316の中に収容される。一実施形態において、ゲートスタック5304は、リプレースメントゲート及びリプレースメントゲート誘電体処理スキームを使用して形成される。マスク5318は、ゲートスタック5304及びILD層5316より上にパターニングされ、ゲートスタック5304を露出する開口5320を提供する。
図53Bを参照すると、1又は複数の選択エッチングプロセスを使用して、ゲート誘電体層5306、コンフォーマルな伝導層5308及び導電性充填材料5310を含むゲートスタック5304は、誘電体スペーサ5314及び層5316に対して凹設される。次にマスク5318が除去される。凹設は、凹設ゲートスタック5324より上にキャビティ5322を提供する。
別の実施形態において、図示されないが、コンフォーマルな伝導層5308及び導電性充填材料5310が、誘電体スペーサ5314及び層5316に対して凹設されるが、ゲート誘電体層5306は凹設されないか、又は、最小限に凹設されるだけである。他の実施形態において、高いエッチング選択性に基づくマスクレスアプローチが、凹設のために使用されることを理解されたい。
図53Cを参照すると、ゲート絶縁キャップ層を製造するためのマルチ成膜プロセスにおける第1成膜プロセスが実行される。第1成膜プロセスは、図53Bの構造にコンフォーマルな第1絶縁層5326を形成するために使用される。一実施形態において、第1絶縁層5326は、シリコン及び窒素を含み、例えば、第1絶縁層5326は、窒化シリコン(Si)層、シリコンリッチ窒化シリコン層、シリコンプア窒化シリコン層、又は、炭素ドーピング窒化シリコン層である。一実施形態において、図示されるように、第1絶縁層5326は、凹設ゲートスタック5324より上のキャビティ5322を部分的に充填するだけである。
図53Dを参照すると、第1絶縁層5326は、異方性エッチングプロセスなどのエッチバックプロセスを経て、絶縁キャップ層の第1部分5328を提供する。絶縁キャップ層の第1部分5328は、凹設ゲートスタック5324より上のキャビティ5322を部分的に充填するだけである。
図53Eを参照すると、交互に行われる追加の成膜プロセス及びエッチバックプロセスは、キャビティ5322が、凹設ゲートスタック5324より上で、絶縁ゲートキャップ構造5330で充填されるまで実行される。シーム5332は、断面分析で明らかになり得て、絶縁ゲートキャップ構造5330に使用される交互の成膜プロセス及びエッチバックプロセスの数を示し得る。図53Eに示される例において、3セットのシーム5332A、5332B及び5332Cの存在は、絶縁ゲートキャップ構造5330に使用された4つの交互の成膜プロセス及びエッチバックプロセスを示す。一実施形態において、シーム5332によって隔離される絶縁ゲートキャップ構造5330の材料5330A、5330B、5330C及び5330Dはすべて、正確に、又は、実質的に同一の組成を有する。
本願の全体にわたって説明されるように、基板は、製造プロセスに耐えることができ、かつ、その中を電荷が移動できる半導体材料から構成され得る。一実施形態において、本明細書において記載される基板は、活性領域を形成すべく、限定されないが、リン、ヒ素、ホウ素、又はこれらの組み合わせなどの電荷キャリアでドーピングされた結晶シリコン、シリコン/ゲルマニウム、又はゲルマニウム層で構成されたバルク基板である。一実施形態において、そのようなバルク基板におけるシリコン原子の濃度は、97%より高い。別の実施形態において、バルク基板は、別個の結晶基板の上に成長されたエピタキシャル層、例えば、ホウ素をドーピングされたバルクシリコン単結晶基板の上に成長されたシリコンエピタキシャル層で構成される。バルク基板は代替的に、III‐V族材料から構成され得る。一実施形態において、バルク基板は、限定されないが、窒化ガリウム、ガリウムリン、ガリウムヒ素、インジウムリン、インジウムアンチモン、インジウムガリウムヒ素、アルミニウムガリウムヒ素、インジウムガリウムリン、又はこれらの組み合わせなどのIII‐V族材料で構成される。一実施形態において、バルク基板はIII‐V族材料で構成され、電荷キャリアドーパント不純物原子は、限定されないが、炭素、シリコン、ゲルマニウム、酸素、硫黄、セレン、又はテルルなどである。
本願全体にわたって説明されるように、シャロートレンチアイソレーション領域又はサブフィン分離領域などの分離領域は、最終的には、下層バルク基板から恒久的なゲート構造の部分を電気的に分離するか、又はこれらの分離に寄与する、又はフィンの活性領域を分離するなど、下層バルク基板内に形成された活性領域を分離する好適な材料で構成され得る。例えば、一実施形態において、分離領域は、これらに限定されないが、二酸化シリコン、酸窒化シリコン、窒化シリコン、炭素ドーピング窒化シリコン又はそれらの組み合わせなど、誘電体材料の1又は複数の層から構成されている。
本願全体にわたって説明されるように、ゲート線又はゲート構造は、ゲート誘電体層及びゲート電極層を含むゲート電極スタックから構成され得る。一実施形態において、ゲート電極スタックのゲート電極は、金属ゲートから構成され、ゲート誘電体層は、high‐k材料から構成される。例えば、一実施形態において、ゲート誘電体層は、酸化ハフニウム、酸窒化ハフニウム、ハフニウムシリケート、酸化ランタン、酸化ジルコニウム、ジルコニウムシリケート、酸化タンタル、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、酸化タンタルスカンジウム鉛、亜鉛ニオブ酸鉛又はそれの組み合わせなどの材料から構成されるが、これに限定されない。更に、ゲート誘電体層の一部は、半導体基板のいくつかの最上層から形成された自然酸化物の層を含み得る。一実施形態において、ゲート誘電体層は、頂部のhigh‐k部分と、半導体材料の酸化物から構成される下部とから構成される。一実施形態において、ゲート誘電体層は、酸化ハフニウムの上部と、二酸化シリコン又は酸窒化シリコンの底部とから構成される。いくつかの実装例において、ゲート誘電体の一部は、基板の頂面に対して実質的に平行な底部と、基板の上面に対して実質的に垂直な2つの側壁部とを含む「U」字形構造で構成されてよい。
一実施形態において、ゲート電極は、限定されないが、金属窒化物、金属炭化物、金属シリサイド、金属アルミナイド、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、ルテニウム、パラジウム、白金、コバルト、ニッケル、又は導電性金属酸化物などの金属層で構成される。特定の実施形態において、ゲート電極は、金属の仕事関数設定層より上に形成された非仕事関数設定充填材料から構成される。ゲート電極層は、トランジスタがPMOSトランジスタであるか、又は、NMOSトランジスタであるかに応じて、P型仕事関数金属又はN型仕事関数金属から成り得る。いくつかの実装において、ゲート電極層は、2又はより多くの金属層のスタックから成り得、1又は複数の金属層は、仕事関数金属層であり、少なくとも1つの金属層は、導電性の充填層である。PMOSトランジスタでは、ゲート電極に使用され得る金属は、これらに限定されなが、ルテニウム、パラジウム、白金、コバルト、ニッケル、及び、例えばルテニウム酸化物などの導電性金属酸化物を含む。P型金属層は、仕事関数が約4.9eVから約5.2eVまでの間であるPMOSゲート電極の形成を可能にする。NMOSトランジスタでは、ゲート電極に使用され得る金属は、限定されないが、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、これらの金属の合金、並びにハフニウム炭化物、ジルコニウム炭化物、チタン炭化物、タンタル炭化物、及びアルミニウム炭化物のようなこれらの金属の炭化物を含む。N型金属層は、仕事関数が約3.9eVから約4.2eVまでの間であるNMOSゲート電極の形成を可能にする。いくつかの実装において、ゲート電極は、基板の頂面に対して実質的に平行な底部と、基板の上面に対して実質的に垂直な2つの側壁部とを含むU字形構造で構成され得る。別の実装例において、ゲート電極を形成する金属層のうちの少なくとも1つは、基板の頂面に対して実質的に平行であり、基板の頂面に対して実質的に垂直な側壁部を含まない単に平坦な層であり得る。本開示の更なる実装において、ゲート電極は、U字形構造及び平坦な、非U字形構造の組み合わせで構成され得る。例えば、ゲート電極は、1又は複数のプレーナ型の非U字形層の上に形成される1又は複数のU字形金属層から成り得る。
本願全体にわたって説明されるように、ゲート線又はゲート電極スタックと関連したスペーサは、最終的には、自己整合コンタクトなどの隣接する導電性コンタクトから恒久的なゲート構造を電気的に分離するか、又はこの分離に寄与する好適な材料で構成され得る。例えば、一実施形態において、スペーサは、二酸化シリコン、酸窒化シリコン、窒化シリコン又は炭素ドーピング窒化シリコンなどの誘電体材料から構成されるが、これに限定されない。
一実施形態において、本明細書において説明されるアプローチは、非常に厳しい位置合わせ余裕度を伴うリソグラフィ工程の使用を排除しつつ、既存のゲートパターンに非常によく整合されるコンタクトパターンの形成を伴い得る。そのような一実施形態において、このアプローチは、本質的に高選択性のウェットエッチング(例えば、ドライエッチング又はプラズマエッチングに対して)の使用を可能にして、コンタクト開口を生成する。一実施形態において、コンタクトパターンは、コンタクトプラグのリソグラフィ工程と組み合わせて、既存のゲートパターンを利用することにより形成される。そのような一実施形態において、本アプローチは、他のアプローチに使用されるような、コンタクトパターンを生成するためのさもなければ重要なリソグラフィ工程の必要性の排除を可能にする。一実施形態において、トレンチコンタクトグリッドは、別個にパターニングされるのではなく、むしろポリ(ゲート)線の間に形成される。例えば、そのような一実施形態において、トレンチコンタクトグリッドは、ゲート格子パターニング後だが、ゲート格子カット前に形成される。
更に、ゲートスタック構造は、リプレースメントゲートプロセスによって製造され得る。そのようなスキームにおいて、ポリシリコン又は窒化シリコンピラー材料などのダミーゲート材料は、除去されて恒久的なゲート電極材料と置き換えられ得る。そのような一実施形態において、恒久的なゲート誘電体層も、前の処理から持ち越されるのではなく、このプロセスにおいて形成される。一実施形態において、ダミーゲートは、ドライエッチング又はウェットエッチングプロセスによって除去される。一実施形態において、ダミーゲートは、多結晶シリコン又は非晶質シリコンから構成され、SFの使用を含むドライエッチングプロセスで除去される。別の実施形態において、ダミーゲートは、多結晶シリコン又は非晶質シリコンから構成され、NHOH水溶液又は水酸化テトラメチルアンモニウム水溶液の使用を含むウェットエッチングプロセスで除去される。一実施形態において、ダミーゲートは、窒化シリコンから構成され、リン酸水溶液を含むウェットエッチングを用いて除去される。
一実施形態において、本明細書で説明された1又は複数のアプローチは、構造に到達すべく、ダミー及びリプレースメントコンタクトプロセスと組み合わせて、ダミー及びリプレースメントゲートプロセスを基本的に意図している。そのような一実施形態において、恒久的なゲートスタックの少なくとも一部の高温アニールを可能にすべく、リプレースメントコンタクトプロセスは、リプレースメントゲートプロセスの後に実行される。例えば、そのような特定の実施形態において、恒久的なゲート構造のうち少なくとも一部のアニールは、例えばゲート誘電体層が形成された後に、約600℃より高い温度で実行される。アニールは、恒久的なコンタクトの形成前に実行される。
いくつかの実施形態において、半導体構造又はデバイスの構成は、分離領域の上方のゲート線又はゲートスタックの一部の上方にゲートコンタクトを置く。しかしながら、そのような構成は、レイアウトスペースの非効率な使用と見なされ得る。別の実施形態において、半導体デバイスは、活性領域の上方に形成されたゲート電極の部分と接触するコンタクト構造を有する。概して、ゲートの活性部分の上方、かつトレンチコンタクトビアと同一の層に、ゲートコンタクト構造(ビアなど)を形成する前に(例えば、それを形成することに加えて)、本開示の1又は複数の実施形態は最初に、ゲート整合トレンチコンタクトプロセスを使用することを含む。そのようなプロセスは、半導体構造の製造、例えば、集積回路の製造のためのトレンチコンタクト構造を形成すべく、実装され得る。一実施形態において、トレンチコンタクトパターンは、既存のゲートパターンと整合するように形成される。対照的に、他のアプローチでは、典型的には、選択性コンタクトエッチングと組み合わせた、既存のゲートパターンに対するリソグラフィコンタクトパターンの厳しい位置合わせを伴った追加的なリソグラフィプロセスを含む。例えば、別のプロセスは、コンタクトフィーチャの別個のパターニングと共に、ポリ(ゲート)グリッドのパターニングを含み得る。
上述のプロセスのすべての態様が、本開示の実施形態の趣旨及び範囲に収まるように実践される必要はないことは理解されるべきである。例えば、一実施形態において、ダミーゲートは、ゲートスタックの活性部分の上方にゲートコンタクトを製造する前に、形成される必要は全くない。上述のゲートスタックは、実際は最初に形成されたように恒久的なゲートスタックであり得る。また、本明細書に説明されるプロセスは、1又は複数の半導体デバイスを製造するべく使用され得る。半導体デバイスは、トランジスタ又は同様のデバイスであり得る。例えば、一実施形態において、半導体デバイスは、ロジック又はメモリ用の金属酸化膜半導体(MOS)トランジスタ、又はバイポーラトランジスタである。また、一実施形態において、半導体デバイスは、トライゲートデバイス、独立してアクセスされるダブルゲートデバイス又はフィンFETなどの、3次元アーキテクチャを有する。1又は複数の実施形態は、10ナノメートル(10nm)テクノロジーノード、及び、10ナノメートル(10nm)未満のテクノロジーノードでの半導体デバイスの製造に特に有用であり得る。
FEOL層又は構造の製造のための、追加の、又は、中間の工程は、リソグラフィ、エッチング、成膜、平坦化(化学機械研磨(CMP)など)、拡散、メトロロジ、犠牲層の使用、エッチングストップ層の使用、平坦化ストップ層の使用、又は、マイクロエレクトロニクスコンポーネント製造に関連する任意の他の措置などの標準マイクロエレクトロニクス製造プロセスを含み得る。また、先行のプロセスフローについて説明されたプロセス工程は、代替的な順序で実践され得ること、すべての工程が実行される必要があるわけではないこと、又は、追加のプロセス工程が実行され得ること、又は、その両方があり得ることを理解されたい。
上の例示的なFEOLの実施形態では、一実施形態において、10ナノメートル又は10ナノメートル未満のノード処理が、テクノロジードライバとしての製造スキーム、及び、結果として生じる構造において、直接実装されることを理解されたい。他の実施形態において、BEOLの10ナノメートル又は10ナノメートル未満の処理要件により、FEOLを検討することが推進され得る。例えば、FEOL層及びデバイスのための材料選択及びレイアウトは、BEOL処理に対応する必要があり得る。そのような一実施形態において、例えば、FEOL層において形成されるが、BEOL層の高密度メタライゼーションによって共に結合されるトランジスタ構造におけるフリンジ容量を減少させるべく、BEOL層の高密度メタライゼーションに対応するために、材料選択及びゲートスタックアーキテクチャが選択される。
集積回路の配線工程(BEOL)層は、一般に、当分野においてビアとして知られている導電性マイクロエレクトロニクス構造を含み、これにより、ビアより上の金属線又は他のインターコネクトを、ビアの下方の金属線又は他のインターコネクトと電気的に接続する。ビアはリソグラフィプロセスによって形成され得る。代表的には、フォトレジスト層が誘電体層の上方にスピンコートされ得て、フォトレジスト層は、パターニングされたマスクを通して、パターニングされた化学線に露光され得て、次に、フォトレジスト層に開口を形成べく、露光された層が現像され得る。次に、フォトレジスト層の開口をエッチングマスクとして使用することによって、ビア用の開口が誘電体層にエッチングされ得る。この開口は、ビア開口と呼ばれる。最後に、ビア開口は、1又は複数の金属又は他の導電性材料で充填され、ビアを形成し得る。
ビアのサイズ及び間隔は、徐々に減少しており、少なくともいくつかの種類の集積回路(例えば、次世代型マイクロプロセッサ、チップセットコンポーネント、グラフィックチップなど)では、将来もビアのサイズ及び間隔は徐々に減少を続けることが期待されている。そのようなリソグラフィプロセスによって、非常に小さいビアを非常に小さいピッチでパターニングするとき、いくつかの課題が出現する。1つのそのような課題は、ビアと、上層インターコネクトとの間の重ね合わせ、及び、ビアと下層ランディングインターコネクトとの間の重ね合わせは、一般的に、ビアピッチのおよそ4分の1程度の高い許容誤差で制御される必要がある。ビアピッチが次第にますます小さくスケーリングするにつれて、重ね合わせの許容誤差もそれに合わせてスケーリングする傾向があり、その速度は、リソグラフィ装置が追いつけるより更に高い。
別のそのような課題は、ビア開口のクリティカル寸法は、一般的に、リソグラフィスキャナの分解能より速くスケーリングする傾向があることである。ビア開口のクリティカル寸法を縮小させる縮小技術が存在する。しかしながら、縮小量は、光近接効果補正(OPC)が十分にニュートラルになるための、及び、線幅粗度(LWR)又はクリティカル寸法均一性(CDU)、又は、その両方を著しく損なわないようにするための縮小プロセスの能力だけでなく、最小ビアピッチによって制限を受ける傾向がある。更に別のそのような課題は、クリティカル寸法バジェットの全体的な比率を同一に維持するためには、ビア開口のクリティカル寸法が減少するので、LWR若しくはCDU、又は、その両方のフォトレジストの特性は、一般的に、改善する必要があるということである。
上の要因はまた、非導電性スペース又は遮蔽物を配線工程(BEOL)金属インターコネクト構造の金属線(「プラグ」、「誘電体プラグ」又は「金属線端部」と呼ばれる)のうちの金属線の間に配置してスケーリングすることの考慮に関連する。従って、金属線、金属ビア及び誘電体プラグを製造するためのバックエンドメタライゼーション製造技術の領域において改善が必要である。
別の態様において、BEOLインターコネクト構造を形成するために、誘電体層においてトレンチをパターニングするためのピッチ4分割アプローチが実装される。本開示の一実施形態によれば、BEOL製造スキームにおいて金属線を製造するためにピッチ分割が適用される。実施形態は、従来のリソグラフィ装置の分解能を超えて、金属層のピッチのスケーリングを継続的に変化させることを可能にし得る。
図54は、本開示の一実施形態に係る、インターコネクト構造のためのトレンチを製造するために使用されるピッチ4分割アプローチ5400の模式図である。
図54を参照すると、工程(a)において、直接的なリソグラフィを使用して、バックボーンフィーチャ5402が形成される。例えば、フォトレジスト層又はスタックがパターニングされ得て、パターンはハードマスク材料に転写され、最終的に、バックボーンフィーチャ5402を形成する。バックボーンフィーチャ5402を形成するために使用されるフォトレジスト層又はスタックは、193液浸リソグラフィなどの標準リソグラフィ処理技法を使用してパターニングされ得る。次に、第1スペーサフィーチャ5404が、バックボーンフィーチャ5402の側壁に隣接して形成される。
工程(b)において、バックボーンフィーチャ5402が除去され、第1スペーサフィーチャ5404だけを残す。この段階で、第1スペーサフィーチャ5404は事実上、半ピッチマスクであり、例えば、ピッチ2分割プロセスを表す。第1スペーサフィーチャ5404がピッチ4分割プロセスのために直接使用され得るか、又は、第1スペーサフィーチャ5404のパターンがまず新しいハードマスク材料に転写され得るかのいずれかであり、後者のアプローチが図示される。
工程(c)において、第1スペーサフィーチャ5404のパターンは、新しいハードマスク材料に転写され、第1スペーサフィーチャ5404'を形成する。次に、第2スペーサフィーチャ5406が第1スペーサフィーチャ5404'の側壁に隣接して形成される。
工程(d)において、第1スペーサフィーチャ5404'が除去され、第2スペーサフィーチャ5406だけが残る。この段階で、第2スペーサフィーチャ5406は事実上、4分の1ピッチマスクであり、例えば、ピッチ4分割プロセスを表す。
工程(e)において、第2スペーサフィーチャ5406は、誘電体又はハードマスク層において複数のトレンチ5408をパターニングするためのマスクとして使用される。トレンチは最終的に、集積回路のメタライゼーション層において導電性インターコネクトを形成するために、導電性材料で充填され得る。符号「B」を有するトレンチ5408は、バックボーンフィーチャ5402に対応する。符号「S」を有するトレンチ5408は、第1スペーサフィーチャ5404又は5404'に対応する。符号「C」を有するトレンチ5408は、バックボーンフィーチャ5402の間の相補的領域5407に対応する。
図54のトレンチ5408の個々は、バックボーンフィーチャ5402、第1スペーサフィーチャ5404若しくは5404'、又は、図54の相補的領域5407のうちの1つに対応するパターニング元を有するので、そのようなフィーチャの幅及び/又はピッチの差は、集積回路のメタライゼーション層における最終的に形成された導電性インターコネクトにおいて、ピッチ4分割プロセスのアーティファクトとして現れ得ることを理解されたい。例として、図55Aは、本開示の一実施形態に係る、ピッチ4分割スキームを使用して製造されるメタライゼーション層の断面図を示す。
図55Aを参照すると、集積回路構造5500は、基板5502より上に層間誘電(ILD)層5504を含む。複数の導電性インターコネクト線5506は、ILD層5504の中にあり、複数の導電性インターコネクト線5506の個々は、ILD層5504の一部によって互いに離間し得る。複数の導電性インターコネクト線5506の個々は、導電性バリア層5508及び導電性充填材料5510を含む。
図54及び図55Aの両方を参照すると、導電性インターコネクト線5506Bが、バックボーンフィーチャ5402から発生したパターンを有するトレンチに形成される。導電性インターコネクト線5506Sは、第1スペーサフィーチャ5404又は5404'から発生したパターンを有するトレンチで形成される。導電性インターコネクト線5506Cは、バックボーンフィーチャ5402の間の相補的領域5407から発生したパターンを有するトレンチに形成される。
再び図55Aを参照すると、一実施形態において、複数の導電性インターコネクト線5506は、幅(W1)を有する第1インターコネクト線5506Bを含む。第2インターコネクト線5506Sは、第1インターコネクト線5506Bに直接隣接し、第2インターコネクト線5506Sは、第1インターコネクト線5506Bの幅(W1)とは異なる幅(W2)を有する。第3インターコネクト線5506Cは、第2インターコネクト線5506Sに直接隣接し、第3インターコネクト線5506Cは、幅(W3)を有する。第4インターコネクト線(第2 5506S)は、第3インターコネクト線5506Cに直接隣接し、第4インターコネクト線は、第2インターコネクト線5506Sの幅(W2)と同一の幅(W2)を有する。第5インターコネクト線(第2 5506B)は、第4インターコネクト線(第2 5506S)に直接隣接し、第5インターコネクト線(第2 5506B)は、第1インターコネクト線5506Bの幅(W1)と同一の幅(W1)を有する。
一実施形態において、第3インターコネクト線5506Cの幅(W3)は、第1インターコネクト線5506Bの幅(W1)と異なる。そのような一実施形態において、第3インターコネクト線5506Cの幅(W3)は、第2インターコネクト線5506Sの幅(W2)とは異なる。別のそのような実施形態において、第3インターコネクト線5506Cの幅(W3)は、第2インターコネクト線5506Sの幅(W2)と同一である。別の実施形態において、第3インターコネクト線5506Cの幅(W3)は、第1インターコネクト線5506Bの幅(W1)と同一である。
一実施形態において、第1インターコネクト線5506Bと第3インターコネクト線5506Cとの間のピッチ(P1)は、第2インターコネクト線5506Sと第4インターコネクト線(第2 5506S)との間のピッチ(P2)と同一である。別の実施形態において、第1インターコネクト線5506Bと第3インターコネクト線5506Cとの間のピッチ(P1)は、第2インターコネクト線5506Sと第4インターコネクト線(第2 5506S)との間のピッチ(P2)とは異なる。
再び図55Aを参照すると、別の実施形態において、複数の導電性インターコネクト線5506は、幅(W1)を有する第1インターコネクト線5506Bを含む。第2インターコネクト線5506Sは、第1インターコネクト線5506Bに直接隣接し、第2インターコネクト線5506Sは、幅(W2)を有する。第3インターコネクト線5506Cは、第2インターコネクト線5506Sに直接隣接し、第3インターコネクト線5506Sは、第1インターコネクト線5506Bの幅(W1)とは異なる幅(W3)を有する。第4インターコネクト線(第2 5506S)は、第3インターコネクト線5506Cに直接隣接し、第4インターコネクト線は、第2インターコネクト線5506Sの幅(W2)と同一の幅(W2)を有する。第5インターコネクト線(第2 5506B)は、第4インターコネクト線(第2 5506S)に直接隣接し、第5インターコネクト線(第2 5506B)は、第1インターコネクト線5506Bの幅(W1)と同一の幅(W1)を有する。
一実施形態において、第2インターコネクト線5506Bの幅(W2)は、第1インターコネクト線5506Sの幅(W1)と異なる。そのような一実施形態において、第3インターコネクト線5506Cの幅(W3)は、第2インターコネクト線5506Sの幅(W2)とは異なる。別のそのような実施形態において、第3インターコネクト線5506Cの幅(W3)は、第2インターコネクト線5506Sの幅(W2)と同一である。
一実施形態において、第2インターコネクト線5506Sの幅(W2)は、第1インターコネクト線5506Bの幅(W1)と同一である。一実施形態において、第1インターコネクト線5506Bと第3インターコネクト線5506Cとの間のピッチ(P1)は、第2インターコネクト線5506Sと第4インターコネクト線(第2 5506S)との間のピッチ(P2)と同一である。一実施形態において、第1インターコネクト線5506Bと第3インターコネクト線5506Cとの間のピッチ(P1)は、第2インターコネクト線5506Sと第4インターコネクト線(第2 5506S)との間のピッチ(P2)とは異なる。
図55Bは、本開示の一実施形態に係る、ピッチ4分割スキームを使用して製造されたメタライゼーション層より上で、ピッチ2分割スキームを使用して製造されたメタライゼーション層の断面図を示す。
図55Bを参照すると、集積回路構造5550は、基板5552より上の第1層間誘電(ILD)層5554を備える。第1の複数の導電性インターコネクト線5556は第1ILD層5554の中にあり、第1の複数の導電性インターコネクト線5556の個々は、第1ILD層5554の一部によって互いに離間される。複数の導電性インターコネクト線5556の個々は、導電性バリア層5558及び導電性充填材料5560を含む。集積回路構造5550は更に、基板5552より上に第2層間誘電(ILD)層5574を含む。第2の複数の導電性インターコネクト線5576は、第2ILD層5574の中にあり、第2の複数の導電性インターコネクト線5576の個々は、第2ILD層5574の一部によって互いに離間される。複数の導電性インターコネクト線5576の個々は、導電性バリア層5578及び導電性充填材料5580を含む。
本開示の一実施形態によれば、再び図55Bを参照すると、集積回路構造を製造する方法は、基板5552より上の第1層間誘電(ILD)層5554の中にある、それによって離間される第1の複数の導電性インターコネクト線5556を形成する段階を備える。第1の複数の導電性インターコネクト線5556は、例えば図54の工程(a)〜(e)に関連して説明されるアプローチなどの、スペーサベースのピッチ4分割プロセスを使用して形成される。第2の複数の導電性インターコネクト線5576は、第1ILD層5554より上の第2ILD層5574の中に形成され、それによって離間される。第2の複数の導電性インターコネクト線5576は、例えば、図54の工程(a)及び(b)に関連して説明されるアプローチなどの、スペーサベースのピッチ2分割プロセスを使用して形成される。
一実施形態において、第1の複数の導電性インターコネクト線5556は、直接隣接する線の間に、40ナノメートルより大きいピッチ(P1)を有する。第2の複数の導電性インターコネクト線5576は、直接隣接する線の間に、44ナノメートル又はそれより大きいピッチ(P2)を有する。一実施形態において、スペーサベースのピッチ4分割プロセス、及び、スペーサベースのピッチ2分割プロセスは、液浸193nmリソグラフィプロセスに基づく。
一実施形態において、第1の複数の導電性インターコネクト線5554の個々は、第1導電性バリアライナ5558、及び、第1導電性充填材料5560を含む。第2の複数の導電性インターコネクト線5556の個々は、第2導電性バリアライナ5578及び第2導電性充填材料5580を含む。そのような一実施形態において、第1導電性充填材料5560は、第2導電性充填材料5580とは異なる組成を有する。別の実施形態において、第1導電性充填材料5560は、第2導電性充填材料5580と同一の組成である。
図示されないが、一実施形態において、方法は更に、第2ILD層5574より上の第3ILD層の中にある、それによって離間される第3の複数の導電性インターコネクト線を形成する段階を備える。第3の複数の導電性インターコネクト線は、ピッチ分割を使用することなく形成される。
図示されないが、一実施形態において、方法は更に、第2の複数の導電性インターコネクト線5576を形成する前に、第1ILD層5554より上の第3ILD層の中にある、それによって離間される第3の複数の導電性インターコネクト線を形成する段階を備える。第3の複数の導電性インターコネクト線は、スペーサベースのピッチ4分割プロセスを使用して形成される。そのような一実施形態において、第2の複数の導電性インターコネクト線5576を形成後、第4の複数の導電性インターコネクト線が、第2ILD層5574より上の第4ILD層の中に形成され、それによって離間される。第4の複数の導電性インターコネクト線は、スペーサベースのピッチ2分割プロセスを使用して形成される。一実施形態において、そのような方法は更に、第4ILD層より上の第5ILD層の中にある、それによって離間される第5の複数の導電性インターコネクト線を形成する段階を備え、第5の複数の導電性インターコネクト線は、スペーサベースのピッチ2分割プロセスを使用して形成される。次に、第6の複数の導電性インターコネクト線が、第5ILD層より上の第6ILD層の中に形成され、それによって離間され、第6の複数の導電性インターコネクト線は、スペーサベースのピッチ2分割プロセスを使用して形成される。次に、第7の複数の導電性インターコネクト線は、第6ILD層より上の第7ILD層の中に形成され、それによって離間される。第7の複数の導電性インターコネクト線は、ピッチ分割を使用することなく形成される。
別の態様において、金属線組成は、メタライゼーション層の間で変動する。そのような構成は、異種メタライゼーション層と呼ばれ得る。一実施形態において、比較的大きいインターコネクト線のための導電性充填材料として銅が使用され、一方、コバルトは、比較的小さいインターコネクト線のための導電性充填材料として使用される。充填材料としてコバルトを有する、より小さい線は、低い抵抗率を維持しつつ、低減されたエレクトロマイグレーションを提供し得る。より小さいインターコネクト線のために銅に代わってコバルトを使用することは、導電性バリア層がより大きい量のインターコネクト体積を消費し、銅が減少し、銅インターコネクト線に通常関連する利点を基本的に妨げるという銅線のスケーリングの問題に対処し得る。
第1の例において、図56Aは、本開示の一実施形態に係る、金属線組成を有するメタライゼーション層より上の、異なる金属線組成を有するメタライゼーション層を備える集積回路構造の断面図を示す。
図56Aを参照すると、集積回路構造5600は、基板5602より上の第1層間誘電(ILD)層5604の中にある、それによって離間される第1の複数の導電性インターコネクト線5606を備える。導電性インターコネクト線5606Aの1つは、下層ビア5607を有するものとして示される。第1の複数の導電性インターコネクト線5606の個々は、第1導電性充填材料5610の側壁及び底部に沿って第1導電性バリア材料5608を含む。
第2の複数の導電性インターコネクト線5616は、第1ILD層5604より上の第2ILD層5614の中にあり、それによって離間される。導電性インターコネクト線5616Aの1つは、下層ビア5617を有するものとして示される。第2の複数の導電性インターコネクト線5616の個々は、第2導電性充填材料5620の側壁及び底部に沿って、第2導電性バリア材料5618を含む。第2導電性充填材料5620は、第1導電性充填材料5610とは異なる組成である。
一実施形態において、第2導電性充填材料5620は、基本的に銅から成り、第1導電性充填材料5610は、基本的にコバルトから成る。そのような一実施形態において、第1導電性バリア材料5608は、第2導電性バリア材料5618とは組成が異なる。別のそのような実施形態において、第1導電性バリア材料5608は、第2導電性バリア材料5618と同一の組成である。
一実施形態において、第1導電性充填材料5610は、第1濃度のドーパント不純物原子を有する銅を含み、第2導電性充填材料5620は、第2濃度のドーパント不純物原子を有する銅を含む。ドーパント不純物原子の第2濃度は、ドーパント不純物原子の第1濃度より小さい。そのような一実施形態において、ドーパント不純物原子は、アルミニウム(Al)及びマンガン(Mn)から成る群から選択される。一実施形態において、第1導電性バリア材料5610及び第2導電性バリア材料5620は同一の組成を有する。一実施形態において、第1導電性バリア材料5610及び第2導電性バリア材料5620は異なる組成である。
再び図56Aを参照すると、第2ILD層5614は、エッチングストップ層5622上にある。導電ビア5617は、第2ILD層5614の中にあり、エッチングストップ層5622の開口の中にある。一実施形態において、第1ILD層5604及び第2ILD層5614はシリコン、炭素及び酸素を含み、エッチングストップ層5622はシリコン及び窒素を含む。一実施形態において、第1の複数の導電性インターコネクト線5606の個々は、第1の幅(W1)を有し、第2の複数の導電性インターコネクト線5616の個々は、第1の幅(W1)より大きい第2の幅(W2)を有する。
第2の例において、図56Bは、本開示の一実施形態に係る、結合されたメタライゼーション層の金属線組成とは異なる金属線組成を有するメタライゼーション層を備える集積回路構造の断面図を示す。
図56Bを参照すると、集積回路構造5650は、基板5652より上の第1層間誘電(ILD)層5654の中にある、それによって離間される第1の複数の導電性インターコネクト線5656を備える。導電性インターコネクト線5656Aの1つは、下層ビア5657を有するものとして示される。第1の複数の導電性インターコネクト線5656の個々は、第1導電性充填材料5660の側壁及び底部に沿った第1導電性バリア材料5658を含む。
第2の複数の導電性インターコネクト線5666は、第1ILD層5654より上の第2ILD層5664の中にあり、それによって離間される。導電性インターコネクト線5666Aの1つは、下層ビア5667を有するものとして示される。第2の複数の導電性インターコネクト線5666の個々は、第2導電性充填材料5670の側壁及び底部に沿って、第2導電性バリア材料5668を含む。第2導電性充填材料5670は、第1導電性充填材料5660とは異なる組成である。
一実施形態において、導電ビア5657は、第1の複数の導電性インターコネクト線5656の個々5656Bの上にあり、それに電気的に結合され、第2の複数の導電性インターコネクト線5666の個々5666Aを第1の複数の導電性インターコネクト線5656の個々5656Bに電気的に結合する。一実施形態において、図示されるように、第1の複数の導電性インターコネクト線5656の個々は、第1方向5698(例えばページの奥及び手前)に沿っており、第2の複数の導電性インターコネクト線5666の個々は、第1方向5698に直交する第2方向5699に沿っている。一実施形態において、導電ビア5667は、図示されるように、第2導電性充填材料5670の側壁及び底部に沿って第2導電性バリア材料5668を含む。
一実施形態において、第2ILD層5664は、第1ILD層5654上のエッチングストップ層5672上にある。導電ビア5667は、第2ILD層5664の中、及び、エッチングストップ層5672の開口の中にある。一実施形態において、第1ILD層5654及び第2ILD層5664は、シリコン、炭素及び酸素を含み、エッチングストップ層5672はシリコン及び窒素を含む。一実施形態において、第1の複数の導電性インターコネクト線5656の個々は、第1の幅(W1)を有し、第2の複数の導電性インターコネクト線5666の個々は、第1の幅(W1)より大きい第2の幅(W2)を有する。
一実施形態において、第2導電性充填材料5670は、基本的に銅から成り、第1導電性充填材料5660は、基本的にコバルトから成る。そのような一実施形態において、第1導電性バリア材料5658は、第2導電性バリア材料5668とは組成が異なる。別のそのような実施形態において、第1導電性バリア材料5658は、第2導電性バリア材料5668と同一の組成である。
一実施形態において、第1導電性充填材料5660は、第1濃度のドーパント不純物原子を有する銅を含み、第2導電性充填材料5670は、第2濃度のドーパント不純物原子を有する銅を含む。ドーパント不純物原子の第2濃度は、ドーパント不純物原子の第1濃度より小さい。そのような一実施形態において、ドーパント不純物原子は、アルミニウム(Al)及びマンガン(Mn)から成る群から選択される。一実施形態において、第1導電性バリア材料5660及び第2導電性バリア材料5670は同一の組成を有する。一実施形態において、第1導電性バリア材料5660及び第2導電性バリア材料5670は異なる組成である。
図57A〜図57Cは、本開示の一実施形態に係る図56A及び図56Bに関連して説明された構造に適した、様々なバリアライナ及び導電性キャッピング構造配置を有する個々のインターコネクト線の断面図を示す。
図57Aを参照すると、誘電体層5701におけるインターコネクト線5700は、導電性バリア材料5702及び導電性充填材料5704を含む。導電性バリア材料5702は、導電性充填材料5704から遠位の外層5706と、導電性充填材料5704から近位の内層5708とを含む。一実施形態において、導電性充填材料はコバルトを含み、外層5706はチタン及び窒素を含み、内層5708はタングステン、窒素及び炭素を含む。そのような一実施形態において、外層5706の厚さは、概ね2ナノメートルであり、内層5708の厚さは概ね0.5ナノメートルである。別の実施形態において、導電性充填材料はコバルトを含み、外層5706はタンタルを含み、内層5708はルテニウムを含む。そのような一実施形態において、外層5706は更に窒素を含む。
図57Bを参照すると、誘電体層5721におけるインターコネクト線5720は、導電性バリア材料5722及び導電性充填材料5724を含む。導電性キャップ層5730は、導電性充填材料5724の頂部の上にある。そのような一実施形態において、図示されるように、導電性キャップ層5730は更に、導電性バリア材料5722の頂部の上にある。別の実施形態において、導電性キャップ層5730は、導電性バリア材料5722の頂部の上に無い。一実施形態において、導電性キャップ層5730は、基本的にコバルトから成り、導電性充填材料5724は基本的に銅から成る。
図57Cを参照すると、誘電体層5741におけるインターコネクト線5740は、導電性バリア材料5742及び導電性充填材料5744を含む。導電性バリア材料5742は、導電性充填材料5744から遠位の外層5746と、導電性充填材料5744から近位の内層5748とを含む。導電性キャップ層5750は導電性充填材料5744の頂部の上にある。一実施形態において、導電性キャップ層5750は導電性充填材料5744の頂部の上だけにある。しかしながら、別の実施形態において、導電性キャップ層5750は更に、導電性バリア材料5742の内層5748の頂部の上、すなわち、位置5752にある。そのような一実施形態において、導電性キャップ層5750は更に、導電性バリア材料5742の外層5746の頂部の上、すなわち、位置5754にある。
一実施形態において、図57B及び図57Cを参照すると、集積回路構造を製造する方法は、基板より上に層間誘電(ILD)層5721又は5741を形成する段階を備える。複数の導電性インターコネクト線5720又は5740は、トレンチの中に形成され、ILD層の中にあり、それによって離間され、複数の導電性インターコネクト線5720又は5740の個々は、トレンチのうちの対応する1つの中にある。複数の導電性インターコネクト線はまず、トレンチの底部及び側壁上に導電性バリア材料5722又は5724を形成し、次に、導電性バリア材料5722又は5742上にそれぞれ、導電性充填材料5724又は5744を形成し、トレンチを充填することによって形成され、導電性バリア材料5722又は5742はそれぞれ、導電性充填材料5730又は5750の底部及び側壁に沿っている。導電性充填材料5724又は5744の頂部は次に、酸素及び炭素を含む気体で処理される。導電性充填材料5724又は5744の頂部を、酸素及び炭素を含む気体で処理した後に、導電性キャップ層5730又は5750はそれぞれ、導電性充填材料5724又は5744の頂部の上に形成される。
一実施形態において、導電性充填材料5724又は5744の頂部を、酸素及び炭素を含む気体で処理することは、導電性充填材料5724又は5744の頂部を一酸化炭素(CO)で処理することを含む。一実施形態において、導電性充填材料5724又は5744は銅を含み、導電性充填材料5724又は5744の頂部の上に導電性キャップ層5730又は5750を形成することは、化学気相成長(CVD)を使用して、コバルトを含む層を形成することを含む。一実施形態において、導電性キャップ層5730又は5750は、導電性充填材料5724又は5744の頂部の上に形成されるが、導電性バリア材料5722又は5724の頂部の上に形成されない。
一実施形態において、導電性バリア材料5722又は5744を形成することは、トレンチの底部及び側壁上に第1導電層を形成することを含み、第1導電層はタンタルを含む。第1導電層の第1部分はまず、原子層堆積(ALD)を使用して形成され、次に、第1導電層の第2部分が、物理気相成長(PVD)を使用して形成される。そのような一実施形態において、導電性バリア材料を形成することは更に、トレンチの底部及び側壁上の第1導電層上に第2導電層を形成することを含み、第2導電層はルテニウムを含み、導電性充填材料は銅を含む。一実施形態において、第1導電層は更に、窒素を含む。
図58は、本開示の一実施形態に係る、ある金属線組成及びより小さピッチを有する2つのメタライゼーション層より上の、異なる金属線組成及びピッチを有する4つのメタライゼーション層を備える集積回路構造の断面図を示す。
図58を参照すると、集積回路構造5800は、基板5801より上の第1層間誘電(ILD)層5802の中にある、それによって離間される第1の複数の導電性インターコネクト線5804を含む。第1の複数の導電性インターコネクト線5804の個々は、第1導電性充填材料5808の側壁及び底部に沿って第1導電性バリア材料5806を含む。第1の複数の導電性インターコネクト線5804の個々は、第1方向5898(例えば、ページの奥及び手前)に沿っている。
第2の複数の導電性インターコネクト線5814は、第1ILD層5802より上の第2ILD層5812の中にあり、それによって離間される。第2の複数の導電性インターコネクト線5814の個々は、第1導電性充填材料5808の側壁及び底部に沿って第1導電性バリア材料5806を含む。第2の複数の導電性インターコネクト線5814の個々は、第1方向5898に直交する第2方向5899に沿っている。
第3の複数の導電性インターコネクト線5824は、第2ILD層5812より上の第3ILD層5822の中にあり、それによって離間される。第3の複数の導電性インターコネクト線5824の個々は、第2導電性充填材料5828の側壁及び底部に沿って第2導電性バリア材料5826を含む。第2導電性充填材料5828は、第1導電性充填材料5808とは異なる組成である。第3の複数の導電性インターコネクト線5824の個々は、第1方向5898に沿っている。
第4の複数の導電性インターコネクト線5834は、第3ILD層5822より上の第4ILD層5832の中にあり、それによって離間される。第4の複数の導電性インターコネクト線5834の個々は、第2導電性充填材料5828の側壁及び底部に沿って第2導電性バリア材料5826を含む。第4の複数の導電性インターコネクト線5834の個々は、第2方向5899に沿っている。
第5の複数の導電性インターコネクト線5844は、第4ILD層5832より上の第5ILD層5842の中にあり、それによって離間される。第5の複数の導電性インターコネクト線5844の個々は、第2導電性充填材料5828の側壁及び底部に沿った第2導電性バリア材料5826を含む。第5の複数の導電性インターコネクト線5844の個々は、第1方向5898に沿っている。
第6の複数の導電性インターコネクト線5854は、第5ILD層より上の第6ILD層5852の中にあり、それによって離間される。第6の複数の導電性インターコネクト線5854の個々は、第2導電性充填材料5828の側壁及び底部に沿った第2導電性バリア材料5826を含む。第6の複数の導電性インターコネクト線5854の個々は第2方向5899に沿っている。
一実施形態において、第2導電性充填材料5828は基本的に銅から成り、第1導電性充填材料5808は基本的にコバルトから成る。一実施形態において、第1導電性充填材料5808は、ドーパント不純物原子の第1濃度を有する銅を含み、第2導電性充填材料5828は、第2濃度のドーパント不純物原子を有する銅を含み、ドーパント不純物原子の第2濃度は、ドーパント不純物原子の第1濃度より小さい。
一実施形態において、第1導電性バリア材料5806は、第2導電性バリア材料5826とは組成が異なる。別の実施形態において、第1導電性バリア材料5806及び第2導電性バリア材料5826は同一の組成を有する。
一実施形態において、第1導電ビア5819は、第1の複数の導電性インターコネクト線5804の個々5804Aの上にあり、それに電気的に結合する。第2の複数の導電性インターコネクト線5814の個々5814Aは、第1導電ビア5819の上にあり、それに電気的に結合する。
第2導電ビア5829は、第2の複数の導電性インターコネクト線5814の個々5814Bの上にあり、それに電気的に結合される。第3の複数の導電性インターコネクト線5824の個々5824Aは、第2導電ビア5829の上にあり、それに電気的に結合される。
第3導電ビア5839は、第3の複数の導電性インターコネクト線5824の個々5824Bの上にあり、それに電気的に結合される。第4の複数の導電性インターコネクト線5834の個々5834Aは、第3導電ビア5839の上にあり、それに電気的に結合される。
第4導電ビア5849は、第4の複数の導電性インターコネクト線5834の個々5834Bの上にあり、それに電気的に結合される。第5の複数の導電性インターコネクト線5844の個々5844Aは、第4導電ビア5849の上にあり、それに電気的に結合される。
第5導電ビア5859は、第5の複数の導電性インターコネクト線5844の個々5844Bの上にあり、それに電気的に結合される。第6の複数の導電性インターコネクト線5854の個々5854Aは、第5導電ビア5859の上にあり、それに電気的に結合される。
一実施形態において、第1導電ビア5819は、第1導電性充填材料5808の側壁及び底部に沿った第1導電性バリア材料5806を含む。第2導電ビア5829、第3導電ビア5839、第4導電ビア5849及び第5導電ビア5859は、第2導電性充填材料5828の側壁及び底部に沿った第2導電性バリア材料5826を含む。
一実施形態において、第1ILD層5802、第2ILD層5812、第3ILD層5822、第4ILD層5832、第5ILD層5842、及び、第6ILD層5852は、隣接するILD層の間の対応するエッチングストップ層5890によって互いから隔離される。一実施形態において、第1ILD層5802、第2ILD層5812、第3ILD層5822、第4ILD層5832、第5ILD層5842及び第6ILD層5852は、シリコン、炭素及び酸素を含む。
一実施形態において、第1の複数の導電性インターコネクト線5804及び第2の複数の導電性インターコネクト線5814の個々は、第1の幅(W1)を有する。第3の複数の導電性インターコネクト線5824、第4の複数の導電性インターコネクト線5834、第5の複数の導電性インターコネクト線5844及び第6の複数の導電性インターコネクト線5854の個々は、第1の幅(W1)より大きい第2の幅(W2)を有する。
図59A〜図59Dは、本開示の一実施形態に係る、底部伝導層を有するビア構成としての様々なインターコネクト線の断面図を示す。
図59A及び図59Bを参照すると、集積回路構造5900は、基板5902より上に層間誘電(ILD)層5904を含む。導電ビア5906は、ILD層5904の中の第1トレンチ5908の中にある。導電性インターコネクト線5910は、導電ビア5906より上にあり、それに電気的に結合する。導電性インターコネクト線5910は、ILD層5904の中の第2トレンチ5912の中にある。第2トレンチ5912は、第1トレンチ5908の開口5909より大きい開口5913を有する。
一実施形態において、導電ビア5906及び導電性インターコネクト線5910は、第1トレンチ5908の底部の上に、第1トレンチ5908の側壁に沿わず、第2トレンチ5912の底部及び側壁に沿わない第1導電性バリア層5914を含む。第2導電性バリア層5916は、第1トレンチ5908の底部の上の第1導電性バリア層5914上にある。第2導電性バリア層5916は更に、第1トレンチ5908の側壁に沿っており、更に、第2トレンチ5912の底部及び側壁に沿っている。第3導電性バリア層5918は、第1トレンチ5908の底部の上の第2導電性バリア層5916上にある。第3導電性バリア層5918は更に、第1トレンチ5908の側壁に沿っている、かつ、第2トレンチ5912の底部及び側壁に沿っている第2導電性バリア層5916上にある。導電性充填材料5920は、第3導電性バリア層5918上にあり、第1トレンチ5908及び第2トレンチ5912を充填する。第3導電性バリア層5918は、導電性充填材料5920の底部及び側壁に沿っている。
一実施形態において、第1導電性バリア層5914及び第3導電性バリア層5918は、同一の組成を有し、第2導電性バリア層5916は、第1導電性バリア層5914及び第3導電性バリア層5918とは組成が異なる。そのような一実施形態において、第1導電性バリア層5914及び第3導電性バリア層5918は、ルテニウムを含み、第2導電性バリア層5916はタンタルを含む。特定のそのような実施形態において、第2導電性バリア層5916は更に、窒素を含む。一実施形態において、導電性充填材料5920は基本的に、銅から成る。
一実施形態において、導電性キャップ層5922は、導電性充填材料5920の頂部の上にある。そのような一実施形態において、導電性キャップ層5922は、第2導電性バリア層5916の頂部の上に無く、第3導電性バリア層5918の頂部の上に無い。しかしながら、別の実施形態において、導電性キャップ層5922はなお更に、第3導電性バリア層5918の頂部の上、例えば、位置5924にある。そのような一実施形態において、導電性キャップ層5922は、なお更に、第2導電性バリア層5916の頂部の上、例えば、位置5926にある。一実施形態において、導電性キャップ層5922は基本的に、コバルトから成り、導電性充填材料5920は基本的に、銅から成る。
図59C及び図59Dを参照すると、一実施形態において、導電ビア5906は、ILD層5904より下の第2ILD層5952における第2導電性インターコネクト線5950上にあり、それに電気的に接続される。第2導電性インターコネクト線5950は、導電性充填材料5954、及び、その上の導電性キャップ5956を含む。エッチングストップ層5958は、図示されるように、導電性キャップ5956の上方にあり得る。
一実施形態において、図59Cに図示されるように、導電ビア5906の第1導電性バリア層5914は、第2導電性インターコネクト線5950の導電性キャップ5956の開口5960の中にある。そのような一実施形態において、導電ビア5906の第1導電性バリア層5914はルテニウムを含み、第2導電性インターコネクト線5950の導電性キャップ5956はコバルトを含む。
別の実施形態において、図59Dに図示されるように、導電ビア5906の第1導電性バリア層5914は、第2導電性インターコネクト線5950の導電性キャップ5956の一部の上にある。そのような一実施形態において、導電ビア5906の第1導電性バリア層5914は、ルテニウムを含み、第2導電性インターコネクト線5950の導電性キャップ5956はコバルトを含む。特定の実施形態において、図示されないが、導電ビア5906の第1導電性バリア層5914は、第2導電性インターコネクト線5950の導電性キャップ5956の中の凹部にあるが、それを貫通しない。
別の態様において、BEOLメタライゼーション層は、導電線と、導電線を収容するILD層との間のステップ高の差など、非プレーナ型トポグラフィを有する。一実施形態において、上層エッチングストップ層は、そのトポグラフィにコンフォーマルに形成され、そのトポグラフィを成す。一実施形態において、そのトポグラフィは、導電ビアの「ランディングしないこと」が発生しないように、上層ビアエッチングプロセスを導電線に向かって誘導することを助ける。
エッチングストップ層トポグラフィの第1の例として、図60Aから図60Dは、本開示の一実施形態に係る、BEOLメタライゼーション層の凹設線トポグラフィの構造配置の断面図を示す。
図60Aを参照すると、集積回路構造6000は、基板6002より上の層間誘電(ILD)層6004の中にある、それによって離間される複数の導電性インターコネクト線6006を含む。例示的な目的で、複数の導電性インターコネクト線6006の1つは、下層ビア6007に結合するように示される。複数の導電性インターコネクト線6006の個々は、ILD層6004の上面6010より下に上面6008を有する。エッチングストップ層6012は、ILD層6004及び複数の導電性インターコネクト線6006上にあり、それにコンフォーマルである。エッチングストップ層6012は、非プレーナ型上面を有し、非プレーナ型上面の最上部6014はILD層6004の上方にあり、非プレーナ型上面の最下部6016は、複数の導電性インターコネクト線6006の上方にある。
導電ビア6018は、複数の導電性インターコネクト線6006の個々6006Aの上にあり、それに電気的に結合される。導電ビア6018は、エッチングストップ層6012の開口6020の中にある。開口6020は、複数の導電性インターコネクト線6006の個々6006Aの上方にあるが、ILD層6014の上方に無い。導電ビア6018は、エッチングストップ層6012より上の第2ILD層6022の中にある。一実施形態において、図60Aに図示されるように、第2ILD層6022は、エッチングストップ層6012上にあり、それにコンフォーマルである。
一実施形態において、図60Aに図示されるように、導電ビア6018の中心6024は、複数の導電性インターコネクト線6006の個々6006Aの中心6026と整合される。しかしながら、別の実施形態において、図60Bに図示されるように、導電ビア6018の中心6024は、複数の導電性インターコネクト線6006の個々6006Aの中心6026からずれている。
一実施形態において、複数の導電性インターコネクト線6006の個々は、導電性充填材料6030の側壁及び底部に沿ったバリア層6028を含む。一実施形態において、図60A、図60B及び図60Cに図示されるように、バリア層6028及び導電性充填材料6030の両方は、ILD層6004の上面6010より下に最上面を有する。特定のそのような実施形態において、図6Cに図示されるように、バリア層6028の最上面は、導電性充填材料6030の最上面より上にある。別の実施形態において、図6Dに図示されるように、導電性充填材料6030は、ILD層6004の上面6010より下の最上面を有し、バリア層6028は、ILD層6004の上面6010と同一平面の最上面を有する。
一実施形態において、ILD層6004は、シリコン、炭素及び酸素を含み、エッチングストップ層6012はシリコン及び窒素を含む。一実施形態において、複数の導電性インターコネクト線6006の個々の上面6008は、0.5〜1.5ナノメートルの範囲の量だけ、ILD層6004の上面6010より下にある。
図60A〜図60Dをまとめて参照すると、本開示の一実施形態によれば、集積回路構造を製造する方法は、基板6002より上の第1層間誘電(ILD)層6004の中にある、それによって離間される複数の導電性インターコネクト線を形成する段階を備える。複数の導電性インターコネクト線は、第1ILD層に対して凹設され、第1ILD層6004の上面6010より下の上面6008を有する複数の導電性インターコネクト線の個々6006を提供する。複数の導電性インターコネクト線の凹設の後に、エッチングストップ層6012が、第1ILD層6004及び複数の導電性インターコネクト線6006の上に、及び、それらにコンフォーマルに形成される。エッチングストップ層6012は、非プレーナ型上面を有し、非プレーナ型上面の最上部6016は第1ILD層6004の上方にあり、非プレーナ型上面の最下部6014は複数の導電性インターコネクト線6006の上方にある。第2ILD層6022はエッチングストップ層6012上で形成される。ビアトレンチは第2ILD層6022においてエッチングされる。エッチングストップ層6012は、エッチング中に、第2ILD層6022におけるビアトレンチの位置を指示する。エッチングストップ層6012は、ビアトレンチを通してエッチングされ、エッチングストップ層6012において開口6020を形成する。開口6020は、複数の導電性インターコネクト線6006の個々6006Aの上方にあるが、第1ILD層6004の上方に無い。導電ビア6018は、ビアトレンチの中に、及び、エッチングストップ層6012における開口6020の中に形成される。導電ビア6018は、複数の導電性インターコネクト線6006の個々6006Aの上にあり、それに電気的に結合される。
一実施形態において、図60A〜図60Cに図示されるように、複数の導電性インターコネクト線6006の個々は、導電性充填材料6030の側壁及び底部に沿ったバリア層6028を含み、複数の導電性インターコネクト線の凹設は、バリア層6028及び導電性充填材料6030の両方を凹設することを含む。別の実施形態において、図60Dに図示されるように、複数の導電性インターコネクト線6006の個々は、導電性充填材料6030の側壁及び底部に沿ったバリア層6028を含み、複数の導電性インターコネクト線の凹設は、導電性充填材料6030の凹設を含むが、バリア層6028の実質的な凹設を含まない。一実施形態において、エッチングストップ層6012は、リソグラフィで整合されていないビアトレンチパターンを再度方向付ける。一実施形態において、複数の導電性インターコネクト線の凹設は、第1ILD層6004に対して0.5〜1.5ナノメートルの範囲の量で凹設することを含む。
エッチングストップ層トポグラフィの第2の例において、図61A〜図61Dは、本開示の一実施形態に係る、BEOLメタライゼーション層の階段状折れ線トポグラフィの構造配置の断面図を示す。
図61Aを参照すると、集積回路構造6100は、基板6102より上の層間誘電(ILD)層6104の中にある、それによって離間される複数の導電性インターコネクト線6106を含む。例示的な目的で、複数の導電性インターコネクト線6106の1つは、下層ビア6107に結合するように示される。複数の導電性インターコネクト線6106の個々は、ILD層6104の上面6110より上に上面6108を有する。エッチングストップ層6112は、ILD層6104及び複数の導電性インターコネクト線6106の上にあり、それにコンフォーマルである。エッチングストップ層6112は、ILD層6104の上方の非プレーナ型上面の最下部6114と、複数の導電性インターコネクト線6106の上方の非プレーナ型上面の最上部6116とを有する非プレーナ型上面を有する。
導電ビア6118は、複数の導電性インターコネクト線6106の個々6106Aの上にあり、それに電気的に結合されている。導電ビア6118は、エッチングストップ層6112の開口6120の中にある。開口6120は、複数の導電性インターコネクト線6106の個々6106Aの上方にあるが、ILD層6114の上方に無い。導電ビア6118は、エッチングストップ層6112より上の第2ILD層6122の中にある。一実施形態において、図61Aに図示されるように、第2ILD層6122は、エッチングストップ層6112上にあり、それにコンフォーマルである。
一実施形態において、図61Aに図示されるように、導電ビア6118の中心6124は、複数の導電性インターコネクト線6106の個々6106Aの中心6126と整合される。しかしながら、別の実施形態において、図61Bに図示されるように、導電ビア6118の中心6124は、複数の導電性インターコネクト線6106の個々6106Aの中心6126からずれている。
一実施形態において、複数の導電性インターコネクト線6106の個々は、導電性充填材料6130の側壁及び底部に沿ったバリア層6128を含む。一実施形態において、図61A、図61B及び図61Cに図示されるように、バリア層6128及び導電性充填材料6130の両方は、ILD層6104の上面6110より上の最上面を有する。特定のそのような実施形態において、バリア層6128の最上面は、図61Cに図示されるように、導電性充填材料6130の最上面より下にある。別の実施形態において、図61Dに図示されるように、導電性充填材料6130は、ILD層6104の上面6110より上に最上面を有し、バリア層6128は、ILD層6104の上面6110と同一平面にある最上面を有する。
一実施形態において、ILD層6104は、シリコン、炭素及び酸素を含み、エッチングストップ層6112はシリコン及び窒素を含む。一実施形態において、複数の導電性インターコネクト線6106の個々の上面6108は、0.5〜1.5ナノメートルの範囲の量だけ、ILD層6004の上面6110より上にある。
図61A〜図61Dをまとめて参照すると、本開示の一実施形態によれば、集積回路構造を製造する方法は、基板6102より上の第1層間誘電(ILD)層の中にある、それによって離間される複数の導電性インターコネクト線6106を形成する段階を備える。第1ILD層6104は、複数の導電性インターコネクト線6106に対して凹設され、第1ILD層6104の上面6110より上の上面6108を有する複数の導電性インターコネクト線6106の個々を提供する。第1ILD層6104の凹設の後、エッチングストップ層6112が第1ILD層6104及び複数の導電性インターコネクト線6106上に、それにコンフォーマルに形成される。エッチングストップ層6112は、第1ILD層6104の上方の非プレーナ型上面の最下部6114と、複数の導電性インターコネクト線6106の上方の非プレーナ型上面の最上部6116とを有する非プレーナ型上面を有する。第2ILD層6122は、エッチングストップ層6112上で形成される。ビアトレンチは、第2ILD層6122においてエッチングされる。エッチングストップ層6112は、エッチング中に、第2ILD層6122におけるビアトレンチの位置を指示する。エッチングストップ層6112は、ビアトレンチを通してエッチングされ、エッチングストップ層6112において開口6120を形成する。開口6120は、複数の導電性インターコネクト線6106の個々6106Aの上方にあるが、第1ILD層6104の上方に無い。導電ビア6118は、ビアトレンチの中に、及び、エッチングストップ層6112における開口6120の中に形成される。導電ビア6118は、複数の導電性インターコネクト線6106の個々6106Aの上にあり、それに電気的に結合される。
一実施形態において、図61A〜図61Cに図示されるように、複数の導電性インターコネクト線6106の個々は、導電性充填材料6130の側壁及び底部に沿ったバリア層6128を含み、第1ILD層6104の凹設は、バリア層6128及び導電性充填材料6130の両方に対して凹設することを含む。別の実施形態において、図61Dに図示されるように、複数の導電性インターコネクト線6106の個々は、導電性充填材料6130の側壁及び底部に沿ったバリア層6128を含み、第1ILD層6104の凹設は、バリア層6128に対してではなく、導電性充填材料6130に対して凹設することを含む。一実施形態において、エッチングストップ層6112は、リソグラフィで整合されていないビアトレンチパターンを再度方向付ける。一実施形態において、第1ILD層6104の凹設は、複数の導電性インターコネクト線6106に対して、0.5〜1.5ナノメートルの範囲の量だけ凹設することを含む。
別の態様において、金属線端部をパターニングする技法が説明される。文脈を提供すると、半導体製造の次世代型ノードにおいて、低いレベルのインターコネクトは、ライン格子、ライン端及びビアの別個のパターニングプロセスによって形成され得る。しかしながら、ビアがライン端に侵入するので(逆も同様)、複合パターンの忠実度は低下する傾向があり得る。本明細書において説明される実施形態は、関連する近接規則を排除する、プラグプロセスとしても知られているライン端プロセスを提供する。実施形態は、ビアをライン端に配置すること、及び、大きいビアをライン端に跨るようにストラッピングすることを可能にし得る。
更なる文脈を提供すると、図62Aは、本開示の一実施形態に係る、メタライゼーション層の平面図の軸a‐a'に沿って切断したときの平面図及び対応する断面図を示す。図62Bは、本開示の一実施形態に係る、ライン端又はプラグの断面図を示す。図62Cは、本開示の一実施形態に係る、ライン端又はプラグの別の断面図を示す。
図62Aを参照すると、メタライゼーション層6200は、誘電体層6204の中に形成される金属線6202を含む。金属線6202は、下層ビア6203に結合され得る。誘電体層6204は、ライン端又はプラグ領域6205を含み得る。図62Bを参照すると、誘電体層6204のライン端又はプラグ領域6205は、誘電体層6204上でハードマスク層6210をパターニングし、次に、誘電体層6204の露出部分をエッチングすることによって製造され得る。誘電体層6204の露出部分は、ライントレンチ6206を形成することに適した深度までエッチングされ得る、又は、ビアトレンチ6208を形成することに適した深度まで更にエッチングされ得る。図62Cを参照すると、ライン端又はプラグ6205の対向する側壁に隣接する2つのビアは、単一の大きい露出部6216の中に製造され、最終的に、ライントレンチ6212及びビアトレンチ6214を形成し得る。
しかしながら、図62A〜図62Cを再び参照すると、忠実度の問題及び/又はハードマスク侵食の問題は、不完全なパターニングレジームをもたらし得る。対照的に、本明細書において説明される1又は複数の実施形態は、トレンチ及びビアのパターニングプロセス後のライン端誘電体(プラグ)の構築を伴うプロセスフローの実装を含む。
次に、一態様において、本明細書において説明される1又は複数の実施形態は、非導電性スペース又は遮蔽物(「ライン端」、「プラグ」又はカットと呼ばれる)を金属線、及び、いくつかの実施形態において、関連する導電ビアの間に構築するためのアプローチに関連する。導電ビアは、定義によれば、前の層の金属パターン上にランディングするために使用される。この管において、本明細書において説明される実施形態は、リソグラフィ装置による整合への依存度がより低いので、より強固なインターコネクト製造スキームを可能にする。そのようなインターコネクト製造スキームは、整合/露出に対する制約を緩和するために使用でき、電気的接触を(例えば、ビア抵抗を減少させることによって)改善するために使用でき、従来のアプローチを使用してそのようなフィーチャをパターニングするのに本来必要だった全体的なプロセス工程、及び、処理時間を減少させるために使用できる。
図63A〜図63Fは、本開示の一実施形態に係る、プラグを最後に処理するスキームにおける様々な工程を表す平面図及び対応する断面図を示す。
図63Aを参照すると、集積回路構造を製造する方法は、下層メタライゼーション層6300より上に形成される層間誘電体(ILD)材料層6302の上部6304にライントレンチ6306を形成する段階を備える。ビアトレンチ6308は、ILD材料層6302の下部6310の中に形成される。ビアトレンチ6308は、下層メタライゼーション層6300の金属線6312を露出させる。
図63Bを参照すると、犠牲材料6314が、ILD材料層6302より上に、並びに、ライントレンチ6306及びビアトレンチ6308の中に形成される。図63Bに図示されるように、犠牲材料6314は、その上に形成されたハードマスク6315を有し得る。一実施形態において、犠牲材料6314は炭素を含む。
図63Cを参照すると、犠牲材料6314がパターニングされ、ライントレンチ6306における犠牲材料6314の導通を遮断し、それにより、例えば、犠牲材料6314において開口6316を提供する。
図63Dを参照すると、犠牲材料6314における開口6316は、誘電体材料で充填され、誘電体プラグ6318を形成する。一実施形態において、図63Dに図示されるように、犠牲材料6314における開口6316を誘電体材料で充填した後に、ハードマスク6315が除去され、ILD材料6302の上面6322より上に上面6320を有する誘電体プラグ6318を提供する。犠牲材料6314が除去され、誘電体プラグ6318を残す。
一実施形態において、犠牲材料6314の開口6316を誘電体材料で充填することは、金属酸化物材料で充填することを含む。そのような一実施形態において、金属酸化物材料は酸化アルミニウムである。一実施形態において、犠牲材料6316の開口6314を誘電体材料で充填することは、原子層堆積(ALD)を使用して充填することを含む。
図63Eを参照すると、ライントレンチ6306及びビアトレンチ6308は、導電性材料6324で充填される。一実施形態において、図示されるように、導電性材料6324は、誘電体プラグ6318及びILD層6302より上、及び、その上方に形成される。
図63Fを参照すると、導電性材料6324及び誘電体プラグ6318は平坦化され、ライントレンチ6306における導電性材料6324の導通を遮断する平坦化誘電体プラグ6318'を提供する。
再び図63Fを参照すると、本開示の実施形態によれば、集積回路構造6350は、基板より上に層間誘電(ILD)層6302を含む。導電性インターコネクト線6324は、ILD層6302におけるトレンチ6306の中にある。導電性インターコネクト線6324は、第1部分6324A及び第2部分6324Bを有し、第1部分6324Aは、第2部分6324Bに横方向に隣接する。誘電体プラグ6318'は、導電性インターコネクト線6324の第1部分6324A及び第2部分6324Bに間にあり、横方向に隣接する。図示されないが、一実施形態において、導電性インターコネクト線6324は、導電性バリアライナ及び導電性充填材料を含み、その例示的材料は上述されている。そのような一実施形態において、導電性充填材料はコバルトを含む。
一実施形態において、誘電体プラグ6318'は金属酸化物材料を含む。そのような一実施形態において、金属酸化物材料は酸化アルミニウムである。一実施形態において、誘電体プラグ6318'は、導電性インターコネクト線6324の第1部分6324A及び第2部分6324Bに直接接触する。
一実施形態において、誘電体プラグ6318'の底部6318Aは、導電性インターコネクト線6324の底部6324Cと実質的に同一平面にある。一実施形態において、第1導電ビア6326は、ILD層6302におけるトレンチ6308の中にある。そのような一実施形態において、第1導電ビア6326は、インターコネクト線6324の底部6324Cより下にある。第1導電ビア6326は、導電性インターコネクト線6324の第1部分6324Aに電気的に結合されている。
一実施形態において、第2導電ビア6328は、ILD層6302における第3トレンチ6330の中にある。第2導電ビア6328は、インターコネクト線6324の底部6324Cより下にあり、第2導電ビア6328は、導電性インターコネクト線6324の第2部分6324Bに電気的に結合されている。
誘電体プラグは、化学気相成長プロセスなどの充填プロセスを使用して形成され得る。アーティファクトは、製造された誘電体プラグの中に残り得る。例として、図64Aは、本開示の一実施形態に係る、その中にシームを有する導電線プラグの断面図を示す。
図64Aを参照すると、誘電体プラグ6418は、導電性インターコネクト線6324の第1部分6324Aから、及び、導電性インターコネクト線6324の第2部分6324Bから、概ね等しく離間する、概ね縦方向のシーム6400を有する。
誘電体プラグが収容されるILD材料とは組成が異なる当該誘電体プラグは、より低いメタライゼーション層の中など、選択されたメタライゼーション層上だけに含まれ得ることを理解されたい。例として、図64Bは、本開示の一実施形態に係る、より低い金属線位置にある、導電線プラグを含むメタライゼーション層のスタックの断面図を示す。
図64Bを参照すると、集積回路構造6450は、基板6452より上の第1層間誘電(ILD)層6454の中にある、それによって離間される第1の複数の導電性インターコネクト線6456を含む。第1の複数の導電性インターコネクト線6456の個々は、1又は複数の誘電体プラグ6458によって不連続になる。一実施形態において、1又は複数の誘電体プラグ6458は、ILD層6452とは異なる材料を含む。第2の複数の導電性インターコネクト線6466は、第1ILD層6454より上の第2ILD層6464の中にあり、それによって離間される。一実施形態において、第2の複数の導電性インターコネクト線6466の個々は、第2ILD層6464の1又は複数の部分6468によって導通が遮断される。図示されるように、他のメタライゼーション層は、集積回路構造6450に含まれ得ることを理解されたい。
一実施形態において、1又は複数の誘電体プラグ6458は金属酸化物材料を含む。そのような一実施形態において、金属酸化物材料は酸化アルミニウムである。一実施形態において、第1ILD層6454及び第2ILD層6464(従って、及び、第2ILD層6464の1又は複数の部分6568)は、炭素ドーピング酸化シリコン材料を含む。
一実施形態において、第1の複数の導電性のインターコネクト線6456の個々は、第1導電性バリアライナ6456A及び第1導電性充填材料6456Bを含む。第2の複数の導電性インターコネクト線6466の個々は、第2導電性バリアライナ6466A及び第2導電性充填材料6466Bを含む。そのような一実施形態において、第1導電性充填材料6456Bは、第2導電性充填材料6466Bとは組成が異なる。特定のそのような実施形態において、第1導電性充填材料6456Bはコバルトを含み、第2導電性充填材料6466Bは銅を含む。
一実施形態において、第1の複数の導電性インターコネクト線6456は、第1ピッチ(同様の層6470に示されるP1)を有する。第2の複数の導電性インターコネクト線6466は、第2ピッチ(同様の層6480において示されるように、P2)を有する。第2ピッチ(P2)は、第1ピッチ(P1)より大きい。一実施形態において、第1の複数の導電性インターコネクト線6456の個々は、第1の幅(同様の層6470に示されるように、W1)を有する。第2の複数の導電性インターコネクト線6466の個々は、第2の幅(同様の層6480に示されるように、W2)を有する。第2の幅(W2)は、第1の幅(W1)より大きい。
配線工程(BEOL)構造及び処理に関連して上述された層及び材料は、集積回路の下層デバイス層など、下層半導体基板又は構造上、又は、より上に形成され得ることを理解されたい。一実施形態において、下層半導体基板は、集積回路を製造するために使用される一般的な加工対象物を表す。半導体基板は、多くの場合、シリコン若しくは別の半導体材料のウェハ又は他の部品を含む。好適な半導体基板には、これらに限定されないが、単一結晶シリコン、多結晶シリコン、及び、シリコンオンインシュレータ(SOI)、並びに、ゲルマニウム、炭素、又は、III‐V族材料を含む基板など、他の半導体材料から形成される類似の基板が含まれる。半導体基板は、製造段階に応じて、多くの場合、トランジスタ、集積回路等を含む。基板は、半導体材料、金属、誘電体、ドーパント、及び、一般に半導体基板に用いられる他の材料も含み得る。更に、図示された構造は、より低いレベルの下層インターコネクト層上で製造され得る。
BEOLメタライゼーション層のメタライゼーション層、又は、メタライゼーション層の一部を製造する上述の方法は、選択工程に関連して詳細に説明されるが、製造のための、追加の、又は、中間の工程は、リソグラフィ、エッチング、成膜、平坦化(化学機械研磨(CMP)など)、拡散、メトロロジ、犠牲層の使用、エッチングストップ層の使用、平坦化ストップ層の使用、又は、マイクロエレクトロニクスコンポーネント製造に関連する任意の他の措置などの標準マイクロエレクトロニクス製造プロセスを含み得ることを理解されたい。また、先行のプロセスフローについて説明されたプロセス工程は、代替的な順序で実践され得ること、すべての工程が実行される必要があるわけではないこと、又は、追加のプロセス工程が実行され得ること、又は、その両方があり得ることを理解されたい。
一実施形態において、本説明全体で使用されるように、層間誘電体(ILD)材料は、誘電体若しくは絶縁体材料の層から構成され、又はこれを含む。適した誘電体材料の例は、限定されないが、シリコン酸化物(例えば二酸化シリコン(SiO))、ドーピングシリコン酸化物、フッ化シリコン酸化物、炭素ドーピングシリコン酸化物、当分野において知られている様々な低誘電率の誘電体材料、及びこれらの組み合わせを含む。層間誘電体材料は、例えば化学気相成長(CVD)、物理気相成長(PVD)などの技法によって、又は、他の成膜方法によって形成され得る。
一実施形態において、本説明全体にわたっても使用されるように、金属線又はインターコネクト線材料(及びビア材料)は、1又は複数の金属又は他の導電性構造から構成される。一般的な例は、銅とそれを包囲するILD材料との間にバリア層を含んでよく、又は含まなくてよい銅線及び構造の使用である。本明細書で使用される金属という用語は、合金、スタック、及び複数の金属の他の組み合わせを含む。例えば、金属インターコネクト線は、バリア層(例えば、Ta、TaN、Ti、又は、TiNのうちの1又は複数を含む層)、異なる金属又は合金のスタックなどを含み得る。従って、インターコネクト線は、単一材料層であり得るか、又は、導電性ライナ層及び充填層を含む複数の層から形成され得る。電気めっき、化学気相成長又は物理気相成長など、任意の好適な成膜プロセスが、インターコネクト線を形成するために使用され得る。一実施形態において、インターコネクト線は、これらに限定されないが、Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au、又は、それらの合金などの導電性材料から構成される。また、インターコネクト線は、当分野において、配線、ワイヤ、ライン、金属、又は単に、インターコネクトと呼ばれることがある。
一実施形態において、本説明全体にわたっても使用されるように、ハードマスク材料は、層間誘電体材料とは異なる誘電体材料から構成される。一実施形態において、異なる成長又はエッチング選択性を互いに、及び、下層誘電体及び金属層に提供するべく、異なるハードマスク材料が、異なる領域において使用され得る。いくつかの実施形態において、ハードマスク層は、シリコン窒化物層(例えば、窒化シリコン)若しくはシリコン酸化物層、又はその両方、又はこれらの組み合わせを含む。他の好適な材料は、炭素ベース材料を含み得る。別の実施形態において、ハードマスク材料は、金属種を含む。例えば、ハードマスク又は他の上層の材料は、チタン窒化物又は別の金属(例えば窒化チタン)の層を含み得る。潜在的には、より少ない量の酸素のような他の材料が、これらの層の1又は複数に含まれ得る。あるいは、当分野において知られている他のハードマスク層が、特定の実装に応じて使用され得る。ハードマスク層は、CVD、PVD、又は他の成膜方法によって形成されてよい。
一実施形態において、本説明全体にわたっても使用されるように、リソグラフィ工程は、193nm液浸リソグラフィ(i193)、極端紫外線(EUV)リソグラフィ、又は、電子ビーム直接描画(EBDW)リソグラフィ、又は、同様のものを使用して実行される。ポジ型レジスト又はネガ型レジストが使用され得る。一実施形態において、リソグラフィマスクは、トポグラフィマスキング部分、反射防止コーティング(ARC)層、及び、フォトレジスト層から構成される3層マスクである。特定のそのような実施形態において、トポグラフィマスキング部分は、炭素ハードマスク(CHM)層であり、反射防止コーティング層はシリコンARC層である。
別の態様において、本明細書において説明される1又は複数の実施形態は、内部ノードジャンパを有するメモリビットセルに関連する。特定の実施形態は、次世代型自己整合プロセス技術においてメモリビットセルを実装する、効率的なレイアウトの技法を含み得る。実施形態は、10ナノメートル又はより小さいテクノロジーノードに関連し得る。実施形態は、コンタクトオーバーアクティブゲート(COAG)又は積極的な金属1(M1)ピッチスケーリング又は両方を利用することによって、同一のフットプリント内で改善された性能を有するメモリビットセルを現像する能力を提供し得る。実施形態は、従来のテクノロジーノードに対して同一又はより小さいフットプリントにおける、より高い性能のビットセルを可能にするビットセルレイアウトを含む、又は、それに関連し得る。
本開示の一実施形態によれば、従来のゲート‐トレンチコンタクト‐ゲートコンタクト(poly‐tcn‐polycon)接続を使用する代わりに、内部ノードを接続するために、より高い金属層(例えば、金属1又はM1)のジャンパが実装される。一実施形態において、内部ノードを接続するために金属1ジャンパと組み合わされたコンタクトオーバーアクティブゲート(COAG)集積スキームは、より高い性能のビットセルのためにフットプリントを増やす必要性を軽減するか、又は、完全に無くす。すなわち、改善されたトランジスタ比が実現され得る。一実施形態において、そのようなアプローチは、積極的なスケーリングが、例えば、10ナノメートル(10nm)テクノロジーノードについて、トランジスタあたりの費用の改善を提供することを可能にする。内部ノードM1ジャンパは、非常に小さいレイアウトをもたらすべく、10nm技術において、SRAM、RF及びデュアルポートビットセルに実装され得る。
比較例として、図65は、メモリセルのためのセルレイアウトの第1ビューを示す。
図65を参照すると、例示的な14ナノメートル(14nm)レイアウト6500は、ビットセル6502を含む。ビットセル6502は、ゲート又はポリ線6504、及び、金属1(M1)線6506を含む。示される例において、ポリ線6504は、1xピッチを有し、M1線6506は1xピッチを有する。特定の実施形態において、ポリ線6504は、70nmピッチを有し、M1線6506は、70nmピッチを有する。
図65とは対照的に、図66は、本開示の一実施形態に係る、内部ノードジャンパを有するメモリセルについてのセルレイアウトの第1ビューを示す。
図66を参照すると、例示的な10ナノメートル(10nm)レイアウト6600は、ビットセル6602を含む。ビットセル6602は、ゲート又はポリ線6604、及び、金属1(M1)線6606を含む。示される例において、ポリ線6604は、1xピッチを有し、M1線6606は、0.67xピッチを有する。その結果、ポリ線のすぐ上にM1線を含む、重複線6605が生じる。特定の実施形態において、ポリ線6604は54nmピッチを有し、M1線6606は36nmピッチを有する。
レイアウト6500と比較して、レイアウト6600において、M1ピッチは、ゲートピッチより小さく、3本の線ごとに、追加の線(6605)を解放する(例えば、2本のポリ線ごとに、3本のM1線がある)。「解放された」M1線は、本明細書において、内部ノードジャンパと呼ばれる。内部ノードジャンパは、ゲートとゲートとの間(ポリとポリとの間)の相互接続のために、又は、トレンチコンタクトとトレンチコンタクトとの間の相互接続のために使用され得る。一実施形態において、ポリとの接触は、コンタクトオーバーアクティブゲート(COAG)構成によって実現され、内部ノードジャンパの製造を可能にする。
より一般的に、図66を参照すると、一実施形態において、集積回路構造は、基板上のメモリビットセル6602を含む。メモリビットセル6602は、基板の第2方向2に沿って平行な第1及び第2のゲート線6604を含む。第1及び第2のゲート線6602は、基板の第1方向(1)に沿った第1ピッチを有し、第1方向(1)は、第2方向(2)に垂直である。第1、第2及び第3のインターコネクト線6606は、第1及び第2ゲート線6604の上方にある。第1、第2及び第3インターコネクト線6606は、基板の第2方向(2)に沿って平行である。第1、第2及び第3のインターコネクト線6606は、第1方向に沿った第2ピッチを有し、第2ピッチは第1ピッチより小さい。一実施形態において、第1、第2及び第3インターコネクト線6606の1つは、メモリビットセル6602のための内部ノードジャンパである。
本開示の全体にわたって適用されるように、ゲート線6604は、格子構造を形成するためのトラック上にあると呼ばれ得る。従って、本明細書に説明される格子状パターンは、一定のピッチで離間され、かつ、一定の幅を有する、ゲート線又はインターコネクト線を有し得る。パターンは、ピッチ2分割若しくはピッチ4分割によって、又は、他のピッチ分割のアプローチによって製造され得る。
比較例として、図67は、メモリセルのためのセルレイアウト6700の第2ビューを示す。
図67を参照すると、14nmビットセル6502は、N型拡散層6702(例えば、下層基板のホウ素ドーピング拡散領域などのP型ドーピング活性領域)及びP型拡散層6704(例えば、リン又はヒ素又はその両方などの、N型ドーピング活性領域、下層基板のドーピング拡散領域)と共に示され、明確にするために、M1線は除去されている。ビットセル102のレイアウト6700は、ゲート又はポリ線6504、トレンチコンタクト6706、ゲートコンタクト6708(14nmノードに特有)、及び、コンタクトビア6710を含む。
図67とは対照的に、図68は、本開示の一実施形態に係る、内部ノードジャンパを有するメモリセルのためのセルレイアウト6800の第2ビューを示す。
図68を参照すると、10nmビットセル6602は、N型拡散層6802(例えば、下層基板のホウ素ドーピング拡散領域などのP型ドーピング活性領域)及びP型拡散層6804(例えば、リン又はヒ素又はその両方などの、N型ドーピング活性領域、下層基板のドーピング拡散領域)と共に示され、明確にするために、M1線は除去されている。ビットセル202のレイアウト6800は、ゲート又はポリ線6604、トレンチコンタクト6806、ゲートビア6808(10nmノードに特有)、及び、トレンチコンタクトビア6710を含む。
レイアウト6700及び6800を比較すると、本開示の一実施形態によれば、14nmレイアウトにおいて、内部ノードはゲートコンタクト(GCN)のみによって接続される。ポリとGCNとのスペースの制約に起因して、同一のフットプリントにおいて、強化された性能のレイアウトを作成することはできない。10nmレイアウトにおいて、その設計は、ゲート上にコンタクト(VCG)をランディングして、ポリコンタクトの必要性を無くすことを可能にする。一実施形態において、構成は、M1を使用する内部ノードの接続を可能にし、14nmフットプリントの中における追加の活性領域密度(例えば、より多くの数のフィン)を可能にする。10nmレイアウトにおいて、COAGアーキテクチャを使用することにより、拡散領域の間の間隔を小さくすることができる。なぜなら、それらは、トレンチコンタクトとゲートコンタクトとの間隔によって限定されないからである。一実施形態において、図67のレイアウト6700は、112(1フィンプルアップ、1フィンパスゲート、2フィンプルダウン)構成と呼ばれる。対照的に、図68のレイアウト6800は、122(1フィンプルアップ、2フィンパスゲート、2フィンプルダウン)構成と呼ばれ、特定の実施形態において、図67の112レイアウトと同一のフットプリントの中にある。一実施形態において、122構成は、112構成と比較して、改善された性能を提供する。
比較例として、図69は、メモリセルのためのセルレイアウト6900の第3ビューを示す。
図69を参照すると、14nmビットセル6502が金属0(M0)線6902と共に示されており、明確にするためにポリ線は除去されている。また、金属1(M1)線6506、コンタクトビア6710、ビア0構造6904が示されている。
図69と対照的に、図70は、本開示の一実施形態に係る、内部ノードジャンパを有するメモリセルのためのセルレイアウト7000の第3ビューを示す。
図70を参照すると、10nmビットセル6602が金属0(M0)線7002と共に示されており、明確にするためにポリ線が除去されている。また、金属1(M1)線6606、ゲートビア6808、トレンチコンタクトビア6810、及び、ビア0構造7004が示されている。図69及び図70を比較すると、本開示の一実施形態によれば、14nmレイアウトの場合、内部ノードは、ゲートコンタクト(GCN)のみによって接続され、一方、10nmレイアウトの内部ノードは、M1ジャンパを使用して接続される。
図66、図68及び図70をまとめて参照すると、本開示の一実施形態によれば、集積回路構造は、基板上にメモリビットセル6602を含む。メモリビットセル6602は、基板の第1方向(1)に沿って平行な、第1(頂部6802)、第2(頂部6804)、第3(底部6804)、及び、第4(底部6802)の活性領域を含む。第1(左6604)及び第2(右6604)ゲート線は、第1、第2、第3及び第4活性領域6802/6804の上方にある。第1及び第2ゲート線6604は、基板の第2方向(2)に沿って平行であり、第2方向(2)は第1方向(1)に垂直である。第1(左端の6606)、第2(左寄りの6606)及び第3のインターコネクト線(右寄り6606)は、第1及び第2ゲート線6604の上方にある。第1、第2及び第3インターコネクト線6606は、基板の第2方向(2)に沿って平行である。
一実施形態において、第1(左端の6606)及び第2(左寄りの6606)インターコネクト線は、第1、第2、第3及び第4活性領域6802/6804(例えば、いわゆる「活性ゲート」位置で)のうちの1又は複数の上方の第1及び第2ゲート線6604の位置で、第1及び第2ゲート線6604に電気的に接続されている。一実施形態において、第1(左端の6606)及び第2(左寄りの6606)インターコネクト線は、第1及び第2インターコネクト線6606と、第1及び第2ゲート線6604との間に鉛直に介在する複数のインターコネクト線7004によって、第1及び第2ゲート線6604に電気的に接続される。介在する複数のインターコネクト線7004は、基板の第1方向(1)に沿って平行である。
一実施形態において、第3インターコネクト線(右寄りの6606)は、メモリビットセル6602の一対のゲート電極を共に電気的に結合し、一対のゲート電極は、第1及び第2ゲート線6604に含まれる。別の実施形態において、第3インターコネクト線(右寄りの6606)は、メモリビットセル6602の一対のトレンチコンタクトを共に電気的に結合し、当該一対のトレンチコンタクトは、複数のトレンチコンタクト線6806に含まれる。一実施形態において、第3インターコネクト線(右寄りの6606)は、内部ノードジャンパである。
一実施形態において、第1活性領域(頂部6802)は、(例えば、NMOSデバイスのためのN型拡散層を提供する)P型ドーピング活性領域であり、第2活性領域(頂部6804)は、(例えば、PMOSデバイスのためのP型拡散層を提供する)N型ドーピング活性領域であり、第3活性領域(底部6804)は、(例えば、PMOSデバイスのためのP型拡散層を提供する)N型ドーピング活性領域であり、第4活性領域(底部6802)は、(例えば、NMOSデバイスのためのN型拡散層を提供する)N型ドーピング活性領域である。一実施形態において、第1、第2、第3及び第4活性領域6802/6804は、シリコンフィンの中にある。一実施形態において、メモリビットセル6602は、単一シリコンフィンに基づくプルアップトランジスタ、2つのシリコンフィンに基づくパスゲートトランジスタ、及び、2つのシリコンフィンに基づくプルダウントランジスタを含む。
一実施形態において、第1及び第2ゲート線6604は、基板の第2方向(2)に沿って平行な、複数のトレンチコンタクト線6806の個々と交互になる。複数のトレンチコンタクト線6806は、メモリビットセル6602のトレンチコンタクトを含む。第1及び第2ゲート線6604は、メモリビットセル6602のゲート電極を含む。
一実施形態において、第1及び第2ゲート線6604は、第1方向(1)に沿った第1ピッチを有する。第1、第2及び第3インターコネクト線6606は、第1方向(2)に沿った第2ピッチを有する。そのような一実施形態において、第2ピッチは、第1ピッチより小さい。そのような特定の実施形態において、第1ピッチは、50ナノメートルから60ナノメートルの範囲にあり、第2ピッチは、30ナノメートルから40ナノメートルの範囲にある。特定のそのような実施形態において、第1ピッチは54ナノメートルであり、第2ピッチは36ナノメートルである。
本明細書において説明される実施形態は、従来のテクノロジーノードと比較的同一のビットセルフットプリントの中に、より多くの数のフィンを提供するように実装され得て、従来の世代に対して、より小さいテクノロジーノードのメモリビットセルの性能を強化する。例として、図71A及び図71Bはそれぞれ、本開示の一実施形態に係る、6トランジスタ(6T)スタティックランダムアクセスメモリSRAMのビットセルレイアウト及び模式図を示す。
図71A及び図71Bを参照すると、ビットセルレイアウト7102は、方向(2)に沿って平行なゲート線7104(ポリ線とも呼ばれ得る)をその中に含む。トレンチコンタクト線7106は、ゲート線7104と交互になっている。ゲート線7104及びトレンチコンタクト線7106は、方向(1)に沿って平行な、NMOS拡散領域7108(例えば、下層基板のホウ素ドーピング拡散領域などのP型ドーピング活性領域)及びPMOS拡散領域7110(例えば、リン又はヒ素、又は、両方など、N型ドーピング活性領域、下層基板のドーピング拡散領域)の上方にある。一実施形態において、NMOS拡散領域7108の両方は各々、2つのシリコンフィンを含む。PMOS拡散領域7110の両方は各々、1つのシリコンフィンを含む。
再び図71A及び図71Bを参照すると、NMOSパスゲートトランジスタ7112、NMOSプルダウントランジスタ7114、PMOSプルアップトランジスタ7116は、ゲート線7104、並びに、NMOS拡散領域7108及びPMOS拡散領域7110から形成される。また、ワード線(WL)7118、内部ノード7120及び7126、ビット線(BL)7122、ビット線バー(BLB)7124、SRAM VCC7128、並びに、VSS7130が図示される。
一実施形態において、ビットセルレイアウト7102の第1及び第2ゲート線7104とのコンタクトが、第1及び第2ゲート線7104の活性ゲート位置に作られる。一実施形態において、6T SRAMビットセル7104は、上述のような内部ノードジャンパを含む。
一実施形態において、本明細書に説明されるレイアウトは、均一のフィントリミングマスクを含む、均一のプラグ及びマスクパターニングに適合する。レイアウトは、非EUVプロセスと適合し得る。更に、レイアウトは、中間フィントリミングマスクの使用だけを必要とし得る。本明細書において説明される実施形態は、他のレイアウトと比較した領域に関して、密度の増大を可能にし得る。実施形態は、次世代型自己整合プロセス技術における、効率的なレイアウトのメモリ実装を提供するために実装され得る。利点は、ダイ領域又はメモリ性能、又は、その両方に関して実現され得る。回路技法は、そのようなレイアウトアプローチによって独自に可能にされ得る。
本明細書において説明される1又は複数の実施形態は、平行なインターコネクト線(例えば、金属1線)及びゲート線がずれているとき、マルチバージョンライブラリセルの取り扱いに関連する。実施形態は、10ナノメートル又はより小さいテクノロジーノードに関連し得る。実施形態は、従来のテクノロジーノードに対して同一又はより小さいフットプリントにおける、より高い性能のセルを可能にするセルレイアウトを含む、又は、それに関連し得る。一実施形態において、ゲート線の上層にインターコネクト線が製造され、下層ゲート線と比較して増大された密度を有する。このような実施形態は、ピンヒットの増加、ルーティングの可能性の増大、又は、セルピンへのアクセスの増大を可能にし得る。実施形態は、6%より高いブロックレベル密度を提供するように実装され得る。
文脈を提供すると、ゲート線、及び、次の平行なレベルのインターコネクト(典型的には、金属1と呼ばれ、金属0層は、金属1とゲート線との間に直交する方向に延びる)は、ブロックレベルで整合される必要がある。しかしながら、一実施形態において、金属1線のピッチは、異なるように作られ、例えば、ゲート線のピッチより小さくなる。ピッチの違いに対応するべく、各セルについて、2つのスタンダードセルバージョン(例えば、2つの異なるセルパターン)が利用可能である。選択された特定のバージョンは、ブロックレベルで遵守する規則配置(rule placement)に従る。適切に選択されない場合、ダーティレジストレーション(DR)が生じ得る。本開示の一実施形態によれば、下層ゲート線と比較して、より増大されたピッチ密度を有する、より高い金属層(例えば、金属1又はM1)が実装される。一実施形態において、そのようなアプローチは、積極的なスケーリングが、例えば、10ナノメートル(10nm)テクノロジーノードについて、トランジスタあたりの費用の改善を提供することを可能にする。
図72は、本開示の一実施形態に係る、同一のスタンダードセルについての、2つの異なるレイアウトの断面図を示す。
図72の一部(a)を参照すると、一組のゲート線7204Aは、基板7202Aの上にある。一組の金属1(M1)インターコネクト7206Aは、一組のゲート線7204Aの上にある。一組の金属1(M1)インターコネクト7206Aは、一組のゲート線7204Aより密なピッチを有する。しかしながら、最も外側の金属1(M1)インターコネクト7206Aは、最も外側のゲート線7204Aと外側で整合する。表記の目的のために、本開示全体を通して使用されるように、図72の一部(a)の整合構成は、偶数(E)整合を有すると呼ばれる。
一部(a)とは対照的に、図72の一部(b)を参照すると、一組のゲート線7204Bは、基板7202Bの上にある。一組の金属1(M1)インターコネクト7206Bは、一組のゲート線7204Bの上にある。一組の金属1(M1)インターコネクト7206Bは、一組のゲート線7204Bより密なピッチを有する。最も外側の金属1(M1)インターコネクト7206Bは、最も外側のゲート線7204Bと外側で整合されない。表記の目的のために、本開示の全体を通して使用されるように、図72の一部(b)の非整合構成は、奇数(O)整合を有すると呼ばれる。
図73は、本開示の一実施形態に係る、偶数(E)又は奇数(O)の表記を示す、4つの異なるセル構成の平面図を示す。
図73の一部(a)を参照すると、セル7300Aは、ゲート(又はポリ)線7302A及び金属1(M1)線7304Aを有する。セル7300Aの左側及びセル7300Aの右側は、整合されたゲート7302A及びM1 7304A線を有するので、セル7300Aは、EEセルと表記される。対照的に、図73の一部(b)を参照すると、セル7300Bは、ゲート(又はポリ)線7302B及び金属1(M1)線7304Bを有する。セル7300Bの左側及びセル7300Bの右側は、整合されていないゲート7302B及びM1 7304B線を有するので、セル7300Bは、OOセルと表記される。
図73の一部(c)を参照すると、セル7300Cは、ゲート(又はポリ)線7302C及び金属1(M1)線7304Cを有する。セル7300Cの左側は、整合されたゲート7302C及びM1 7304C線を有するが、セル7300Cの右側は、整合されていないゲート7302C及びM1 7304C線を有するので、セル7300Cは、EOセルと表記される。対照的に、図73の一部(d)を参照すると、セル7300Dは、ゲート(又はポリ)線7302D及び金属1(M1)線7304Dを有する。セル7300Dの左側は、整合されていないゲート7302D及びM1 7304D線を有するが、セル7300Dの右側は、整合されたゲート7302D及びM1 7304D線を有するので、セル7300Dは、OEセルと表記される。
スタンダードセルタイプの選択された第1又は第2バージョンを置く基礎として、図74は、本開示の一実施形態に係る、ブロックレベルのポリグリッドの平面図を示す。図74を参照すると、ブロックレベルポリグリッド7400は、方向7404に沿って平行に延びるゲート線7402を含む。指定されたセルレイアウト境界7406及び7408は、第2の直交する方向に延びることが示される。ゲート線7402は、偶数(E)及び奇数(O)表記の間で交互になっている。
図75は、本開示の一実施形態に係る、異なるバージョンを有するスタンダードセルに基づく例示的な許容される(合格)レイアウトを示す。図75を参照すると、レイアウト7500は、境界7406及び7408の間に左から右の順に、タイプ7300C/7300Dの3つのセルを含み、それらは、7300D、隣接する第1の7300C、離間している第2の7300Cを含む。7300Cと7300Dとの間の選択は、対応するゲート線7402上のE又はOの表記の整合に基づく。また、レイアウト7500は、境界7408より下に左から右の順に、タイプ7300A/7300Bのセルを含み、第1の7300A、及び、離間された第2の7300Aの順に配置される。7300Aと7300Bとの間の選択は、対応するゲート線7402上のE又はO表記の整合に基づく。レイアウト7500は、レイアウト7500においてダーティレジストレーション(DR)が生じていないという意味で、合格セルである。pは電力を示し、a、b、c、又はoは、例示的なピンであることを理解されたい。構成7500において、電力線pは、境界7408を超えて互いに並んでいる。
より一般的に、図75を参照すると、本開示の一実施形態によれば、集積回路構造は、基板の第1方向に沿って平行であり、かつ、第1方向に直交する第2方向に沿ったピッチを有する複数のゲート線7402を含む。セルタイプの第1バージョン7300Cは、複数のゲート線7402の第1部分の上方にある。セルタイプの第1バージョン7300Cは、第2方向に沿った第2ピッチを有する第1の複数のインターコネクト線を含み、第2ピッチは第1ピッチより小さい。セルタイプの第2バージョン7300Dは、第2方向に沿ってセルタイプの第1バージョン7300Cに横方向に隣接する複数のゲート線7402の第2部分の上方にある。セルタイプの第2バージョン7300Dは、第2方向に沿った第2ピッチを有する第2の複数のインターコネクト線を含む。セルタイプの第2バージョン7300Dは、セルタイプの第1バージョン7300Cとは構造上異なっている。
一実施形態において、セルタイプの第1バージョン7300Cの第1の複数のインターコネクト線の個々は、第1エッジ(例えば左のエッジ)において、第1方向に沿って、複数のゲート線7402の個々と整合するが、セルタイプの第1バージョン7300Cの第2エッジ(例えば右のエッジ)において、第2方向に沿って整合しない。そのような一実施形態において、セルタイプ7300Cの第1バージョンは、NANDセルの第1バージョンである。セルタイプの第2バージョン7300Dの第2の複数のインターコネクト線の個々は、第1エッジ(例えば左のエッジ)において、第1方向に沿って、複数のゲート線7402の個々と整合しないが、第2方向に沿って、セルタイプの第2バージョン7300Dの第2エッジ(例えば右のエッジ)と整合する。そのような一実施形態において、セルタイプ7300Dの第2バージョンは、NANDセルの第2バージョンである。
別の実施形態において、第1及び第2バージョンは、セルタイプ7300A及び7300Bから選択される。セルタイプの第1バージョン7300Aの第1の複数のインターコネクト線の個々は、第2方向に沿って、セルタイプ7300Aの第1バージョンの両方のエッジにおいて、第1方向に沿って、複数のゲート線7402の個々と整合する。一実施形態において、セルタイプの第1バージョン7300Aは、インバータセルの第1バージョンである。そうでなければ、セルタイプの第2バージョン7300Bの第2の複数のインターコネクト線の個々は、第2方向に沿って、セルタイプの第2バージョン7300Bの両方のエッジにおいて、第1方向に沿って、複数のゲート線7402の個々と整合しないことを理解されたい。一実施形態において、セルタイプの第2バージョン7300Bは、インバータセルの第2バージョンである。
図76は、本開示の一実施形態に係る、異なるバージョンを有するスタンダードセルに基づく例示的な許容されない(不合格)レイアウトを示す。図76を参照すると、レイアウト7600は、境界7406及び7408の間に左から右の順に、タイプ7300C/7300Dの3つのセルを含み、これらは7300D、隣接する第1の7300C、及び、離間された第2の7300Cである。7300Cと7300Dとの間の適切な選択は、示されるように、対応するゲート線7402上のE又はOの表記の整合に基づく。しかしながら、レイアウト7600は、境界7408より下に、タイプ7300A/7300Bのセルも含み、これらは、左から右に、第1の7300A、及び、離間された第2の7300Aの順に配置される。レイアウト7600は、第2の7300Aが、1本の線だけ左に移動しているという点で、7500と異なる。7300Aと7300Bとの間の選択は、対応するゲート線7402上の、E又はOの表記の整合に基づくべきであるが、そうでなく、第2セル7300Aはずれていて、その結果の1つとして、電力(P)線がずれる。ダーティレジストレーション(DR)がレイアウト7600において生じているので、レイアウト7600は、不合格セルである。
図77は、本開示の一実施形態に係る、異なるバージョンを有するスタンダードセルに基づく、別の例示的な許容される(合格)レイアウトを示す。図77を参照すると、レイアウト7700は、境界7406及び7408の間に左から右の順に、タイプ7300C/7300Dの3つのセルを含み、これらは7300D、隣接する第1の7300C、及び、離間された第2の7300Cである。7300Cと7300Dとの間の選択は、対応するゲート線7402上のE又はOの表記の整合に基づく。また、レイアウト7700は左から右に、境界7408より下にタイプ7300A/7300Bのセルを含み、7300A、及び、離間された7300Bの順に配置される。7300Bの位置は,レイアウト7600において、7300Aの位置と同一であるが、選択されたセル7300Bは、対応するゲート線7402上のO表記の適切な整合に基づく。レイアウト7700は、レイアウト7700においてダーティレジストレーション(DR)が生じていないという意味で、合格セルである。pは電力を示し、a、b、c、又はoは、例示的なピンであることを理解されたい。構成7700において、電力線pは、境界7408を超えて互いに並ぶ。
図76及び図77をまとめて参照すると、集積回路構造のためのレイアウトを製造する方法は、第1方向に沿っている平行な複数のゲート線7402を交互に、第2方向に沿って偶数(E)又は奇数(O)として指定することを含む。次に、複数のゲート線7402の上方のセルタイプのための位置が選択される。方法はまた、位置に応じて、セルタイプの第1バージョン及びセルタイプの第2バージョンの間から選択することを含み、第2バージョンは、第1バージョンとは構造上異なり、選択されたセルタイプのバージョンは、第2方向に沿って、セルタイプのエッジにおいて、インターコネクトのための偶数(E)又は奇数(O)の表記を有し、セルタイプのエッジの表記は、インターコネクトより下の複数のゲート線の個々の表記に一致する。
別の態様において、1又は複数の実施形態は、フィン電界効果トランジスタ(FET)アーキテクチャに含まれるフィンベース構造上の金属抵抗器の製造に関連する。一実施形態において、そのような高精度抵抗器は、より速いデータ伝達速度に必要な高速IOに起因して、システムオンチップ(SoC)技術の基本コンポーネントとして注入される。そのような抵抗器は、小さい変動、及び、ゼロに近い温度係数を有するという特性に起因して、高速アナログ回路(CSI/SERDESなど)、及び、スケーリングされるIOアーキテクチャの実現を可能にし得る。一実施形態において、本明細書において説明される抵抗器は、調整可能な抵抗器である。
文脈を提供すると、現在のプロセス技術において使用される従来の抵抗器は、典型的には、一般的な抵抗器、又は、高精度抵抗器という2つのクラスのうちの1つに属する。トレンチコンタクト抵抗器などの一般的な抵抗器は、コストニュートラルであるが、利用される製造方法に固有の変動、又は、抵抗器の関連する高い温度係数、又は、その両方に起因する大きい変動が問題であり得る。高精度抵抗器は、変動及び温度係数の問題を緩和し得るが、多くの場合、より高いプロセス費用、及び、より多くの数の必要な製造工程という問題がある。ポリシリコン高精度抵抗器の統合は、high‐k/金属ゲートプロセス技術において、ますます難しくなっていることが分かりつつある。
実施形態によれば、フィンベース薄膜抵抗器(TFR)が説明される。一実施形態において、そのような抵抗器は、ゼロに近い温度係数を有する。一実施形態において、そのような抵抗器は、寸法制御から、変動が低減されることを示す。本開示の1又は複数の実施形態によれば、統合高精度抵抗器は、フィンFETトランジスタアーキテクチャの中において製造される。high‐k/金属ゲートプロセス技術において使用される従来の抵抗器は、典型的には、タングステントレンチコンタクト(TCN)、ウェル抵抗、又は、ポリシリコン高精度抵抗器であることを理解されたい。そのような抵抗器は、プロセスの費用又は複雑性を増やすか、又は、使用される製造プロセスにおける変動に起因する高い変動及び劣った温度係数という問題が生じるかのいずれである。対照的に、一実施形態において、フィン統合薄膜抵抗器の製造は、コストニュートラル、良好な(ゼロに近い)温度係数、及び、公知のアプローチに対する代替的な低い変動を可能にする。
更なる文脈を提供すると、現行の高精度抵抗器は、2次元(2D)金属薄膜又は高ドーピングポリ線を使用して製造されてきた。そのような抵抗器は、固定値のテンプレートに離散される傾向があり、従って、抵抗値のより微細な粒度を達成するのは困難である。
上記の問題のうちの1又は複数に対処するべく、本開示の1又は複数の実施形態に係る、シリコンフィンのバックボーンなどのフィンのバックボーンを使用する高密度高精度抵抗器の設計を本明細書で説明する。一実施形態において、そのような高密度高精度抵抗器の利点は、フィンパッキング密度を使用することによって高密度を実現できることを含む。更に、一実施形態において、そのような抵抗器は、活性トランジスタと同一レベルで統合され、小型回路の製造につながる。シリコンフィンのバックボーンの使用は、高いパッキング密度を可能にし、抵抗器の抵抗を制御するための多自由度を提供し得る。従って、特定の実施形態において、幅広い範囲の抵抗値を提供するべく、フィンパターニングプロセスの柔軟性が活用され、結果として、調整可能な高精度抵抗器の製造につながる。
フィンベース高精度抵抗器についての例示的な形状として、図78は、フィンベース薄膜抵抗器構造の部分的にカットされた平面図、及び、対応する断面図を示し、断面図は、本開示の一実施形態に係る、部分的にカットされた平面図の軸a‐a'に沿って切断される。
図78を参照すると、集積回路構造7800は、トレンチ分離領域7814を通って基板7804より上に突出する半導体フィン7802を含む。一実施形態において、半導体フィン7802は、図示されるように、基板7804から突出し、かつ、それと連続している。半導体フィンは、頂面7805、第1端部7806(このビューではフィンは覆われているので、部分的にカットされた平面図において破線として示される)、第2端部7808(このビューではフィンは覆われているので、部分的にカットされた平面図において破線として示される)、及び、第1端部7806と第2端部7808との間の一対の側壁7807を有する。部分的にカットされた平面図において、側壁7807は実際には、層7812に覆われていることを理解されたい。
分離層7812は、半導体フィン7802の頂面7805、第1端部7806、第2端部7808、及び、一対の側壁7807にコンフォーマルである。金属抵抗層7810は、半導体フィン7802の頂面7805(金属抵抗層部分7810A)、第1端部7806(金属抵抗層部分7810B)、第2端部7808(金属抵抗層部分7810C)、及び、一対の側壁7807(金属抵抗層部分7810D)にコンフォーマルな分離層7814にコンフォーマルである。特定の実施形態において、図示されるように、金属抵抗層7810は、側壁7807に隣接する脚部フィーチャ7810Eを含む。分離層7812は、半導体フィン7802から、従って、基板7804から、金属抵抗層7810を電気的に分離する。
一実施形態において、金属抵抗層部分7810の抵抗はそれから製造された薄膜抵抗器(TFR)の動作温度の範囲にわたって著しく変化しないという点で、金属抵抗層7810は、ゼロに近い温度係数を提供するのに好適な材料から構成される。一実施形態において、金属抵抗層7810は、窒化チタン(TiN)層である。別の実施形態において、金属抵抗層7810は、タングステン(W)金属層である。他の金属が、窒化チタン(TiN)又はタングステン(W)に代わって、又は、それらと組み合わせて、金属抵抗層7810に使用され得ることを理解されたい。一実施形態において、金属抵抗層7810の厚さは、およそ2〜5ナノメートル範囲にある。一実施形態において、金属抵抗層7810の抵抗率は、およそ100〜100,000オーム毎スクウェアの範囲にある。
一実施形態において、陽極電極及び陰極電極は、金属抵抗層7810に電気的に接続されており、その例示的な実施形態は、図84に関連して、以下でより詳細に説明される。そのような一実施形態において、金属抵抗層7810、陽極電極、及び、陰極電極は、高精度薄膜抵抗器(TFR)受動デバイスを形成する。一実施形態において、図78の構造7800に基づくTFRは、フィン7802の高さ、フィン7802の幅、金属抵抗層7810の厚さ、及び、フィン7802の合計長に基づく、抵抗の高精度の制御を可能にする。これらの自由度は、回路設計者が、選択された抵抗値を達成することを可能にし得る。更に、抵抗器パターニングはフィンベースなので、トランジスタ密度のスケーリングにおいて、高密度が可能になる。
一実施形態において、現行のfinFET処理工程は、フィンベース抵抗器の製造に適したフィンを提供するために使用される。そのようなアプローチの利点は、回路への統合を容易にすることを可能にする、高密度、及び、活性トランジスタへの近接性にあり得る。また、下層フィンの形状の柔軟性は、幅広い範囲の抵抗値を可能にする。例示的な処理スキームにおいて、フィンはまず、バックボーンリソグラフィ及びスペーサ形成(spacerization)のアプローチを使用してパターニングされる。フィンは次に、凹設されて抵抗器の高さを設定する分離酸化物で覆われる。絶縁酸化物は次に、フィン上にコンフォーマルに成膜され、下層シリコン基板など、下層基板から導電性膜を隔離する。次に、金属又は高ドーピングポリシリコン膜がフィン上に成膜される。次に、膜がスペーサ形成(spacerized)され、高精度抵抗器を形成する。
例示的な処理スキームにおいて、図79〜図83は、本開示の一実施形態に係る、フィンベース薄膜抵抗器構造を製造する方法における様々な工程を表す平面図、及び、対応する断面図を示す。
図79を参照すると、平面図、及び、平面図の軸b‐b'に沿って切断された対応する断面図は、半導体基板7801上にバックボーンテンプレート構造7902を形成した後のプロセスフローの段階を示す。側壁スペーサ層7904は次に、バックボーンテンプレート構造7902の側壁表面にコンフォーマルに形成される。一実施形態において、バックボーンテンプレート構造7902のパターニングの後に、コンフォーマルな酸化材料物が成膜され、次に、異方性エッチング(スペーサ形成)され、側壁スペーサ層7904を提供する。
図80を参照すると、平面図は、例えばリソグラフィマスキング及び露出プロセスによる、側壁スペーサ層7904の領域7906の露出後のプロセスフローの段階を示す。次に、例えばエッチングプロセスによって、領域7906に含まれる側壁スペーサ層7904の一部が除去される。除去された部分は、最終的なフィン画定に使用されることになる部分である。
図81を参照すると、平面図、及び、平面図の軸c‐c'に沿って切断される対応する断面図は、フィンパターニングマスク(例えば、酸化フィンパターニングマスク)を形成するための、図80の領域7906に含まれる側壁スペーサ層7904の一部の除去の後のプロセスフローの段階を示す。次に、バックボーンテンプレート構造7902が除去され、残るパターニングマスクは、基板7801をパターニングするためのエッチングマスクとして使用される。図78に関連して上述されるように、基板7801のパターニング、及び、それに続く、フィンパターニングマスクの除去の後に、半導体フィン7802は、たった今パターニングされた半導体基板7804から突出し、かつ、それに連続した状態で残る。半導体フィン7802は、頂面7805、第1端部7806、第2端部7808、及び、第1端部と第2端部との間の一対の側壁7807を含む。
図82を参照すると、平面図、及び、平面図の軸d‐d'に沿って切断された、対応する断面図は、トレンチ分離層7814の形成後のプロセスフローの段階を示す。一実施形態において、トレンチ分離層7814は、絶縁体材料の成膜、及び、それに続く凹設によって形成され、フィンの高さ(Hsi)を画定する。
図83を参照すると、平面図、及び、平面図の軸e‐e'に沿って切断される対応する断面図は、分離層7812の形成後のプロセスフローの段階を示す。一実施形態において、分離層7812は、化学気相成長(CVD)プロセスによって形成される。分離層7812は、半導体フィン7802の頂面(7805)、第1端部7806、第2端部7808、一対の側壁(7807)にコンフォーマルに形成される。金属抵抗層7810は次に、半導体フィン7802の頂面、第1端部、第2端部、及び、一対の側壁にコンフォーマルな分離層7812にコンフォーマルに形成される。
一実施形態において、金属抵抗層7810は、ブランケット堆積、及び、それに続く異方性エッチングプロセスを使用して形成される。一実施形態において、金属抵抗層7810は、原子層堆積(ALD)を使用して形成される。一実施形態において、金属抵抗層7810は、2〜5ナノメートルの範囲の厚さで形成される。一実施形態において、金属抵抗層7810は、窒化チタン(TiN)層又はタングステン(W)層であるか、又は、それを含む。一実施形態において、抵抗率が100〜100,000オーム毎スクウェアの範囲となるように、金属抵抗層7810が形成される。
後続の処理工程において、一対の陽極又は陰極電極が形成され得て、図83の構造の金属抵抗層7810に電気的に接続され得る。例として、図84は、本開示の一実施形態に係る、陽極又は陰極電極コンタクトについての様々な例示的位置を有するフィンベース薄膜抵抗器構造の平面図を示す。
図84を参照すると、第1の陽極又は陰極電極(例えば、8400、8402、8404、8406、8408、8410のうちの1つ)は、金属抵抗層7810に電気的に接続される。第2の陽極又は陰極電極(例えば、8400、8402、8404、8406、8408、8410のうちの別のもの)は、金属抵抗層7810に電気的に接続される。一実施形態において、金属抵抗層7810、陽極電極及び陰極電極は、高精度薄膜抵抗器(TFR)受動デバイスを形成する。高精度TFR受動デバイスは、第1陽極又は陰極電極と第2陽極又は陰極電極との間の距離に基づいて抵抗を選択できるという点で調整可能であり得る。様々な実際の電極(例えば、8400、8402、8404、8406、8408、8410)及び他の可能性を形成し、次に、相互接続された回路に基づいて実際のペアを選択することによって、選択肢が提供され得る。あるいは、単一の陽極又は陰極のペアは、各々の位置がTFRデバイスの製造中に選択されて形成され得る。いずれの場合も、一実施形態において、陽極又は陰極電極のうちの1つの位置は、フィン7802の端部(例えば、位置8400又は8402)、フィン7802の角(例えば、位置8404、8406又は8408)、又は、角の間の移行部の中心(例えば、位置8410)にある。
例示的な実施形態において、第1の陽極又は陰極電極は、例えば、位置8400において、半導体フィン7802の第1端部7806から近位にある金属抵抗層7810に電気的に接続される。第2陽極又は陰極電極は、例えば、位置8402において、半導体フィン7802の第2端部7808から近位にある金属抵抗層7810に電気的に接続される。
別の例示的な実施形態において、第1の陽極又は陰極電極は、例えば、位置8400において、半導体フィン7802の第1端部7806から近位にある金属抵抗層7810に電気的に接続される。第2陽極又は陰極電極は、例えば、位置8410、8408、8406又は8404において、半導体フィン7802の第2端部7808から遠位にある金属抵抗層7810に電気的に接続される。
別の例示的な実施形態において、第1陽極又は陰極電極は、例えば位置8404又は8406において、半導体フィン7802の第1端部7806から遠位にある金属抵抗層7810に電気的に接続されている。第2陽極又は陰極電極は、例えば、位置8410又は8408において、半導体フィン7802の第2端部7808から遠位にある金属抵抗層7810に電気的に接続されている。
より具体的には、本開示の1又は複数の実施形態によれば、フィンベースのトランジスタアーキテクチャのトポグラフィ的フィーチャは、埋め込み抵抗器を製造するための基礎として使用される。一実施形態において、高精度抵抗器がフィン構造上で製造される。特定の実施形態において、そのようなアプローチは、高精度抵抗器などの受動コンポーネントの非常に高密度な統合を可能にする。
様々なフィン形状がフィンベース高精度抵抗器を製造することに適していることを理解されたい。図85A〜図85Dは、本開示の一実施形態に係る、フィンベース高精度抵抗器を製造するための様々なフィン形状の平面図を示す。
一実施形態において、図85A〜図85Cを参照すると、半導体フィン7802は非線形半導体フィンである。一実施形態において、半導体フィン7802は、基板より上にあるトレンチ分離領域を通って突出する。金属抵抗層7810は、非線形半導体フィン7802にコンフォーマルな分離層(図示せず)にコンフォーマルである。一実施形態において、2又はより多くの陽極又は陰極電極8400が、金属抵抗層7810に電気的に接続され、例示的な任意の位置は、図85A〜図85Cにおいて、破線の円によって示されている。
非線形フィン形状は、これらに限定されないが、1つの角(例えばL字形)、2つの角(例えばU字形)、4つの角(例えば、S字形)、又は、6つの角(例えば、図78の構造)など、1又は複数の角を含む。一実施形態において、非線形フィン形状は開放構造形状である。別の実施形態において、非線形フィン形状は閉構造の形状である。
非線形フィン形状についての、開放構造形状の例示的な実施形態として、図85Aは、開放構造のL字形形状を提供する、1つの角を有する非直線フィンを示す。図85Bは、開放構造のU字形形状を提供する、2つの角を有する非直線フィンを示す。開放構造の場合、非線形半導体フィン7802は、頂面、第1端部、第2端部、及び、第1端部と第2端部との間の一対の側壁を有する。金属抵抗層7810は、頂面、第1端部、第2端部、及び、第1端部と第2端部との間の一対の側壁にコンフォーマルな分離層(図示せず)にコンフォーマルである。
特定の実施形態において、図85A及び図85Bを再び参照すると、第1陽極又は陰極電極は、開構造非線形半導体フィンの第1端部の近位にある金属抵抗層7810に電気的に接続され、第2陽極又は陰極電極は、開構造非線形半導体フィンの第2端部の近位にある金属抵抗層7810に電気的に接続される。別の特定の実施形態において、第1陽極又は陰極電極は、開構造非線形半導体フィンの第1端部の近位にある金属抵抗層7810に電気的に接続され、第2陽極又は陰極電極は、開構造非線形半導体フィンの第2端部の遠位にある金属抵抗層7810に電気的に接続される。別の特定の実施形態において、第1陽極又は陰極電極は、開構造非線形半導体フィンの第1端部の遠位にある金属抵抗層7810に電気的に接続され、第2陽極又は陰極電極は、開構造非線形半導体フィンの第2端部の遠位にある金属抵抗層7810に電気的に接続される。
非線形フィン形状についての閉構造形状の例示的な実施形態として、図85Cは、閉構造の正方形又は長方形の形状を提供する、4つの角を有する非直線フィンを示す。閉構造の場合、非線形半導体フィン7802は、頂面、一対の側壁、及び、特に、内側壁及び外側壁を有する。しかしながら、閉構造は、露出した第1及び第2端部を含まない。金属抵抗層7810は、フィン7802の頂面、内側壁及び外側壁にコンフォーマルな分離層(図示せず)にコンフォーマルである。
別の実施形態において、図85Dを参照すると、半導体フィン7802は直線形半導体フィンである。一実施形態において、半導体フィン7802は、基板より上にあるトレンチ分離領域を通って突出する。金属抵抗層7810は、直線形半導体フィン7802にコンフォーマルな分離層(図示せず)にコンフォーマルである。一実施形態において、2又はより多くの陽極又は陰極電極8400が、金属抵抗層7810に電気的に接続され、例示的な任意の位置は、図85Dにおいて、破線の円によって示されている。
別の態様において、本開示の一実施形態によれば、リソグラフィのための高分解能位相シフトマスク(PSM)製造の新しい構造が説明される。そのようなPSMマスクは、一般的な(直接的な)リソグラフィ又は相補的リソグラフィのために使用され得る。
フォトリソグラフィは一般に、フォトレジストの層にパターンを形成するための製造プロセスにおいて使用される。フォトリソグラフィプロセスにおいて、フォトレジスト層は、エッチングされることになっている下層層の上方に成膜される。典型的には、下層層は半導体層であるが、任意のタイプのハードマスク又は誘電体材料であり得る。フォトレジスト層は次に、フォトマスク又はレチクルを通して、放射線に選択的にさらされる。次に、フォトレジストは現像され、「ポジ型」フォトレジストの場合には、放射線にさらされたフォトレジストの部分が除去される。
ウェハをパターニングするために使用されるフォトマスク又はレチクルは、一般には「ステッパ」又は「スキャナ」として知られているフォトリソグラフィ露光ツールの中に配置される。ステッパ又はスキャナ装置において、フォトマスク又はレチクルは、放射線源とウェハとの間に配置される。フォトマスク又はレチクルは、典型的には、石英基板上に配置されたパターニングされたクロム(吸収体層)から形成される。放射線は、実質的に減衰することなく、クロムが無い位置における、フォトマスク又はレチクルの石英部分を通過する。対照的に、放射線は、マスクのクロム部分を通過しない。マスクに入射する放射線は、石英部分を完全に通過するか、又はクロム部分により完全に遮蔽されるかのいずれかなので、このタイプのマスクは、バイナリマスクと呼ばれる。放射線がマスクを選択的に通過した後、マスク上のパターンは、一連のレンズを介してフォトレジストにマスクの画像を投影することによりフォトレジストに転写される。
フォトマスク又はレチクル上のフィーチャ同士が近くなるにつれて、回折効果が生じ始め、このとき、マスク上のフィーチャのサイズが光源の波長と同等になる。回折は、フォトレジストの上へ投影される画像をにじませ、分解能が不十分になる。
回折パターンがフォトレジストの所望されるパターニングに干渉することを防止するための1つのアプローチは、フォトマスク又はレチクルにおける選択された開口を、シフタとして知られている透明な層で覆うことである。シフタは、一組の露光線のうちの1つの位相をシフトさせ、隣接する別の組からずらして、回折による干渉パターンを無効にする。このアプローチは、位相シフトマスク(PSM)アプローチと呼ばれる。それにもかかわらず、マスク製造における欠陥を減少させ、スループットを増大させる代替的なマスク製造スキームは、リソグラフィプロセス開発の重要な焦点領域である。
本開示の1又は複数の実施形態は、リソグラフィマスクを製造するための方法、及び、結果として生じるリソグラフィマスクに関連する。文脈を提供すると、半導体産業によって示されている積極的なデバイススケーリングの目標を達成するための要件には、高忠実度でより小さいフィーチャをパターニングするためのリソグラフィマスクの能力が含まれる。しかしながら、フィーチャをますます小さくパターン形成するアプローチは、マスク製造についての大変な課題を提起する。この点に関して、今日広く使用されているリソグラフィマスクは、フィーチャをパターニングするために、位相シフトマスク(PSM)技術の概念を利用する。しかしながら、ますます小さくパターンを作成しつつ欠陥を減少させることは、マスク製造における最も大きい障害のうちの1つであり続けている。位相シフトマスクの使用には、複数の不利な点があり得る。第1に、位相シフトマスクの設計は、相当なリソースを必要とする比較的複雑な手順である。第2に、位相シフトマスクの性質が原因で、欠陥が位相シフトマスクに存在するかどうかを調べることが困難である。位相シフトマスクのそのような欠陥は、マスクそれ自体を生成すべく利用されている現在の集積スキームから生じる。いくつかの位相シフトマスクは、面倒で幾分欠陥を生じさせやすいアプローチを採用することにより、厚い光吸収材料をパターニングし、次に、位相シフトを助けるセカンダリ層にパターンを転写する。面倒なことに、吸収体層はプラズマエッチングを2回受け、その結果、ローディング効果、反応性イオンエッチングラグ、帯電及び複写可能効果(reproducible effect)などのプラズマエッチングによる不要な効果がマスク製造における欠陥をもたらす。
欠陥が無いリソグラフィマスクを製造するための、材料、及び、新規統合技法におけるイノベーションは、デバイススケーリングを可能にするために、優先度が高いままである。従って、位相シフトマスク技術の利点を最大限に活用すべく、(i)高忠実度でシフタ層をパターニングすること、及び(ii)製造の最終段階の間に一度だけ吸収体をパターニングすることを活用する新規の集積スキームが必要とされ得る。更に、そのような製造スキームはまた、材料選択の柔軟性、製造中の基板損傷の減少、マスク製造のスループット増加などの他の利点を提供し得る。
図86は、本開示の一実施形態に係るリソグラフィマスク構造8601の断面図を示す。リソグラフィマスク8601は、インダイ領域8610、フレーム領域8620、及び、ダイフレームインタフェース領域8630を含む。ダイフレームインタフェース領域8630は、インダイ領域8610及びフレーム領域8620の隣接部分を含む。インダイ領域8610は、基板8600のすぐ上に配置されたパターニングされたシフタ層8606を含み、パターニングされたシフタ層は、側壁を有するフィーチャを有する。フレーム領域8620はインダイ領域8610を包囲し、基板8600のすぐ上に配置された、パターニングされた吸収体層8602を含む。
基板8600上に配置されるダイフレームインタフェース領域8630は、二層スタック8640を含む。二層スタック8640は、より低いパターニングされたシフタ層8606上に配置された上層8604を含む。二層スタック8640の上層8604は、フレーム領域8620のパターニングされた吸収体層8602として、同一の材料から構成されている。
一実施形態において、パターニングされたシフタ層8606のフィーチャの最上面8608は、ダイフレームインタフェース領域のフィーチャの最上面8612と異なる、かつ、フレーム領域のフィーチャの最上面8614と異なる高さを有する。更に、一実施形態において、ダイフレームインタフェース領域のフィーチャの最上面8612の高さは、フレーム領域のフィーチャの最上面8614の高さと異なる。位相シフタ層8606の通常の厚さの範囲は、40〜100nmに及ぶ一方、吸収体層の通常の厚さは、30〜100nmの範囲に及ぶ。一実施形態において、フレーム領域8620における吸収体層8602の厚さは50nmであり、ダイフレームインタフェース領域8630におけるシフタ層8606上に配置される吸収体層8604の組み合わされた厚さは120nmであり、フレーム領域における吸収体の厚さは70nmである。一実施形態において、基板8600は石英であり、パターニングされたシフタ層は、限定されないが、例えば、モリブデンシリサイド、モリブデン酸窒化シリコン、モリブデン窒化シリコン、酸窒化シリコン又は窒化シリコンのような材料を含み、吸収体材料は、クロムである。
本明細書において開示される実施形態は、多種多様な異なるタイプの集積回路又はマイクロエレクトロニクスデバイスを製造するために使用され得る。そのような集積回路の例は、限定されないが、プロセッサ、チップセットコンポーネント、グラフィックスプロセッサ、デジタル信号プロセッサ、マイクロコントローラ等を含む。他の実施形態においては、半導体メモリが製造され得る。更に、集積回路又は他のマイクロエレクトロニクスデバイスは、当分野において知られている多種多様な電子デバイスにおいて使用され得る。例えば、コンピュータシステム(例えば、デスクトップ、ラップトップ、サーバ)、携帯電話、パーソナル電子機器等である。集積回路は、バス及びシステムの他のコンポーネントと結合され得る。例えば、プロセッサは、1又は複数のバスによって、メモリ、チップセット等と結合され得る。プロセッサ、メモリ、及びチップセットの各々は、潜在的に、本明細書で開示されるアプローチを使用し製造され得る。
図87は、本開示の一実施例に係るコンピューティングデバイス8700を示す。コンピューティングデバイス8700はボード8702を収容する。ボード8702は、プロセッサ7904及び少なくとも1つの通信チップ8706を含むがこれらに限定されない多くのコンポーネントを含み得る。プロセッサ8704は、ボード8702に物理的かつ電気的に結合される。いくつかの実装において、少なくとも1つの通信チップ8706はまた、ボード8702に物理的かつ電気的に結合される。更なる実装において、通信チップ8706は、プロセッサ8704の一部である。
その適用に応じて、コンピューティングデバイス8700は、物理的かつ電気的にボード8702に結合されても、されなくてもよい他のコンポーネントを含み得る。これらの他のコンポーネントは、限定されないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィックスプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、音声コーデック、映像コーデック、電力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、及び大容量ストレージデバイス(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)など)を含む。
通信チップ8706は、コンピューティングデバイス8700との間のデータ伝達のための無線通信を可能にする。「無線」という用語及びその派生語は、非固体の媒体を介して、調節された電磁放射を使用することによってデータを通信し得る回路、デバイス、システム、方法、技法、通信チャネルなどを説明するのに使用され得る。いくつかの実施形態においては関連するデバイスが有線を含まないこともあるだろうが、この用語は、これらのデバイスが有線を全く含まないということを暗示するものではない。通信チップ8706は、限定されないが、Wi‐Fi(IEEE802.11ファミリ)、WiMAX(登録商標)(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev‐DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)、これらの派生物、並びに3G、4G、5G及びそれ以降の世代として指定された任意の他の無線プロトコルを含む多数の無線規格又はプロトコルのいずれかを実装し得る。コンピューティングデバイス8700は、複数の通信チップ8706を含み得る。例えば、第1通信チップ8706は、Wi‐Fi及びブルートゥース(登録商標)のような短距離無線通信に専用化され得て、第2通信チップ8706は、GPS、EDGE、GPRS、CDMA、WiMAX(登録商標)、LTE、Ev‐DO、及びその他のような長距離無線通信に専用化され得る。
コンピューティングデバイス8700のプロセッサ8704は、プロセッサ8704の中にパッケージングされた集積回路ダイを含む。本開示の実施形態のいくつかの実装において、プロセッサの集積回路ダイは、本開示の実装に従って構築される集積回路構造など、1又は複数の構造を含む。「プロセッサ」という用語は、レジスタ又はメモリ又はその両方からの電子データを処理して、当該電子データをレジスタ又はメモリ又はその両方に格納され得る他の電子データに変換する、任意のデバイス又はデバイスの一部を指し得る。
通信チップ8706はまた、通信チップ8706の中にパッケージングされた集積回路ダイを含む。本開示の別の実装によれば、通信チップの集積回路ダイは、本開示の実装に従って構築される。
更なる実装において、コンピューティングデバイス8700の中に収容される別のコンポーネントは、本開示実施形態の実装に従って構築される集積回路ダイを含み得る。
様々な実施形態において、コンピューティングデバイス8700は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、携帯情報端末(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメントコントロールユニット、デジタルカメラ、携帯音楽プレイヤ、又はデジタルビデオレコーダであり得る。更なる実装において、コンピューティングデバイス8700は、データを処理する任意の他の電子デバイスであり得る。
図88は、本開示の1又は複数の実施形態を含むインターポーザ8800を示す。インターポーザ8800は、第1基板8802を第2基板8804に架橋するために使用される介在基板である。第1基板8802は、例えば、集積回路ダイであり得る。第2基板8804は、例えば、メモリモジュール、コンピュータマザーボード、又は、別の集積回路ダイであり得る。概して、インターポーザ8800の目的は、接続をより幅広いピッチに広げること、又は接続を異なる接続に再ルーティングすることである。例えば、インターポーザ8800は、後に第2基板8804に結合できるボールグリッドアレイ(BGA)8806に集積回路ダイを結合させ得る。いくつかの実施形態において、第1基板及び第2基板8802/8804は、インターポーザ8800の対向する側面に取り付けられる。他の実施形態において、第1基板及び第2基板8802/8804は、インターポーザ8800の同一側面に取り付けられる。更なる実施形態において、3又はより多くの基板がインターポーザ8800を通じて相互接続される。
インターポーザ8800は、エポキシ樹脂、グラスファイバ強化エポキシ樹脂、セラミック材料、又はポリイミドのようなポリマー材料で形成され得る。更なる実装において、インターポーザは、シリコン、ゲルマニウム、並びに他のIII‐V族及びIV族材料のような、半導体基板に使用される上述された材料と同一の材料を含み得る、交互に重なる強固又は柔軟な材料で形成され得る。
インターポーザは、金属インターコネクト8808、及び限定されないが、シリコン貫通ビア(TSV)8812を含むビア8810を含み得る。インターポーザ8800は、受動デバイス及び能動デバイスの両方を含む埋め込みデバイス8814を更に含み得る。そのようなデバイスには、限定されないが、コンデンサ、デカップリングコンデンサ、抵抗器、インダクタ、ヒューズ、ダイオード、変圧器、センサ、及び静電放電(ESD)デバイスが含まれる。無線周波数(RF)デバイス、電力増幅器、電力管理デバイス、アンテナ、アレイ、センサ及びMEMSデバイスのような、より複雑なデバイスがインターポーザ8000上に形成され得る。本開示の実施形態によれば、本明細書において開示される装置又はプロセスは、インターポーザ8800の製造、又は、インターポーザ8800に含まれるコンポーネントの製造において使用され得る。
図89は、本開示の一実施形態に係る、本明細書において説明される、又は、本明細書において説明される1又は複数の特徴を含む、1又は複数のプロセスに従って製造された集積回路(IC)を利用するモバイルコンピューティングプラットフォーム8900の等角図である。
モバイルコンピューティングプラットフォーム8900は、電子データ表示、電子データ処理、又はワイヤレス電子データ伝送の各々のために構成された任意のポータブルデバイスであり得る。例えば、モバイルコンピューティングプラットフォーム8900は、タブレット、スマートフォン、ラップトップコンピュータなどのいずれかであり得て、例示的な実施形態においてはタッチスクリーン(容量式、誘導式、抵抗式など)であるディスプレイ画面8905、チップレベル(SoC)又はパッケージレベル統合システム8910、及び、バッテリ8913を含む。示されているように、トランジスタのより高いパッキング密度によって可能となる、システム8910における統合のレベルがより大きいほど、バッテリ8913、又は、ソリッドステートドライブなどの不揮発性ストレージによって占められ得るモバイルコンピューティングプラットフォーム8900の部分がより大きくなる、又は、改善されたプラットフォーム機能のためのトランジスタゲート数がより大きくなる。同様に、システム8910における各トランジスタのキャリア移動性がより高くなるほど、機能がより高くなる。従って、本明細書において説明される技法は、モバイルコンピューティングプラットフォーム8900における性能及びフォームファクタの改善を可能にし得る。
統合システム8910は、展開図である8920において更に示される。例示的な実施形態において、パッケージングされたデバイス8977は、本明細書において説明される1又は複数のプロセスに従って製造される、又は、本明細書において説明される1又は複数の特徴を含む、少なくとも1つのメモリチップ(例えば、RAM)、又は、少なくとも1つのプロセッサチップ(例えば、マルチコアマイクロプロセッサ及び/又はグラフィックスプロセッサ)を含む。パッケージングされたデバイス8977は更に、電力管理集積回路(PMIC)8915、ワイドバンドRF(無線)送信器及び/又は受信器を含むRF(無線)集積回路(RFIC)8925(例えば、送信経路上の電力増幅器及び受信経路上の低雑音増幅器を更に含むデジタルベースバンド及びアナログフロントエンドモジュールを含む)、並びに、それらのコントローラ8911のうちの1又は複数と共に、ボード8960に結合される。機能上、PMIC8915は、バッテリ電力制御、DC‐DC変換などを実行し、従って、バッテリ8913に結合された入力と、すべての他の機能モジュールに電流供給を提供する出力とを有する。更に示されるように、例示的な実施形態では、RFIC8925は、多数の無線規格又はプロトコルのいずれかを実装するように提供されるアンテナに結合された出力を有し、そのようなワイヤレス標準又はプロトコルは、限定されないが、Wi‐Fi(IEEE802.11ファミリ)、WiMAX(登録商標)(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev‐DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、ブルートゥース(登録商標)、それらの派生物、並びに3G、4G、及び5G以降として指定される任意の他の無線プロトコルを含む。代替的な実装形態では、これらのボードレベルモジュールの各々は、パッケージングされたデバイス8977のパッケージ基板に結合された別個のIC上、又はパッケージングされたデバイス8977のパッケージ基板に結合された単一のIC(SoC)の内部に集積され得る。
別の態様において、半導体パッケージは、集積回路(IC)チップ又はダイを保護するために、また、外部回路との電気的インタフェースをダイに提供するために使用される。より小さい電子デバイスへの需要が増加するにつれて、半導体パッケージは、更に小さくなるように設計され、より大きい回路密度をサポートする必要がある。更に、より高い性能のデバイスへの需要の結果、その後の組み立て処理に適合した、薄いパッケージングプロファイル、及び、小さい全体的な反りを可能にする、改善された半導体パッケージの必要性が生じる。
一実施形態において、セラミック又は有機パッケージ基板へのワイヤボンディングが使用される。別の実施形態において、ダイをセラミック又は有機パッケージ基板にマウントするために、C4プロセスが使用される。特に、半導体デバイスと基板との間のフリップチップ相互接続を提供するために、C4半田ボール接続を実装できる。フリップチップ又はコントロールドコラプスチップコネクション(C4)は、ワイヤボンドの代わりに半田バンプを利用する、集積回路(IC)チップ、MEMS、又は、コンポーネントなどの半導体デバイスに使用されるマウントの一種である。半田バンプは、基板パッケージの頂面上に位置するC4パッド上に成膜される。半導体デバイスを基板にマウントするべく、半導体デバイスは、マウントする領域上で、活性面が下に向くように反転される。半田バンプは、半導体デバイスを基板に直接接続するために使用される。
図90は、本開示の一実施形態に係る、フリップチップがマウントされたダイの断面図を示す。
図90を参照すると、装置9000は、本開示の一実施形態に係る、本明細書において説明される1又は複数のプロセスに従って製造される、又は、本明細書において説明される1又は複数の特徴を含む、集積回路(IC)などのダイ9002を含む。ダイ9002は、メタライゼーション形成パッド9004をその上に含む。セラミック又は有機基板などのパッケージ基板9006は、その上に接続部9008を含む。ダイ9002及びパッケージ基板9006は、メタライゼーション形成パッド9004及び接続部9008に結合された半田ボール9010によって電気的に接続される。アンダーフィル材料9012は、半田ボール9010を包囲する。
フリップチップの処理は、いくつかの追加的な工程を有するが、従来のIC製造に類似し得る。製造プロセスの終盤に、半田を受けやすくするために、取り付けパッドがメタライゼーション形成される。典型的には、これは複数の処理から構成される。次に、半田の小さい点が、メタライゼーション形成されたパッドの各々の上に成膜される。次に、チップは通常通り、ウェハから切り取られる。フリップチップを回路に取り付けるべく、チップが反転され、下層電子基板又は回路基板上のコネクタに半田の点が下向きに接触する。次に、典型的には、超音波、又は、代替的にはリフローソルダリングプロセスを使用して、半田が再融解され、電気的接続を作る。また、これにより、チップの回路と下層マウントとの間に小さいスペースが残る。殆どの場合、次に、電気的に絶縁する接着剤が「アンダーフィル」され、より強い機械的接続を提供し、ヒートブリッジを提供し、それにより、チップ、及び、システムの残りの部分の示差加熱に起因して半田接合部が応力を受けないことを保証する。
他の実施形態において、より新しいパッケージング、及び、シリコン貫通ビア(TSV)及びシリコンインターポーザなどの、ダイとダイとの間のインターコネクトアプローチが実装されることにより、本開示の一実施形態に係る、本明細書において説明される1又は複数のプロセスに従って製造される、又は、本明細書において説明される1又は複数の特徴を含む、集積回路(IC)を組み込む高性能マルチチップモジュール(MCM)及びシステムインパッケージ(SiP)を製造する。
従って、本開示の実施形態は、次世代型集積回路構造製造を含む。
特定の実施形態について上述したが、これらの実施形態は、特定の特徴に関連して単一の実施形態のみが記載されている場合であっても、本開示の範囲を限定することを意図するものではない。本開示で提供される特徴の例は、別段の定めがある場合を除き、制約的であることよりも、むしろ例示的であることを意図するものである。上記の説明は、本開示の恩恵を有する当業者にとって明らかであるように、そのような代替物、修正物、及び、均等物を包含することが意図されている。
本開示の範囲は、本明細書に記載された課題のいずれか又はすべてを緩和するか否かにかかわらず、本明細書に(明示的又は暗示的に)開示される任意の特徴若しくは特徴の組み合わせ又はその任意の一般化を含む。従って、本願(又は、それに対する優先権を主張する出願)の出願手続きの間に、任意のそのような特徴の組み合わせに対する新しい請求項が考案され得る。具体的には、添付の特許請求の範囲を参照して、従属請求項による特徴を、独立請求項の特徴と組み合わせてよく、それぞれの独立請求項による特徴を、単に添付の特許請求の範囲で列挙される具体的な組み合わせのみではなく、任意の適切な方式で組み合わせてよい。
以下の例は、更なる実施形態に関する。異なる実施形態の様々な特徴が、様々な異なる適用に適合すべく、包含されたいくつかの特徴、及び、除外された他の特徴と多様に組み合わされ得る。
例示的実施形態1:集積回路構造は、シリコンを含むフィンを含み、フィンは、頂部及び側壁を有する。ゲート誘電体層は、フィンの頂部の上方にあり、フィンの側壁に横方向に隣接する。ゲート電極は、フィンの頂部の上方のゲート誘電体層の上方にあり、フィンの側壁に横方向に隣接し、ゲート電極は、第1側面と、第1側面に対向する第2側面とを有する。第1及び第2半導体ソース又はドレイン領域は、それぞれ、ゲート電極の第1及び第2側面に隣接する。第1及び第2トレンチコンタクト構造はそれぞれ、ゲート電極の第1及び第2側面に隣接する第1及び第2半導体ソース又はドレイン領域の上方にあり、第1及び第2トレンチコンタクト構造は両方とも、U字形金属層と、U字形金属層の全体の上及びその上方のT字形金属層を含む。
例示的実施形態2:U字形金属層及びT字形金属層の組成が異なる、例示的実施形態1の集積回路構造。
例示的実施形態3:U字形金属層はチタンを含み、T字形金属層はコバルトを含む、例示的実施形態1又は2の集積回路構造。
例示的実施形態4:第1及び第2トレンチコンタクト構造は両方とも、T字形金属層上に第3金属層を更に含む、例示的実施形態1、2又は3の集積回路構造。
例示的実施形態5:第3金属層及びU字形金属層は同一の組成を有する、例示的実施形態4の集積回路構造。
例示的実施形態6:第3金属層及びU字形金属層がチタンを含み、T字形金属層がコバルトを含む、例示的実施形態4又は5の集積回路構造。
例示的実施形態7:第1トレンチコンタクト構造と、第1半導体ソース又はドレイン領域、及び、第2トレンチコンタクト構造と、第2半導体ソース又はドレイン領域との間にそれぞれ直接挟まれる金属シリサイド層を更に有する、例示的実施形態1、2、3、4、5又は6の集積回路構造。
例示的実施形態8:金属シリサイド層がチタン及びシリコンを含む、例示的実施形態7の集積回路構造。
例示的実施形態9:第1及び第2半導体ソース又はドレイン領域は、第1及び第2N型半導体ソース又はドレイン領域である、例示的実施形態1、2、3、4、5、6、7又は8の集積回路構造。
例示的実施形態10:金属シリサイド層はニッケル、白金及びシリコンを含む、例示的実施形態7の集積回路構造。
例示的実施形態11:第1及び第2半導体ソース又はドレイン領域は、第1及び第2P型半導体ソース又はドレイン領域である、例示的実施形態1、2、3、4、5、6、7又は10の集積回路構造。
例示的実施形態12:金属シリサイド層が更にゲルマニウムを含む、例示的実施形態1、2、3、4、5、6、7、10又は11の集積回路構造。
例示的実施形態13:第1及び第2半導体ソース又はドレイン領域は、第1及び第2埋め込み半導体ソース又はドレイン領域である、例示的実施形態1、2、3、4、5、6、7、8、9、10、11又は12の集積回路構造。
例示的実施形態14:ゲート電極の第1側面と第1トレンチコンタクト構造との間の第1誘電体スペーサ、及び、ゲート電極の第2側面と第2トレンチコンタクト構造との間の第2誘電体スペーサを更に含む、例示的実施形態1、2、3、4、5、6、7、8、9、10、11、12又は13の集積回路構造。
例示的実施形態15:ゲート誘電体層は更に、第1誘電体スペーサとゲート電極の第1側面との間、及び、第2誘電体スペーサとゲート電極の第2側面との間にある、例示的実施形態14の集積回路構造。
例示的実施形態16:集積回路構造を製造する方法は、シリコンを含むフィンを形成することを含み、フィンは、頂部及び側壁を有する。方法はまた、フィンの頂部の上方に、及び、フィンの側壁に横に隣接するように、ゲート誘電体層を形成することを含む。方法はまた、フィンの頂部の上方のゲート誘電体層の上方、及び、フィンの側壁に横方向に隣接するようにゲート電極を形成することを含み、ゲート電極は、第1側面と、第1側面に対向する第2側面とを有する。方法はまた、ゲート電極の第1及び第2側面にそれぞれ隣接する第1及び第2半導体ソース又はドレイン領域を形成することを含む。方法はまた、ゲート電極の第1及び第2側面に隣接する第1及び第2半導体ソース又はドレイン領域の上方に第1及び第2トレンチコンタクト構造をそれぞれ形成することを含み、第1及び第2トレンチコンタクト構造は両方とも、U字形金属層と、U字形金属層の全体の上及びその上方のT字形金属層とを含む。
例示的実施形態17:U字形金属層及びT字形金属層は組成が異なる、例示的実施形態16の方法。
例示的実施形態18:U字形金属層はチタンを含み、T字形金属層はコバルトを含む、例示的実施形態16又は17の方法。
例示的実施形態19:第1及び第2トレンチコンタクト構造は両方とも、T字形金属層上に第3金属層を更に含む、例示的実施形態16、17又は18の方法。
例示的実施形態20:第3金属層及びU字形金属層は同一の組成を有する、例示的実施形態19の方法。
(項目1)
シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接するゲート誘電体層と、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接する上記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、上記第1側面に対向する第2側面を有するゲート電極と、
上記ゲート電極の上記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、上記ゲート電極の上記第2側面に隣接する第2半導体ソース又はドレイン領域と、
上記ゲート電極の上記第1側面に隣接する上記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、上記ゲート電極の上記第2側面に隣接する上記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、上記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と
を備える集積回路構造。
(項目2)
上記U字形金属層及び上記T字形金属層は組成が異なる、項目1に記載の集積回路構造。
(項目3)
上記U字形金属層はチタンを含み、上記T字形金属層はコバルトを含む、項目2に記載の集積回路構造。
(項目4)
上記第1トレンチコンタクト構造及び上記第2トレンチコンタクト構造は両方とも、上記T字形金属層の上に第3金属層を更に含む、項目1に記載の集積回路構造。
(項目5)
上記第3金属層及び上記U字形金属層は同一の組成を有する、項目4に記載の集積回路構造。
(項目6)
上記第3金属層及び上記U字形金属層はチタンを含み、上記T字形金属層はコバルトを含む、項目5に記載の集積回路構造。
(項目7)
上記第1トレンチコンタクト構造と上記第1半導体ソース又はドレイン領域との間に、及び、上記第2トレンチコンタクト構造と上記第2半導体ソース又はドレイン領域との間に直に挟まれる金属シリサイド層を更に備える、項目1に記載の集積回路構造。
(項目8)
上記金属シリサイド層はチタン及びシリコンを含む、項目7に記載の集積回路構造。
(項目9)
上記第1半導体ソース又はドレイン領域は第1N型半導体ソース又はドレイン領域であり、上記第2半導体ソース又はドレイン領域は第2N型半導体ソース又はドレイン領域である、項目8に記載の集積回路構造。
(項目10)
上記金属シリサイド層は、ニッケル、白金及びシリコンを含む、項目7に記載の集積回路構造。
(項目11)
上記第1半導体ソース又はドレイン領域は第1P型半導体ソース又はドレイン領域であり、上記第2半導体ソース又はドレイン領域は第2P型半導体ソース又はドレイン領域である、項目10に記載の集積回路構造。
(項目12)
上記金属シリサイド層はゲルマニウムを更に含む、項目10に記載の集積回路構造。
(項目13)
上記第1半導体ソース又はドレイン領域は、第1埋め込み半導体ソース又はドレイン領域であり、上記第2半導体ソース又はドレイン領域は、第2埋め込み半導体ソース又はドレイン領域である、項目1に記載の集積回路構造。
(項目14)
上記ゲート電極の上記第1側面と上記第1トレンチコンタクト構造との間の第1誘電体スペーサと、
上記ゲート電極の上記第2側面と上記第2トレンチコンタクト構造との間の第2誘電体スペーサと
を更に備える、項目1に記載の集積回路構造。
(項目15)
上記ゲート誘電体層は更に、上記第1誘電体スペーサと、上記ゲート電極の上記第1側面との間、及び、上記第2誘電体スペーサと上記ゲート電極の上記第2側面との間にある、項目14に記載の集積回路構造。
(項目16)
シリコンを含むフィンを形成する段階であって、上記フィンは頂部及び側壁を有する、段階と、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接するゲート誘電体層を形成する段階と、
上記フィンの上記頂部の上方にあり、上記フィンの上記側壁に横方向に隣接する上記ゲート誘電体層の上方にゲート電極を形成する段階であって、上記ゲート電極は、第1側面、及び、上記第1側面に対向する第2側面を有する、段階と、
上記ゲート電極の上記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、上記ゲート電極の上記第2側面に隣接する第2半導体ソース又はドレイン領域を形成する段階と、
上記ゲート電極の上記第1側面に隣接する上記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、上記ゲート電極の上記第2側面に隣接する上記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造を形成する段階であって、上記第1トレンチコンタクト構造及び上記第2トレンチコンタクト構造は両方とも、U字形金属層、及び、上記U字形金属層の全体の上及び上方にあるT字形金属層を有する、段階と
を備える、集積回路構造を製造する方法。
(項目17)
上記U字形金属層及び上記T字形金属層は組成が異なる、項目16に記載の方法。
(項目18)
上記U字形金属層はチタンを含み、上記T字形金属層はコバルトを含む、項目16に記載の方法。
(項目19)
上記第1トレンチコンタクト構造及び上記第2トレンチコンタクト構造は両方とも、上記T字形金属層の上に第3金属層を更に含む、項目16に記載の方法。
(項目20)
上記第3金属層及び上記U字形金属層は同一の組成を有する、項目19に記載の方法。

Claims (20)

  1. シリコンを含むフィンであって、頂部及び側壁を有するフィンと、
    前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層と、
    前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にあるゲート電極であって、第1側面、及び、前記第1側面に対向する第2側面を有するゲート電極と、
    前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域と、
    前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造であって、両方ともU字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、第1トレンチコンタクト構造及び第2トレンチコンタクト構造と
    を備える集積回路構造。
  2. 前記U字形金属層及び前記T字形金属層は組成が異なる、請求項1に記載の集積回路構造。
  3. 前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、請求項2に記載の集積回路構造。
  4. 前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、前記T字形金属層の上に第3金属層を更に含む、請求項1に記載の集積回路構造。
  5. 前記第3金属層及び前記U字形金属層は同一の組成を有する、請求項4に記載の集積回路構造。
  6. 前記第3金属層及び前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、請求項5に記載の集積回路構造。
  7. 前記第1トレンチコンタクト構造と前記第1半導体ソース又はドレイン領域との間に、及び、前記第2トレンチコンタクト構造と前記第2半導体ソース又はドレイン領域との間に直に挟まれる金属シリサイド層を更に備える、請求項1に記載の集積回路構造。
  8. 前記金属シリサイド層はチタン及びシリコンを含む、請求項7に記載の集積回路構造。
  9. 前記第1半導体ソース又はドレイン領域は第1N型半導体ソース又はドレイン領域であり、前記第2半導体ソース又はドレイン領域は第2N型半導体ソース又はドレイン領域である、請求項8に記載の集積回路構造。
  10. 前記金属シリサイド層は、ニッケル、白金及びシリコンを含む、請求項7に記載の集積回路構造。
  11. 前記第1半導体ソース又はドレイン領域は第1P型半導体ソース又はドレイン領域であり、前記第2半導体ソース又はドレイン領域は第2P型半導体ソース又はドレイン領域である、請求項10に記載の集積回路構造。
  12. 前記金属シリサイド層はゲルマニウムを更に含む、請求項10に記載の集積回路構造。
  13. 前記第1半導体ソース又はドレイン領域は、第1埋め込み半導体ソース又はドレイン領域であり、前記第2半導体ソース又はドレイン領域は、第2埋め込み半導体ソース又はドレイン領域である、請求項1に記載の集積回路構造。
  14. 前記ゲート電極の前記第1側面と前記第1トレンチコンタクト構造との間の第1誘電体スペーサと、
    前記ゲート電極の前記第2側面と前記第2トレンチコンタクト構造との間の第2誘電体スペーサと
    を更に備える、請求項1に記載の集積回路構造。
  15. 前記ゲート誘電体層は更に、前記第1誘電体スペーサと、前記ゲート電極の前記第1側面との間、及び、前記第2誘電体スペーサと前記ゲート電極の前記第2側面との間にある、請求項14に記載の集積回路構造。
  16. シリコンを含むフィンを形成する段階であって、前記フィンは頂部及び側壁を有する、段階と、
    前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接するゲート誘電体層を形成する段階と、
    前記フィンの前記頂部の上方にあり、前記フィンの前記側壁に横方向に隣接する前記ゲート誘電体層の上方にゲート電極を形成する段階であって、前記ゲート電極は、第1側面、及び、前記第1側面に対向する第2側面を有する、段階と、
    前記ゲート電極の前記第1側面に隣接する第1半導体ソース又はドレイン領域、及び、前記ゲート電極の前記第2側面に隣接する第2半導体ソース又はドレイン領域を形成する段階と、
    前記ゲート電極の前記第1側面に隣接する前記第1半導体ソース又はドレイン領域の上方にある第1トレンチコンタクト構造、及び、前記ゲート電極の前記第2側面に隣接する前記第2半導体ソース又はドレイン領域の上方にある第2トレンチコンタクト構造を形成する段階であって、前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、U字形金属層、及び、前記U字形金属層の全体の上及び上方にあるT字形金属層を有する、段階と
    を備える、集積回路構造を製造する方法。
  17. 前記U字形金属層及び前記T字形金属層は組成が異なる、請求項16に記載の方法。
  18. 前記U字形金属層はチタンを含み、前記T字形金属層はコバルトを含む、請求項16に記載の方法。
  19. 前記第1トレンチコンタクト構造及び前記第2トレンチコンタクト構造は両方とも、前記T字形金属層の上に第3金属層を更に含む、請求項16に記載の方法。
  20. 前記第3金属層及び前記U字形金属層は同一の組成を有する、請求項19に記載の方法。
JP2018195551A 2017-11-30 2018-10-17 集積回路構造または集積回路構造を製造する方法 Active JP7272776B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023072827A JP2023099087A (ja) 2017-11-30 2023-04-27 次世代型集積回路構造製造のためのトレンチコンタクト構造

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593149P 2017-11-30 2017-11-30
US62/593,149 2017-11-30
US15/859,410 US10957782B2 (en) 2017-11-30 2017-12-30 Trench contact structures for advanced integrated circuit structure fabrication
US15/859,410 2017-12-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023072827A Division JP2023099087A (ja) 2017-11-30 2023-04-27 次世代型集積回路構造製造のためのトレンチコンタクト構造

Publications (2)

Publication Number Publication Date
JP2019102797A true JP2019102797A (ja) 2019-06-24
JP7272776B2 JP7272776B2 (ja) 2023-05-12

Family

ID=63963951

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018195551A Active JP7272776B2 (ja) 2017-11-30 2018-10-17 集積回路構造または集積回路構造を製造する方法
JP2023072827A Pending JP2023099087A (ja) 2017-11-30 2023-04-27 次世代型集積回路構造製造のためのトレンチコンタクト構造

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023072827A Pending JP2023099087A (ja) 2017-11-30 2023-04-27 次世代型集積回路構造製造のためのトレンチコンタクト構造

Country Status (8)

Country Link
US (37) US11411095B2 (ja)
EP (6) EP3718142A4 (ja)
JP (2) JP7272776B2 (ja)
KR (8) KR20230006054A (ja)
CN (16) CN111194482A (ja)
DE (1) DE102018127129A1 (ja)
TW (8) TWI808100B (ja)
WO (1) WO2019108237A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019195044A (ja) * 2018-02-14 2019-11-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated マスクをエッチングし、フィン構造を形成するための方法
WO2021054158A1 (ja) * 2019-09-19 2021-03-25 東京エレクトロン株式会社 半導体装置の作製方法
KR20220126612A (ko) * 2021-03-09 2022-09-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 도전 구조물을 갖는 반도체 구조물 및 그를 제조하기 위한 방법
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device
JP7343598B2 (ja) 2019-02-11 2023-09-12 アプライド マテリアルズ インコーポレイテッド 能動的なプロセスにわたるゲートコンタクト

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20170061952A (ko) * 2015-11-27 2017-06-07 에스케이하이닉스 주식회사 보호회로
US10937783B2 (en) 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108695382B (zh) * 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108735741B (zh) * 2017-04-13 2020-10-09 联华电子股份有限公司 存储器元件中的存储点接触结构与其制作方法
CN108807532B (zh) * 2017-04-28 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN109524302B (zh) * 2017-09-20 2020-12-15 华邦电子股份有限公司 半导体组件及其制造方法
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10727835B2 (en) * 2017-10-10 2020-07-28 Tacho Holdings, Llc Three-dimensional logic circuit
US10276794B1 (en) * 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
DE102018127135A1 (de) * 2017-11-30 2019-06-06 Intel Corporation Kontakt-über-aktivem-gate-strukturen für eine herstellung einer fortschrittlichen integrierten schaltungsstruktur
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
TWI817576B (zh) * 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
US10818562B2 (en) * 2017-11-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and testing method thereof
KR102432655B1 (ko) 2017-12-21 2022-08-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11237877B2 (en) * 2017-12-27 2022-02-01 Intel Corporation Robot swarm propagation using virtual partitions
US10332819B1 (en) * 2018-03-29 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11239149B2 (en) * 2018-04-02 2022-02-01 Intel Corporation Metal interconnect fuse memory arrays
US10867848B2 (en) * 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10600876B2 (en) * 2018-05-08 2020-03-24 Globalfoundries Inc. Methods for chamfering work function material layers in gate cavities having varying widths
CN110556337B (zh) * 2018-05-31 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10790198B2 (en) * 2018-08-08 2020-09-29 Globalfoundries Inc. Fin structures
US10672770B2 (en) * 2018-08-14 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11211479B2 (en) * 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US11444174B2 (en) * 2018-08-17 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with Fin end spacer dummy gate and method of manufacturing the same
KR102534246B1 (ko) 2018-08-30 2023-05-18 삼성전자주식회사 반도체 장치
US10886269B2 (en) * 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
CN110957361B (zh) * 2018-09-26 2023-09-19 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10964816B2 (en) * 2018-09-27 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for boosting performance of FinFETs via strained spacer
US11244867B2 (en) * 2018-09-28 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin end spacer plug and method of manufacturing the same
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US20200111704A1 (en) * 2018-10-04 2020-04-09 Globalfoundries Inc. Methods of forming stress liners using atomic layer deposition to form gapfill seams
US10686033B2 (en) * 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10692775B2 (en) 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US11587782B2 (en) * 2018-11-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
US11538937B2 (en) * 2019-01-04 2022-12-27 Intel Corporation Fin trim plug structures having an oxidation catalyst layer surrounded by a recessed dielectric material
US10916470B2 (en) * 2019-03-01 2021-02-09 Globalfoundries Inc. Modified dielectric fill between the contacts of field-effect transistors
CN111725137B (zh) * 2019-03-20 2023-06-23 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
US11552169B2 (en) * 2019-03-27 2023-01-10 Intel Corporation Source or drain structures with phosphorous and arsenic co-dopants
US11094784B2 (en) * 2019-04-08 2021-08-17 International Business Machines Corporation Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor
CN111863711B (zh) * 2019-04-29 2023-06-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI744663B (zh) * 2019-07-02 2021-11-01 國立臺灣師範大學 混合式儲存記憶體
US20210005728A1 (en) 2019-07-02 2021-01-07 National Taiwan Normal University Storage memory device
JP7292140B2 (ja) * 2019-07-25 2023-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11195938B2 (en) * 2019-07-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Device performance by fluorine treatment
US10878160B1 (en) * 2019-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Analog cells utilizing complementary mosfet pairs
CN112420699B (zh) * 2019-08-20 2023-12-05 联华电子股份有限公司 半导体装置
US11075123B2 (en) * 2019-09-16 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming isolation structure having improved gap-fill capability
US11189561B2 (en) 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric
CN110661064A (zh) * 2019-09-29 2020-01-07 京东方科技集团股份有限公司 移相器及其制备和封装方法
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
KR20210043842A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 장치
US11211470B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
EP3813124A1 (en) 2019-10-22 2021-04-28 Imec VZW Split replacement metal gate integration
US10823888B1 (en) * 2019-11-12 2020-11-03 Applied Materials, Inc. Methods of producing slanted gratings with variable etch depths
WO2021108136A1 (en) * 2019-11-25 2021-06-03 Corning Incorporated Bonded articles and methods for forming the same
US11682731B2 (en) * 2019-12-02 2023-06-20 Intel Corporation Fin smoothing and integrated circuit structures resulting therefrom
US11189600B2 (en) * 2019-12-11 2021-11-30 Samsung Electronics Co., Ltd. Method of forming sacrificial self-aligned features for assisting die-to-die and die-to-wafer direct bonding
KR20210080662A (ko) 2019-12-20 2021-07-01 삼성전자주식회사 반도체 장치
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
US20210202321A1 (en) * 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. High Voltage Devices
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11043469B1 (en) * 2020-02-19 2021-06-22 Nanya Technology Corporation Method of forming three dimensional semiconductor structure
US20210257462A1 (en) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors
US11450736B2 (en) * 2020-03-25 2022-09-20 Intel Corporation Source/drain regions in integrated circuit structures
US11201151B2 (en) 2020-03-27 2021-12-14 Intel Corporation Resonant fin transistor (RFT)
US11462282B2 (en) * 2020-04-01 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory structure
TWI809384B (zh) * 2020-04-28 2023-07-21 台灣積體電路製造股份有限公司 積體電路結構及其形成方法
US11404323B2 (en) * 2020-04-29 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of hybrid isolation regions through recess and re-deposition
DE102020119859A1 (de) 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung von hybrid-isolationsregionen durch aussparen und erneutes abscheiden
DE102021104073A1 (de) * 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtetes metall-gate für multigate-vorrichtung
US11637042B2 (en) 2020-04-30 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate for multigate device
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
US20210391245A1 (en) * 2020-06-11 2021-12-16 Nanya Technology Corporation Semiconductor package device
US11374006B2 (en) * 2020-06-12 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11113443B1 (en) * 2020-06-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with thicker metal lines on lower metallization layer
US20210407996A1 (en) * 2020-06-26 2021-12-30 Ashish Agrawal Gate-all-around integrated circuit structures having strained dual nanoribbon channel structures
US11527653B2 (en) * 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
CN113517274A (zh) * 2020-07-24 2021-10-19 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11335806B2 (en) * 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof
KR20220077741A (ko) 2020-12-02 2022-06-09 삼성전자주식회사 반도체 메모리 소자
US20220199833A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Field-effect transistor (fet) with self-aligned ferroelectric capacitor and methods of fabrication
KR20220092104A (ko) * 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자
CN112864097B (zh) * 2021-01-14 2022-06-24 长鑫存储技术有限公司 半导体结构及其制作方法
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11323070B1 (en) 2021-04-16 2022-05-03 Apple Inc. Oscillator with fin field-effect transistor (FinFET) resonator
TWI789748B (zh) * 2021-04-26 2023-01-11 友達光電股份有限公司 電子裝置及其製造方法
CN113517313B (zh) * 2021-04-26 2023-04-18 长江先进存储产业创新中心有限责任公司 三维存储器及其制造方法
KR20220148630A (ko) * 2021-04-29 2022-11-07 삼성전자주식회사 반도체 메모리 소자
US11652153B2 (en) 2021-05-07 2023-05-16 Micron Technology, Inc. Replacement gate formation in memory
US20220399336A1 (en) * 2021-06-15 2022-12-15 Intel Corporation Fin cut in neighboring gate and source or drain regions for advanced integrated circuit structure fabrication
US20230034482A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact Profile Optimization For Ic Device Performance Improvement
US11967626B2 (en) 2021-09-14 2024-04-23 Sandisk Technologies Llc Field effect transistors with gate fins and method of making the same
WO2023043504A1 (en) * 2021-09-14 2023-03-23 Sandisk Technologies Llc Field effect transistors with gate fins and method of making the same
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material
US20230132912A1 (en) * 2021-11-01 2023-05-04 Globalfoundries U.S. Inc. Logic cell layout design for high density transistors
TWI798922B (zh) * 2021-11-08 2023-04-11 財團法人工業技術研究院 半導體結構及其製造方法
US20230207696A1 (en) * 2021-12-23 2023-06-29 Mohammad Hasan Integrated circuits with gate plugs to induce compressive channel strain
TWI794094B (zh) * 2022-01-12 2023-02-21 南亞科技股份有限公司 具有鰭片之半導體結構的製備方法
TWI833234B (zh) * 2022-01-19 2024-02-21 南亞科技股份有限公司 具有字元線之記憶體元件
US11895820B2 (en) 2022-01-19 2024-02-06 Nanya Technology Corporation Method of manufacturing memory device having word line with improved adhesion between work function member and conductive layer
US11937420B2 (en) 2022-01-19 2024-03-19 Nanya Technology Corporation Memory device having word line with improved adhesion between work function member and conductive layer
CN115083918B (zh) * 2022-07-19 2022-11-04 合肥晶合集成电路股份有限公司 晶体管及其制造方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285141A1 (en) * 2012-01-24 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Devices with Replaced-Channels and Methods for Forming the Same
US8765546B1 (en) * 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US20140346605A1 (en) * 2013-05-24 2014-11-27 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
JP2014232873A (ja) * 2013-05-02 2014-12-11 富士フイルム株式会社 エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法
US20150311342A1 (en) * 2014-04-23 2015-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Finfet with esd protection
US20160149036A1 (en) * 2014-11-25 2016-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US20160247805A1 (en) * 2015-02-05 2016-08-25 Globalfoundries Inc. Method of forming a complementary metal oxide semiconductor structure with n-type and p-type field effect transistors having symmetric source/drain junctions and optional dual silicides
US9496225B1 (en) * 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill
US20160359008A1 (en) * 2015-06-08 2016-12-08 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US20170033106A1 (en) * 2015-07-31 2017-02-02 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
US20170077031A1 (en) * 2015-09-16 2017-03-16 United Microelectronics Corp. Semiconductor device and manufacturing method thereof

Family Cites Families (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837609A (en) * 1987-09-09 1989-06-06 American Telephone And Telegraph Company, At&T Bell Laboratories Semiconductor devices having superconducting interconnects
JP3252578B2 (ja) * 1993-12-27 2002-02-04 ソニー株式会社 平面型絶縁ゲート電界効果トランジスタの製法
US5994220A (en) * 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
TW400605B (en) * 1999-01-16 2000-08-01 United Microelectronics Corp The manufacturing method of the Shallow Trench Isolation (STI)
US6159782A (en) * 1999-08-05 2000-12-12 Advanced Micro Devices, Inc. Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant
JP2001135718A (ja) * 1999-11-08 2001-05-18 Nec Corp トレンチ分離構造の作製方法
JP4644924B2 (ja) * 2000-10-12 2011-03-09 ソニー株式会社 半導体装置およびその製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
KR100338783B1 (en) * 2000-10-28 2002-06-01 Samsung Electronics Co Ltd Semiconductor device having expanded effective width of active region and fabricating method thereof
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6653200B2 (en) * 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US6774387B2 (en) * 2001-06-26 2004-08-10 Ovonyx, Inc. Programmable resistance memory element
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US8222680B2 (en) * 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6821834B2 (en) * 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US7148526B1 (en) * 2003-01-23 2006-12-12 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
KR100471173B1 (ko) * 2003-05-15 2005-03-10 삼성전자주식회사 다층채널을 갖는 트랜지스터 및 그 제조방법
US6846752B2 (en) * 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US6812119B1 (en) * 2003-07-08 2004-11-02 Advanced Micro Devices, Inc. Narrow fins by oxidation in double-gate finfet
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
US7344972B2 (en) * 2004-04-21 2008-03-18 Intel Corporation Photosensitive dielectric layer
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
JP2006120953A (ja) * 2004-10-22 2006-05-11 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR100602121B1 (ko) * 2004-12-03 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
JP2007005721A (ja) * 2005-06-27 2007-01-11 Toshiba Corp 半導体装置およびその製造方法
US7335587B2 (en) * 2005-06-30 2008-02-26 Intel Corporation Post polish anneal of atomic layer deposition barrier layers
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
KR100663366B1 (ko) * 2005-10-26 2007-01-02 삼성전자주식회사 자기 정렬된 부유게이트를 갖는 플래시메모리소자의제조방법 및 관련된 소자
US9477658B2 (en) * 2005-10-26 2016-10-25 Cortica, Ltd. Systems and method for speech to speech translation using cores of a natural liquid architecture system
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
DE102005057073B4 (de) * 2005-11-30 2011-02-03 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
US20070227181A1 (en) 2006-04-04 2007-10-04 Eduardo Leon Condenser shroud assembly for a direct current air conditioning system
US7521775B2 (en) * 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US7968425B2 (en) * 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
US7859059B2 (en) * 2006-07-25 2010-12-28 Nec Corporation Semiconductor device and method for manufacturing same
US20080049613A1 (en) * 2006-08-24 2008-02-28 Motorola, Inc. Method and system for providing a quality of service change warning at a user equipment
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
US8286114B2 (en) * 2007-04-18 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3-dimensional device design layout
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
KR100855834B1 (ko) * 2007-05-25 2008-09-01 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7923337B2 (en) * 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
TW200901382A (en) * 2007-06-26 2009-01-01 Nanya Technology Corp Structure of a buried word line
US7476578B1 (en) * 2007-07-12 2009-01-13 International Business Machines Corporation Process for finFET spacer formation
US7811877B2 (en) * 2007-07-16 2010-10-12 Applied Materials, Inc. Method of controlling metal silicide formation
US7534675B2 (en) * 2007-09-05 2009-05-19 International Business Machiens Corporation Techniques for fabricating nanowire field-effect transistors
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US8178417B2 (en) * 2008-04-22 2012-05-15 Globalfoundries Singapore Pte. Ltd. Method of forming shallow trench isolation structures for integrated circuits
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US8058119B2 (en) * 2008-08-27 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device scheme of HKMG gate-last process
DE102008059648B4 (de) * 2008-11-28 2011-12-22 Advanced Micro Devices, Inc. Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102009023250B4 (de) * 2009-05-29 2012-02-02 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement-Herstellverfahren mit erhöhter Ätzstoppfähigkeit während der Strukturierung von siliziumnitridenthaltenden Schichtstapeln durch Vorsehen einer chemisch hergestellten Oxidschicht während der Halbleiterbearbeitung
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8008669B2 (en) * 2009-07-27 2011-08-30 International Business Machines Corporation Programmable anti-fuse structure with DLC dielectric layer
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8592918B2 (en) * 2009-10-28 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming inter-device STI regions and intra-device STI regions using different dielectric materials
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102009047306B4 (de) * 2009-11-30 2015-02-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
US8373238B2 (en) * 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8119473B2 (en) * 2009-12-31 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature anneal for aluminum surface protection
DE102010001403B4 (de) * 2010-01-29 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US10128261B2 (en) * 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
DE102010040064B4 (de) * 2010-08-31 2012-04-05 Globalfoundries Inc. Verringerte Schwellwertspannungs-Breitenabhängigkeit in Transistoren, die Metallgateelektrodenstrukturen mit großem ε aufweisen
US8299625B2 (en) * 2010-10-07 2012-10-30 International Business Machines Corporation Borderless interconnect line structure self-aligned to upper and lower level contact vias
US8455330B2 (en) * 2010-10-12 2013-06-04 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
DE102011005718B4 (de) * 2011-03-17 2012-10-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Verringern der Äquivalenzdicke von Dielektriika mit großem ε in Feldeffekttranistoren durch Ausführen eines Ausheizprozesses bei geringer Temperatur
DE102011076696B4 (de) * 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8551833B2 (en) * 2011-06-15 2013-10-08 International Businesss Machines Corporation Double gate planar field effect transistors
CN102956457B (zh) 2011-08-22 2015-08-12 中国科学院微电子研究所 半导体器件结构及其制作方法、及半导体鳍制作方法
US8674433B2 (en) * 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8466027B2 (en) * 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US9028157B2 (en) * 2011-12-15 2015-05-12 Intel Corporation Efficient backside-emitting/collecting grating coupler
US8907431B2 (en) * 2011-12-16 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple threshold voltages
US8896066B2 (en) * 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
CN104011835B (zh) * 2011-12-22 2016-10-26 英特尔公司 栅极对准接触部及其制造方法
CN107039281B (zh) * 2011-12-22 2021-06-18 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US9048260B2 (en) * 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
US8691681B2 (en) * 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8928086B2 (en) * 2013-01-09 2015-01-06 International Business Machines Corporation Strained finFET with an electrically isolated channel
US9287179B2 (en) * 2012-01-19 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Composite dummy gate with conformal polysilicon layer for FinFET device
US8637371B2 (en) * 2012-02-16 2014-01-28 International Business Machines Corporation Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same
US8517769B1 (en) * 2012-03-16 2013-08-27 Globalfoundries Inc. Methods of forming copper-based conductive structures on an integrated circuit device
US8772114B2 (en) * 2012-03-30 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate semiconductor device and method of fabricating thereof
US9627310B2 (en) * 2012-04-11 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned interconnects
US20130277766A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Multiple high-k metal gate stacks in a field effect transistor
US9647066B2 (en) * 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US8912606B2 (en) * 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US8697511B2 (en) * 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8877578B2 (en) * 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9024355B2 (en) * 2012-05-30 2015-05-05 International Business Machines Corporation Embedded planar source/drain stressors for a finFET including a plurality of fins
US8981481B2 (en) * 2012-06-28 2015-03-17 Intel Corporation High voltage three-dimensional devices having dielectric liners
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
CN103531474B (zh) 2012-07-02 2016-04-20 中国科学院微电子研究所 半导体器件制造方法
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8710660B2 (en) * 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9728464B2 (en) * 2012-07-27 2017-08-08 Intel Corporation Self-aligned 3-D epitaxial structures for MOS device fabrication
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US9337318B2 (en) * 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9082853B2 (en) * 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8896067B2 (en) * 2013-01-08 2014-11-25 International Business Machines Corporation Method of forming finFET of variable channel width
US9305797B2 (en) * 2013-01-17 2016-04-05 Applied Materials, Inc. Polysilicon over-etch using hydrogen diluted plasma for three-dimensional gate etch
US8975094B2 (en) * 2013-01-21 2015-03-10 Globalfoundries Inc. Test structure and method to facilitate development/optimization of process parameters
US8859372B2 (en) * 2013-02-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Double channel doping in transistor formation
US9564353B2 (en) * 2013-02-08 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with reduced parasitic capacitance and methods of forming the same
US8895446B2 (en) 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
KR20140108960A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
CN106847924B (zh) 2013-06-20 2021-03-30 英特尔公司 具有掺杂的子鳍片区域的非平面半导体器件及其制造方法
KR102089682B1 (ko) * 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9093298B2 (en) * 2013-08-22 2015-07-28 Texas Instruments Incorporated Silicide formation due to improved SiGe faceting
US9633835B2 (en) * 2013-09-06 2017-04-25 Intel Corporation Transistor fabrication technique including sacrificial protective layer for source/drain at contact location
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US20150145041A1 (en) * 2013-11-22 2015-05-28 International Business Machines Corporation Substrate local interconnect integration with finfets
CN106415800B (zh) * 2013-12-19 2020-04-14 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
EP3087586B1 (en) * 2013-12-23 2021-09-29 Intel Corporation Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
US9653584B2 (en) * 2013-12-23 2017-05-16 Intel Corporation Pre-sculpting of Si fin elements prior to cladding for transistor channel applications
US9406778B2 (en) * 2014-01-15 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9379010B2 (en) * 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9362404B2 (en) * 2014-02-21 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Doping for FinFET
US20150243663A1 (en) * 2014-02-24 2015-08-27 United Microelectronics Corp. Method for manufacturing semiconductor device and device manufactured using the same
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
KR102190673B1 (ko) * 2014-03-12 2020-12-14 삼성전자주식회사 중간갭 일함수 금속 게이트 전극을 갖는 반도체 소자
US9318582B2 (en) * 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9780216B2 (en) * 2014-03-19 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Combination FinFET and methods of forming same
US20150270175A1 (en) * 2014-03-19 2015-09-24 Globalfoundries Inc. Partially crystallized fin hard mask for fin field-effect-transistor (finfet) device
EP3902016A1 (en) * 2014-03-27 2021-10-27 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
KR102377372B1 (ko) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9570554B2 (en) * 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391173B2 (en) * 2014-04-22 2016-07-12 International Business Machines Corporation FinFET device with vertical silicide on recessed source/drain epitaxy regions
US9640625B2 (en) * 2014-04-25 2017-05-02 Globalfoundries Inc. Self-aligned gate contact formation
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN105225951B (zh) * 2014-05-30 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9508826B2 (en) * 2014-06-18 2016-11-29 Globalfoundries Inc. Replacement gate structure for enhancing conductivity
US20150372139A1 (en) * 2014-06-18 2015-12-24 GLOBALFOUNDERS Inc. Constraining epitaxial growth on fins of a finfet device
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US9209186B1 (en) * 2014-06-26 2015-12-08 Globalfoundries Inc. Threshold voltage control for mixed-type non-planar semiconductor devices
US9837354B2 (en) * 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
KR102192350B1 (ko) * 2014-08-05 2020-12-18 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법
KR20160020870A (ko) * 2014-08-14 2016-02-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
CN105374871B (zh) * 2014-08-22 2020-05-19 联华电子股份有限公司 鳍状结构及其形成方法
US9305845B2 (en) * 2014-09-04 2016-04-05 International Business Machines Corporation Self-aligned quadruple patterning process
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
TWI557784B (zh) * 2014-09-18 2016-11-11 聯華電子股份有限公司 鰭式場效電晶體的製造方法
KR102259080B1 (ko) * 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US9922880B2 (en) * 2014-09-26 2018-03-20 Qualcomm Incorporated Method and apparatus of multi threshold voltage CMOS
TWI600159B (zh) * 2014-10-01 2017-09-21 聯華電子股份有限公司 半導體元件及其製作方法
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
KR102321209B1 (ko) 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9543416B2 (en) * 2014-11-07 2017-01-10 Globalfoundries Inc. Methods of forming products with FinFET semiconductor devices without removing fins in certain areas of the product
KR102236555B1 (ko) * 2014-11-11 2021-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102217246B1 (ko) 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9576801B2 (en) * 2014-12-01 2017-02-21 Qualcomm Incorporated High dielectric constant/metal gate (HK/MG) compatible floating gate (FG)/ferroelectric dipole non-volatile memory
US9679917B2 (en) * 2014-12-23 2017-06-13 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
US9406676B2 (en) * 2014-12-29 2016-08-02 Globalfoundries Inc. Method for forming single diffusion breaks between finFET devices and the resulting devices
US9876114B2 (en) * 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
KR102282980B1 (ko) * 2015-01-05 2021-07-29 삼성전자주식회사 실리사이드를 갖는 반도체 소자 및 그 형성 방법
KR102323251B1 (ko) * 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102259917B1 (ko) * 2015-02-23 2021-06-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9530646B2 (en) * 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
KR102320820B1 (ko) * 2015-02-24 2021-11-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9449880B1 (en) 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
CN106033745B (zh) * 2015-03-19 2020-07-07 联华电子股份有限公司 半导体元件及其形成方法
KR102352153B1 (ko) * 2015-03-25 2022-01-17 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
KR102311929B1 (ko) * 2015-04-01 2021-10-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102318410B1 (ko) * 2015-04-01 2021-10-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20160125208A (ko) * 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
US9406775B1 (en) * 2015-04-27 2016-08-02 Globalfoundries Inc. Method for creating self-aligned compact contacts in an IC device meeting fabrication spacing constraints
KR102342079B1 (ko) * 2015-05-20 2021-12-21 삼성전자주식회사 반도체 장치 제조 방법
KR102460718B1 (ko) * 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9553092B2 (en) * 2015-06-12 2017-01-24 Globalfoundries Inc. Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs
US9418897B1 (en) * 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9355914B1 (en) * 2015-06-22 2016-05-31 International Business Machines Corporation Integrated circuit having dual material CMOS integration and method to fabricate same
TWI664732B (zh) * 2015-06-23 2019-07-01 聯華電子股份有限公司 半導體結構及製程
US9455317B1 (en) * 2015-06-24 2016-09-27 International Business Machines Corporation Nanowire semiconductor device including lateral-etch barrier region
US10651288B2 (en) * 2015-06-26 2020-05-12 Intel Corporation Pseudomorphic InGaAs on GaAs for gate-all-around transistors
CN107615490B (zh) * 2015-06-26 2022-02-11 英特尔公司 在牺牲核上经由包覆的晶体管鳍形成
US20170022609A1 (en) * 2015-07-20 2017-01-26 Applied Materials, Inc. Heteroleptic Diazadiene-Containing Tungsten Precursors for Thin Film Deposition
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
KR102352157B1 (ko) * 2015-09-01 2022-01-17 삼성전자주식회사 집적회로 소자
US9564358B1 (en) * 2015-09-09 2017-02-07 International Business Machines Corporation Forming reliable contacts on tight semiconductor pitch
CN106531618B (zh) * 2015-09-15 2021-05-18 联华电子股份有限公司 具有金属栅极结构的半导体元件的功函数调整方法
US9991385B2 (en) * 2015-09-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced volume control by recess profile control
US9911824B2 (en) 2015-09-18 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with multi spacer
US10177240B2 (en) 2015-09-18 2019-01-08 International Business Machines Corporation FinFET device formed by a replacement metal-gate method including a gate cut-last step
US9524911B1 (en) * 2015-09-18 2016-12-20 Globalfoundries Inc. Method for creating self-aligned SDB for minimum gate-junction pitch and epitaxy formation in a fin-type IC device
US9859392B2 (en) * 2015-09-21 2018-01-02 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US9806089B2 (en) * 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US20170086298A1 (en) * 2015-09-23 2017-03-23 Tin Poay Chuah Substrate including structures to couple a capacitor to a packaged device and method of making same
US9679978B2 (en) * 2015-09-24 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20180240874A1 (en) * 2015-09-25 2018-08-23 Intel Corporation Resistance reduction under transistor spacers
US10121879B2 (en) * 2015-09-28 2018-11-06 International Business Machines Corporation Forming odd number of fins by sidewall imaging transfer
KR102476356B1 (ko) * 2015-10-07 2022-12-09 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9583600B1 (en) * 2015-10-08 2017-02-28 United Microelectronics Corp. Semiconductor device and method for fabricating the same
DE102015013915A1 (de) 2015-10-27 2017-04-27 Florian Eichenhofer Maschinensystem zur Herstellung eines Hybridbauteils
US9666474B2 (en) * 2015-10-30 2017-05-30 International Business Machines Corporation Uniform dielectric recess depth during fin reveal
US9673331B2 (en) * 2015-11-02 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9728505B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature
US10020304B2 (en) 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9570571B1 (en) * 2015-11-18 2017-02-14 International Business Machines Corporation Gate stack integrated metal resistors
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US9461044B1 (en) * 2015-11-30 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9793404B2 (en) * 2015-11-30 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon germanium p-channel FinFET stressor structure and method of making same
CN106847685A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 高k金属栅晶体管的形成方法
US9564428B1 (en) * 2015-12-15 2017-02-07 International Business Machines Corporation Forming metal-insulator-metal capacitor
US9954081B2 (en) * 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9564446B1 (en) * 2015-12-16 2017-02-07 International Business Machines Corporation SRAM design to facilitate single fin cut in double sidewall image transfer process
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
KR102458309B1 (ko) * 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9614086B1 (en) * 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US9627389B1 (en) * 2016-01-21 2017-04-18 Globalfoundries Inc. Methods to form merged spacers for use in fin generation in IC devices
US10068901B2 (en) * 2016-01-25 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device including transistors with different threshold voltages
US9536789B1 (en) * 2016-01-27 2017-01-03 International Business Mashines Corporation Fin-double-gated junction field effect transistor
US9721949B1 (en) * 2016-01-29 2017-08-01 GlobalFoundries, Inc. Method of forming super steep retrograde wells on FinFET
US9876083B2 (en) * 2016-01-29 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
US10068904B2 (en) * 2016-02-05 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device
US9947788B2 (en) * 2016-02-09 2018-04-17 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US9972537B2 (en) * 2016-02-24 2018-05-15 Globalfoundries Inc. Methods of forming graphene contacts on source/drain regions of FinFET devices
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9786502B2 (en) * 2016-03-10 2017-10-10 United Microelectronics Corp. Method for forming fin structures for non-planar semiconductor device
FR3049110B1 (fr) * 2016-03-21 2018-06-15 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un transistor a effet de champ a capacite parasite reduite
TWI699885B (zh) * 2016-03-22 2020-07-21 聯華電子股份有限公司 半導體結構與其製作方法
TWI678732B (zh) * 2016-03-22 2019-12-01 聯華電子股份有限公司 一種形成半導體鰭狀結構的方法
TWI612674B (zh) * 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US10249501B2 (en) * 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
EP3437120B1 (en) * 2016-03-28 2020-11-18 INTEL Corporation Aligned pitch-quartered patterning for lithography edge placement error advanced rectification
WO2017171794A1 (en) 2016-03-31 2017-10-05 Intel Corporation High resolution photomask or reticle and its method of fabrication
US20170288041A1 (en) * 2016-04-05 2017-10-05 Globalfoundries Inc. Method for forming a doped region in a fin using a variable thickness spacer and the resulting device
US9685406B1 (en) * 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
US9755073B1 (en) * 2016-05-11 2017-09-05 International Business Machines Corporation Fabrication of vertical field effect transistor structure with strained channels
US10109507B2 (en) * 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US9768077B1 (en) * 2016-06-02 2017-09-19 International Business Machines Corporation Low resistance dual liner contacts for Fin Field-Effect Transistors (FinFETs)
US10204202B2 (en) * 2016-06-29 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy fin cell placement in an integrated circuit layout
US9640540B1 (en) * 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10522536B2 (en) * 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10332877B2 (en) * 2016-08-21 2019-06-25 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US10049974B2 (en) * 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US10083962B2 (en) * 2016-09-02 2018-09-25 International Business Machines Corporation Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition
US9881918B1 (en) * 2016-09-30 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US9741823B1 (en) * 2016-10-28 2017-08-22 Internation Business Machines Corporation Fin cut during replacement gate formation
CN108122852B (zh) * 2016-11-28 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10170367B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
CN108122913B (zh) * 2016-11-30 2019-09-27 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102633141B1 (ko) * 2016-12-07 2024-02-02 삼성전자주식회사 집적회로 소자
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10497811B2 (en) * 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9991131B1 (en) * 2017-02-27 2018-06-05 Globalfoundries Inc. Dual mandrels to enable variable fin pitch
US10177041B2 (en) * 2017-03-10 2019-01-08 Globalfoundries Inc. Fin-type field effect transistors (FINFETS) with replacement metal gates and methods
US10002791B1 (en) * 2017-04-06 2018-06-19 International Business Machines Corporation Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS
US10002795B1 (en) * 2017-04-12 2018-06-19 International Business Machines Corporation Method and structure for forming vertical transistors with shared gates and separate gates
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
KR102221220B1 (ko) * 2017-05-24 2021-03-03 삼성전자주식회사 반도체 장치
US10037919B1 (en) * 2017-05-31 2018-07-31 Globalfoundries Inc. Integrated single-gated vertical field effect transistor (VFET) and independent double-gated VFET
US10644134B2 (en) * 2017-05-31 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gate formation with varying work function layers
US10109531B1 (en) * 2017-06-08 2018-10-23 United Microelectronics Corp. Semiconductor structure having a bump lower than a substrate base and a width of the bump larger than a width of fin shaped structures, and manufacturing method thereof
US9911736B1 (en) * 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10515952B2 (en) * 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10403742B2 (en) * 2017-09-22 2019-09-03 Globalfoundries Inc. Field-effect transistors with fins formed by a damascene-like process
CN109599336B (zh) * 2017-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10290544B2 (en) * 2017-10-10 2019-05-14 Globalfoundries Inc. Methods of forming conductive contact structures to semiconductor devices and the resulting structures
CN109712934B (zh) * 2017-10-26 2021-06-22 联华电子股份有限公司 一种制作半导体元件的方法
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
US10707133B2 (en) * 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US20190164890A1 (en) * 2017-11-30 2019-05-30 Intel Corporation Pitch-divided interconnects for advanced integrated circuit structure fabrication
US10796968B2 (en) * 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication
DE102018126911A1 (de) * 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
US10796951B2 (en) * 2017-11-30 2020-10-06 Intel Corporation Etch-stop layer topography for advanced integrated circuit structure fabrication
US10734379B2 (en) * 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
US10243053B1 (en) * 2018-01-22 2019-03-26 Globalfoundries Inc. Gate contact structure positioned above an active region of a transistor device

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285141A1 (en) * 2012-01-24 2013-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Devices with Replaced-Channels and Methods for Forming the Same
JP2014232873A (ja) * 2013-05-02 2014-12-11 富士フイルム株式会社 エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法
US20140346605A1 (en) * 2013-05-24 2014-11-27 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
US8765546B1 (en) * 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US20150311342A1 (en) * 2014-04-23 2015-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Finfet with esd protection
US20160149036A1 (en) * 2014-11-25 2016-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US20160247805A1 (en) * 2015-02-05 2016-08-25 Globalfoundries Inc. Method of forming a complementary metal oxide semiconductor structure with n-type and p-type field effect transistors having symmetric source/drain junctions and optional dual silicides
US20160359008A1 (en) * 2015-06-08 2016-12-08 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US20170033106A1 (en) * 2015-07-31 2017-02-02 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
US20170077031A1 (en) * 2015-09-16 2017-03-16 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US9496225B1 (en) * 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019195044A (ja) * 2018-02-14 2019-11-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated マスクをエッチングし、フィン構造を形成するための方法
JP7343598B2 (ja) 2019-02-11 2023-09-12 アプライド マテリアルズ インコーポレイテッド 能動的なプロセスにわたるゲートコンタクト
WO2021054158A1 (ja) * 2019-09-19 2021-03-25 東京エレクトロン株式会社 半導体装置の作製方法
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device
KR20220126612A (ko) * 2021-03-09 2022-09-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 도전 구조물을 갖는 반도체 구조물 및 그를 제조하기 위한 방법
US11961886B2 (en) 2021-03-09 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure
KR102661684B1 (ko) 2021-03-09 2024-04-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 도전 구조물을 갖는 반도체 구조물 및 그를 제조하기 위한 방법

Also Published As

Publication number Publication date
CN109860186A (zh) 2019-06-07
CN109860185A (zh) 2019-06-07
TW201926571A (zh) 2019-07-01
US10777656B2 (en) 2020-09-15
US20190165172A1 (en) 2019-05-30
KR20230006054A (ko) 2023-01-10
US10854732B2 (en) 2020-12-01
CN115831969A (zh) 2023-03-21
DE102018127129A1 (de) 2019-06-06
EP4220719A3 (en) 2023-08-16
KR102523128B1 (ko) 2023-04-19
TW201926547A (zh) 2019-07-01
JP2023099087A (ja) 2023-07-11
US10818774B2 (en) 2020-10-27
US20190164814A1 (en) 2019-05-30
KR20190064429A (ko) 2019-06-10
JP7272776B2 (ja) 2023-05-12
US10541316B2 (en) 2020-01-21
US11664439B2 (en) 2023-05-30
US11031487B2 (en) 2021-06-08
US10460993B2 (en) 2019-10-29
CN109860151A (zh) 2019-06-07
US20200343366A1 (en) 2020-10-29
CN109860187A (zh) 2019-06-07
TW202315052A (zh) 2023-04-01
US20190164765A1 (en) 2019-05-30
EP3514826A2 (en) 2019-07-24
TW201926684A (zh) 2019-07-01
CN109860181A (zh) 2019-06-07
US11955532B2 (en) 2024-04-09
KR20190064430A (ko) 2019-06-10
US11411095B2 (en) 2022-08-09
US20210043754A1 (en) 2021-02-11
EP3514826A3 (en) 2019-10-02
US20210091206A1 (en) 2021-03-25
EP4220719A2 (en) 2023-08-02
US10304940B1 (en) 2019-05-28
US11342445B2 (en) 2022-05-24
EP3718142A4 (en) 2021-09-22
TWI790294B (zh) 2023-01-21
US20190165146A1 (en) 2019-05-30
CN109860182A (zh) 2019-06-07
US20200105906A1 (en) 2020-04-02
KR20190064432A (ko) 2019-06-10
US11581420B2 (en) 2023-02-14
US20200388697A1 (en) 2020-12-10
TWI808100B (zh) 2023-07-11
KR20190064433A (ko) 2019-06-10
US20210013323A1 (en) 2021-01-14
US11063133B2 (en) 2021-07-13
TWI802598B (zh) 2023-05-21
US20190164836A1 (en) 2019-05-30
CN109860141A (zh) 2019-06-07
TWI797169B (zh) 2023-04-01
US20200013876A1 (en) 2020-01-09
US10930753B2 (en) 2021-02-23
TW201926717A (zh) 2019-07-01
US20210249523A1 (en) 2021-08-12
US20240162332A1 (en) 2024-05-16
US20200321449A1 (en) 2020-10-08
US10121875B1 (en) 2018-11-06
TW201935695A (zh) 2019-09-01
US20190165136A1 (en) 2019-05-30
US20210234022A1 (en) 2021-07-29
US10854731B2 (en) 2020-12-01
US11581419B2 (en) 2023-02-14
US20190164969A1 (en) 2019-05-30
CN109860178A (zh) 2019-06-07
TWI781235B (zh) 2022-10-21
US20200027965A1 (en) 2020-01-23
US20230126174A1 (en) 2023-04-27
US10957782B2 (en) 2021-03-23
US20200227413A1 (en) 2020-07-16
EP3493249A1 (en) 2019-06-05
CN109860179A (zh) 2019-06-07
KR20190064426A (ko) 2019-06-10
KR20210069612A (ko) 2021-06-11
US11640985B2 (en) 2023-05-02
US10741669B2 (en) 2020-08-11
US11088261B2 (en) 2021-08-10
CN111194482A (zh) 2020-05-22
US20200335603A1 (en) 2020-10-22
KR20200083981A (ko) 2020-07-09
US10615265B2 (en) 2020-04-07
US20210066475A1 (en) 2021-03-04
TW201935660A (zh) 2019-09-01
US10727313B2 (en) 2020-07-28
US10790378B2 (en) 2020-09-29
US11482611B2 (en) 2022-10-25
US20190165147A1 (en) 2019-05-30
CN113410233A (zh) 2021-09-17
US10777655B2 (en) 2020-09-15
US20190165131A1 (en) 2019-05-30
US11011616B2 (en) 2021-05-18
CN109860177A (zh) 2019-06-07
US11881520B2 (en) 2024-01-23
EP4181213A1 (en) 2023-05-17
US20230261089A1 (en) 2023-08-17
CN109860176A (zh) 2019-06-07
TW201935686A (zh) 2019-09-01
US20190164808A1 (en) 2019-05-30
US20210249524A1 (en) 2021-08-12
US20190164968A1 (en) 2019-05-30
US20200044049A1 (en) 2020-02-06
EP4328973A3 (en) 2024-05-29
US10121882B1 (en) 2018-11-06
US20190245060A1 (en) 2019-08-08
EP4328973A2 (en) 2024-02-28
US20190164897A1 (en) 2019-05-30
US11948997B2 (en) 2024-04-02
TW202316576A (zh) 2023-04-16
US20210217877A1 (en) 2021-07-15
US11404559B2 (en) 2022-08-02
CN115732568A (zh) 2023-03-03
US20230131757A1 (en) 2023-04-27
US10886383B2 (en) 2021-01-05
CN109860180A (zh) 2019-06-07
WO2019108237A1 (en) 2019-06-06
US11646359B2 (en) 2023-05-09
US20210143051A1 (en) 2021-05-13
TWI797172B (zh) 2023-04-01
EP3718142A1 (en) 2020-10-07
TW201937694A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
JP7272776B2 (ja) 集積回路構造または集積回路構造を製造する方法
US11437285B2 (en) Trench plug hardmask for advanced integrated circuit structure fabrication
EP3493270A1 (en) Continuous gate and fin spacer for advanced integrated circuit structure fabrication
EP3493269A1 (en) Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
EP3493250A1 (en) Dual metal silicide structures for advanced integrated circuit structure fabrication
EP3493256A2 (en) Pitch-divided interconnects for advanced integrated circuit structure fabrication
US20200321333A1 (en) Fin end plug structures for advanced integrated circuit structure fabrication
EP3493247A1 (en) Etch-stop layer topography for advanced integrated circuit structure fabrication
CN117410341A (zh) 用于先进的集成电路结构制造的栅极切割和鳍片修整隔离
KR20230054642A (ko) 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들
TW202303845A (zh) 用於先進積體電路結構製造之雙金屬閘極結構
TWI836816B (zh) 用於進階積體電路結構製造的閘極線插塞結構以及積體電路結構製造方法
TW202332062A (zh) 用於先進積體電路結構製造的主動閘極結構上方的接觸
TW202238836A (zh) 用於先進積體電路結構製造之異質金屬線組成

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211008

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221025

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221027

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230328

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230427

R150 Certificate of patent or registration of utility model

Ref document number: 7272776

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150