JP7343598B2 - 能動的なプロセスにわたるゲートコンタクト - Google Patents

能動的なプロセスにわたるゲートコンタクト Download PDF

Info

Publication number
JP7343598B2
JP7343598B2 JP2021546218A JP2021546218A JP7343598B2 JP 7343598 B2 JP7343598 B2 JP 7343598B2 JP 2021546218 A JP2021546218 A JP 2021546218A JP 2021546218 A JP2021546218 A JP 2021546218A JP 7343598 B2 JP7343598 B2 JP 7343598B2
Authority
JP
Japan
Prior art keywords
gate
silicon
nitride
metal
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021546218A
Other languages
English (en)
Other versions
JP2022519703A (ja
Inventor
ゴラフ タレハ,
ケイヴァン カシェフィザデ,
シクン ワン,
アンチョアン ワン,
サンジェイ ナタラジャン,
ショーン エム. セッター,
トン ウー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022519703A publication Critical patent/JP2022519703A/ja
Application granted granted Critical
Publication of JP7343598B2 publication Critical patent/JP7343598B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の実施形態は、概して、半導体デバイス製造方法及び構造に関し、より具体的には、低誘電率キャップ層及び自己整合コンタクトを含む半導体デバイスに関する。
最近のマイクロエレクトロニクスデバイスでは、トランジスタが高密度で集積回路に組み込まれている。しかしながら、ゲート長が一旦20nm付近に達すると、トランジスタ性能が、電流の流れがオフになっているはずの「オフ」状態においても、ソースとドレイン間のリーク電流といった短チャネル効果の影響を受ける。このようにして、デバイス構造は、二次元(2D)の平面構造から、ゲートがデバイス及びナノワイヤ又はナノシートデバイスを囲むフィン電界効果トランジスタ(FinFET:fin-field-effective-transistor)といった三次元(3D)構造に変えられてきた。2Dデバイスとは異なって、3Dデバイスの製造は、先進リソグラフィにおいて、ナノスケールのトランジスタのパターニング、プロセスの統合、湿式及び乾式エッチング、高誘電率材料及び金属ゲートの堆積を含むゲート形成についてより多くの課題に直面している。したがって、改良されたデバイス製造方法及び構造が必要とされている。
本明細書に記載される実施形態は、概して、改良されたデバイス製造方法及び構造に関する。一実施形態において、半導体デバイス製造方法が、
ゲート層の第1の部分を除去して複数の開口部を形成することによって、基板上にゲートを形成することであって、ゲート層が、第1の金属で作製された導電層と、導電層に載置された、第1の誘電体材料で作製されたゲート誘電体層と、を含む、基板上にゲートを形成することと、
複数の開口部を第2の誘電体材料で部分的に充填することと、
真空を破ることなく、処理システム内で基板上に第1の構造を形成することと、
第1の構造上に第3の誘電体材料を堆積させることと、
ゲートの表面、及び第1の構造の上に配置された第3の誘電体材料の表面を含む平坦化された表面を形成することと、
トレンチ内の第3の誘電体材料の第3の部分を除去することによって、トレンチ内にコンタクトビア開口部を形成すること
を含む。
第1の構造の形成が、
複数の開口部のそれぞれの中に配置された第2の誘電体材料の第2の部分を除去することによって、複数のトレンチを形成することと、
複数のトレンチを第2の金属で部分的に充填することによって、複数のトレンチ内に陥凹活性領域を形成することと、
陥凹活性領域のそれぞれの上にライナを形成することと、
ライナのそれぞれの上に金属キャップ層を形成することを含む。
他の実施形態において、半導体デバイス製造方法が、
基板上にゲートを形成して、ゲート層の第1の部分を除去することによって複数の開口部を形成することであって、ゲート層が、第1の金属で作製された導電層と、導電層に載置された、第1の誘電体材料で作製されたゲート誘電体層と、を含む、複数の開口部を形成することと、
複数の開口部を第2の誘電体材料で部分的に充填することと、
真空を破ることなく、処理システム内で基板上に第1の構造を形成することと、
ゲートの表面及び第1の構造の表面を含む平坦化された表面を形成することと、
トレンチ内の第3の誘電体材料の第3の部分を除去することによって、トレンチ内にコンタクトビア開口部を形成すること
を含む。
第1の構造の形成が、
複数の開口部のそれぞれの中に配置された第2の誘電体材料の第2の部分を除去することによって、複数のトレンチを形成することと、
複数のトレンチを第2の金属で部分的に充填することによって、複数のトレンチ内に陥凹活性領域を形成することと、
陥凹活性領域のそれぞれの上にライナを形成することと、
ライナのそれぞれの上に金属キャップ層を形成することと、
金属キャップ層の上に第3の誘電体材料を堆積することを含む。
他の実施形態において、半導体構造が、
基板上の第1の金属で作製された第1のゲート及び第2のゲートと、
第1のゲート及び前記第2のゲート上の、第1の誘電体材料で作製されたゲートキャップ層と、
第1のゲートと第2のゲートとの間のトレンチと、
トレンチ内に形成された、第2の金属で作製された陥凹活性領域と、
第1のゲート及び第2のゲートの側壁上の、第2の誘電体材料で作製されたスペーサであって、第1のゲート及び第2のゲートから陥凹活性領域を電気的に絶縁するスペーサと、
陥凹活性領域上に配置されたライナと、
ライナ上に配置された金属キャップ層と、
トレンチ内のコンタクトビア開口部であって、当該コンタクトビア開口部に形成された自己整合コンタクトゲートに接続可能であり、自己整合コンタクトゲートが陥凹活性領域に電気的に接続する、コンタクトビア開口部と
を備える。
本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、そのいくつかを添付の図面に示す。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面は本開示の典型的な実施形態のみを示しており、従って、本開示の範囲を限定すると見なすべきではないことに留意されたい。
一実施形態に係る半導体構造を形成する方法のステップのフローチャートである。 一実施形態に係る半導体構造の形成時の初期段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 一実施形態に係る半導体構造の形成時の中間段階の断面図である。 処理システムの平面図である。
理解を容易にするため、適用可能である場合には、図に共通する同一の要素を示すのに同一の参照番号を使用した。加えて、一実施形態の要素が、有利に、本明細書に記載された他の実施形態で利用するために適合されうる。
本明細書で提供される本開示の実施形態は、概して、半導体デバイスの活性領域上に形成された改良されたゲートコンタクト構造を有するデバイス及び当該デバイスを形成する方法を含む。幾つかの実施形態において、改良されたゲートコンタクト構造は、パターニングされたコンタクトビア開口において形成された自己整合コンタクトゲートである。本明細書に記載の方法は、小型化されたマイクロエレクトロニクスデバイスの製造のために利用されうる。改良されたゲートコンタクト構造は、概して、後述する半導体構造200といった半導体ゲート領域構造を含む。より具体的には、本明細書に記載の方法は、ゲートコンタクト構造の形成前に永久ゲートを形成し、したがって、製造プロセス中にダミーゲート(例えば、ポリシリコン又は窒化ケイ素)を永久ゲートと置換する必要が無くなる。改良されたゲート構造は、活性領域とその上の誘電体材料との間のライナをさらに含み、これにより、活性領域が誘電体材料との相互作用から護られる。改良されたゲート構造は、活性領域と永久ゲートとの上に異なる誘電体材料を有することができ、これにより、活性領域上の誘電体材料を選択的に除去することが可能となり、したがって、自己整合ゲートを正確に活性領域上に配置することが可能である。さらに、本明細書に記載される方法の幾つかのステップが、真空圧力を維持しつつ、半導体構造を1の処理チャンバから他の処理チャンバに移送するときに周囲環境にさらすことなく、処理システム内で実行され、したがって、製造される半導体構造への損傷が防止される。
図1は、本明細書で提供される本開示の1つ以上の実施形態に係る、改良されたゲートコンタクト構造を形成する方法のステップのフローチャートである。図2~図11は、図1に示す中間段階の方法ステップのうちの少なくとも1つの間の改良されたゲートコンタクト構造を示す、改良されたゲートコンタクト構造の部分の概略側面図である。図1に示された方法ステップは連続的に記載されているが、当業者は、1つ以上の方法ステップが省略され、及び/又は追加され、及び/又は他の望ましい順序で再構成された他のプロセスシーケンスが、本明細書で提供される本開示の実施形態の範囲に入ることが分かるであろう。
図1のブロック102において、ゲート層204が基板202上に形成される。図2は、この初期段階における半導体構造200の断面図である。半導体構造200は、基板202上にゲート層204を含みうる。
ゲート層204は、基板202上に導電層206を含みうる。導電層206は、タングステン(W)、窒化チタン(TiN)、アルミニウム(Al)がドープされた炭化チタン(TiAlC、TiAlC、TiAlC、TiAlCなど)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、金属-半導体合金、又はこれらの材料の任意の適切な組合せといった金属を含みうる導電層である。基板202は、Si含有材料、ゲルマニウム含有材料、ヒ化ガリウム(GaAs)、ヒ化インジウム(InAs)、及び他の同様の半導体といった任意の半導体材料でありうる。ケイ素(Si)含有材料には、ケイ素(Si)、バルクシリコン、単結晶シリコン、多結晶シリコン、シリコンゲルマニウム(SiGe)、アモルファスシリコン、シリコンオンインシュレータ(SOI:silicon-on-insulator)基板、SiGeオンインシュレータ(SGOI:SiGe-on-insulator)、アニールされた多結晶シリコン、及び多結晶シリコンライン構造が含まれる。幾つかの実施形態において、基板202が、約30nm以上の厚みを有しうる。導電層206と基板202の表面との間に、ゲート誘電体層及び/又は1つ以上の仕事関数調整層といった1つ以上の追加の層(図示せず)が配置されうる。
導電層206が、第1の処理チャンバ内で化学気相堆積(CVD:chemical vapor deposition)、めっき、スパッタリング、物理的気相堆積(PVD:physical vapor deposition)などによって基板202上に形成されうる。幾つかの実施形態において、導電層206は、約10nmから約200nmの厚さを有しうる。導電層206は、ホウ素、リン、又はヒ素といった元素でドープされうる。ドーパントが、導電層206の堆積中又は堆積後に導入されうる。幾つかの実施形態において、導電層206は、閾値電圧及びゲートコンダクタンスといったデバイス特性を変更するために利用される複数の層を含みうる。
ゲート層204は、導電層206上にゲート誘電体層208をさらに含みうる。ゲート誘電体層208は、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸化アルミニウム(Al)、酸化ハフニウム(HfO)、酸化ジルコニウム(ZrO)、二酸化ケイ素(SiO)、シリコンオキシナイトライド(SiO)、又は炭素がドープされた窒化ケイ素で作製されうる。ゲート誘電体層208は、第2の処理チャンバ内で、化学気相堆積(CVD)、急速熱化学気相堆積(RTCVD:rapid thermal chemical vapor deposition)、減圧化学気相堆積(LPCVD:low pressure chemical vapor deposition)、プラズマ化学気相堆積(PECVD:plasma enhanced chemical vapor deposition)などによって、導電層206上に堆積されうる。幾つかの実施形態において、ゲート誘電体層208は、約15nmから約80nmの厚さを有しうる。
図1のブロック104において、ゲート210及びトレンチ212が形成される。図3は、デバイス製造プロセスの本中間段階における半導体構造200の断面図である。
ゲート210及びトレンチ212が、第3の処理チャンバ内で、反応性イオンエッチング(RIE:reactive-ion etching)又はプラズマエッチングといった従来のドライエッチンググプロセスによって、ゲート層204の部分をパターニング及びエッチングすることによって形成される。幾つかの実施形態において、ゲート誘電体層208がエッチングされ、次いで、エッチングプロセス中にエッチングマスクとして利用されうる。幾つかの実施形態において、20nm及び14nmのノードデバイスについて、多重パターニングの使用を介した、193nm波長の紫外線を用いた液浸フォトリソグラフィを使用することができ、7nmノードについては、自己整合ダブルパターニング(SADP:self-aligned double patterning)及び自己整合クオドルプルパターニング(SAQP:self-aligned quadruple patterning)による193nm液浸フォトリソグラフィを使用することができる。幾つかの実施形態において、ゲート210は、アスペクト比(即ち、X方向の幅に対するZ方向の高さの比)を、約2以上、約5以上、約10以上、約20以上、約30以上、約50以上、又はそれ以上としうる。ゲートキャップ層216が、ゲート誘電体層208から残され、かつゲート導体218が、導電層206から残される。
図3に示されるトレンチ開口部214は、幾つかの実施形態において、より小さくてもよく又は約15nmであってよい。
この段階において、ゲート210は、タングステン(W)、窒化チタン(TiN)、アルミニウム(Al)がドープされた炭化チタン(TiAlC、TiAlC、TiAlC、TiAlCなど)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、金属-半導体合金、又はこれらの材料の任意の適切な組合せといった金属で作製されたゲート導体218を含む。従って、多結晶シリコン又は窒化ケイ素といったダミーゲート材料を除去し、金属で置換する従来のゲート置換プロセスが、本明細書に記載される製造プロセスシーケンスでは必要ではない。したがって、本明細書に記載のプロセスは、デバイス歩留まりを大幅に向上させ、ゲートコンタクト構造を形成するために利用するロセスシーケンスの処理ステップ数及び複雑さを低減する。
図1のブロック106及び108において、コンタクトエッチング停止層(CESL:contact etch stop layer)220が、ゲート210の側壁上に形成される。図4は、デバイス製造プロセスの本中間段階における半導体構造200の断面図である。ゲート210の側壁上にCESL220を形成するプロセスは、ブロック106において、ゲート210及びトレンチ212の上にコンフォーマルな(conformal)誘電体層(図示せず)を形成し、次いでブロック108において、構造をドライエッチングして、ゲート210の上面及びトレンチ212の下部から堆積層を除去することを含みうる。一実施形態において、コンフォーマルなCESL220が、(ブロック106において)第4の処理チャンバ内で、プラズマ化学気相堆積(PECVD)プロセスを使用して形成されるが、大気圧未満化学気相堆積(SACVD:sub atmospheric chemical vapor deposition)、減圧化学相堆積(LPCVD)、原子層堆積(ALD:atomic layer deposition)、高密度プラズマ(HDP: high-density plasma)、プラズマ原子層堆積(PEALD:plasma enhanced atomic layer deposition)、分子層堆積(MLD:molecular layer deposition)、プラズマインパルス化学気相堆積(PICVD:plasma impulse chemical vapor deposition)といった他の方法を使用することも可能である。(ブロック108において)ゲート210の上面及びトレンチ212の下部から堆積層を除去するために使用されるドライエッチングプロセスは、半導体構造200が載置された基板に対して、エッチング化学物質を含むプラズマがその上で形成されている間に、バイアスを掛けるよう構成された第5の処理チャンバ内で実行されうる。
CESL220は、炭化ケイ素-窒化ホウ素(SiCBN)、シリコンオキシカーバイドナイトライド(SiCON)、シリコンオキシナイトライド(SiON)、窒化ケイ素(SiN)、二酸化ケイ素(SiO)、炭化ケイ素(SiC)、シリコンナイトライドカーバイド(SiCN)、窒化ホウ素(BN)、シリコンボロンナイトライド(SiBN)、窒化チタン(TiN)、及びこれらの組合せといった低誘電率誘電体材料から作製されうる。幾つかの実施形態において、CESL11は、約5nmから約10nmまでの厚さを
有しうる。CESL220は、陥凹金属ゲート602(図6に示す)を、ゲート210から電気的に絶縁するためのスペーサとして機能しうる。
図1のブロック110において、コバルトといった金属材料502が、第6の処理チャンバ内で、物理的気相堆積(PVD)プロセスを利用して、トレンチ212内に堆積させられる。図5は、デバイス製造プロセスの本中間段階における半導体構造200の断面図である。
物理的気相堆積(PVD)プロセスを利用して堆積させる場合に、金属材料502は、トレンチ開口部214を横切って形成する層の一部でありうるオーバーハングを生成しうる。幾つかの実施形態において、塩素含有前駆体及び窒素含有前駆体を基板処理領域(特に図示せず)に流し、オーバーハングを除去することができる。
図1のブロック112において、トレンチ212内に堆積させられた金属材料502が、プラズマ化学気相堆積(PECVD)処理チャンバ又はプラズマ原子層堆積(PEALD)処理チャンバといった第7の処理チャンバ内で、原子層エッチング(ALE:atomic layer etch)プロセスによって部分的に除去され、陥凹金属ゲート(「活性領域」とも呼ばれる)602が形成される。図6は、デバイス製造プロセスの本中間段階における半導体構造200の断面図である。
図1のブロック114において、ライナ702が、半導体構造200の露出表面の上に堆積させられる。図7は、本中間段階における半導体構造200の断面図である。ライナ702は、その下の層に接着し、バリア層とし作用し、かつその上に誘電体層を形成し易くするのに適した任意の厚さを有しうる。一例において、ライナ702は、約10オングストローム~約50オングストロームの厚さを有しうる。
図1のブロック114において、ライナ702が、プラズマ化学気相堆積プロセス(PECVD)又はプラズマ原子層堆積プロセス(PEALD)によって、第8の処理チャンバ内で、半導体構造200を覆って形成されうる。ライナ702は、第8の処理チャンバ内での任意の適切な堆積プロセス、例えば、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)などによって堆積された、チタン(Ti)といった金属、又は窒化チタン(TiN)、窒化タンタル(TaN)、窒化タングステン(WN)等といった金属窒化物を含みうる。一例において、ライナ702は、PVD堆積プロセスによって堆積させられた窒化チタン(TiN)を含む。PVD堆積プロセスを介した窒化チタン(TiN)の堆積では、ソース材料がチタン(Ti)であり、堆積ガス混合物が、窒素(N)といった窒素含有ガスである。窒素含有ガスは、約30~約200sccmの流量で供給されうる。窒素含有ガスの流量は、窒素含有ガスとチタンとの間の反応、及びターゲットのソース材料を制御し、したがって、堆積された層におけるソース材料に対する窒素の組成を制御するために変わりうる。幾つかの実施形態において、堆積ガス混合物は、アルゴン(Ar)といった不活性ガスも含みうる。存在する場合には、不活性ガスが、最大約100sccmの流量で供給されうる。窒素含有ガス及び不活性ガスは、予め混合されて処理チャンバに一緒に供給されてよく、又は、別個の導管及び/又はガス源(特に図示せず)を介して処理チャンバに供給されてよい。幾つかの実施形態において、PVDプロセス中に、ターゲット材料の放出された原子の堆積を促進するために、RF電力の形態によるバイアス電力が、電極(特に図示せず)を介して基板202に印加されうる。幾つかの実施形態において、1kW~約5kWのRF電力が、0.02~約100MHzの周波数で供給されうる。幾つかの実施形態において、ライナ702が、複数の層、例えば、第1の層及び第2の層を含みうる。第1の層は、窒化チタン(TiN)を含んでよく、第2の層は、窒化タンタル(TaN)を含んでよい。第1の層は、約10~約20オングストローム、又は約20オングストロームの厚さを有してよく、第2の層は、約10~約20オングストローム、又は約25オングストロームの厚さを有してよい。
図1のブロック116において、金属キャップ層802が、半導体構造200の露出表面上に堆積させられる。図8は、本中間段階における半導体構造200の断面図である。金属キャップ層802は、以下で記載する後続の誘電体層の形成のための適切な表面として機能し、続いて形成される誘電体層への金属材料502(例えば、コバルト)の拡散を防止するためにも役立つ。金属キャップ層802は、タングステン(W)を含みうる。第9の処理チャンバでは、半導体構造200が、タングステン含有前駆体といった金属含有前駆体から形成されたプラズマに曝露される。幾つかの実施形態において、適切なタングステン前駆体は、WF、W(CO)、CpW(CO)NO、EtCpW(CO)NO、CpW(CO)NO、CpWH、CCNW(CO)5、(C11CN)W(CO)、W(C、W(CCH、W(C、W(C(CO)、及びW(C)(CO)を含みうる。幾つかの実施形態において、プラズマが、五塩化タングステン(WCl5)又は六塩化タングステン(WCl6)といった、フッ素不含のハロゲン化タングステン前駆体から形成されうる。幾つかの実施形態において、水素含有ガス(例えば、水素(H)又はアンモニア(NH))といった反応ガス、及び、アルゴン、ヘリウム、又は窒素といったキャリアガスが、タングステン前駆体ガスに添加され、金属キャップ層802中に存在する炭素不純物が有利に最小に抑えられうる。キャリアガスが、約100sccm~約3000sccmの流量で供給されうる。プラズマが、例えば、電源から高周波(RF)エネルギーによって供給される十分なエネルギーによって形成されうる。電源は、約13.56MHzといった適切な周波数で、約50W~約1.2kWの電力を供給することができる。プラズマによって前駆体の分解が促進され、凹部514内のコバルト及びゲート210へのタングステンの堆積が引き起こされ、金属キャップ層802が形成される。幾つかの実施形態において、処理チャンバが、金属キャップ層802の堆積中に、約0.5Torrから約40Torrの間の圧力で、かつ約125℃~約425℃の温度に維持される。幾つかの実施形態において、金属キャップ層802がルテニウム(Ru)で作製される。
図1及び図8を戻って参照すると、ブロック118において、半導体構造200の露出表面の上にライナ702及び金属キャップ層802が形成された後で、ゲート210の上のライナ702の部分及び金属キャップ層802の部分が、第10の処理チャンバ内で、パターニング、及び反応性イオンエッチング(RIE)又はプラズマエッチングといった従来のドライエッチングプロセスによって除去されうる。ゲート210の上面から、堆積させられたライナ702及び堆積させられた金属キャップ層802を除去するために利用するドライエッチングプロセスは、リソグラフィプロセスシーケンスを利用して、かつ、半導体構造200が載置された基板に対して、エッチング化学物質を含むプラズマがその上で形成されている間にバイアスを掛けるよう構成された別個の従来のドライエッチングチャンバを利用して、実行されうる。代替的に、幾つかの実施形態において、ゲート210上のライナ702及び金属キャップ層802が、従来の化学機械研磨(CMP:chemical mechanical polishing)プロセスによって除去されうる。
図1のブロック120において、層間誘電体902が、凹部514内の金属キャップ層802及びゲート210の上に堆積させられうる。図9は、本中間段階における半導体構造200の断面図である。層間誘電体902は、コンタクトビア開口部をパターニングするためのエッチングマスクを提供することができ、コンタクトビア開口部において、陥凹金属ゲート602に接続する自己整合コンタクトゲートが形成可能であり、また、半導体構造200のための構造的支持が提供されうる。
層間誘電体902は、誘電率がゲートキャップ層216(即ち、ゲート誘電体層208)の誘電率とは異なる任意の誘電体材料であってよく、例えば、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸化アルミニウム(Al)、酸化ハフニウム(HfO)、酸化ジルコニウム(ZrO2)、二酸化ケイ素(SiO)、シリコンオキシナイトライド(SiO)、又は炭素がドープされた窒化ケイ素であってよい。誘電率の違いによって、層間誘電体902とゲートキャップ層216との間のエッチング選択性が可能になる。幾つかの実施形態において、ゲートキャップ層216が、例えば、窒化ケイ素(SiO、誘電率K~6)で作製されてよく、層間誘電体902が、炭化ケイ素(SiC、K~9.7)、高密度プラズマ(HDP)シリコン(K~7)、フッ化ケイ素、酸化アルミニウム(Al、K~8.5~9)、酸化ハフニウム(HfO、K~40)、酸化ジルコニウム(ZrO、K~24.7)、又は酸化タンタル(TaO、K~27)で作製されてよい。幾つかの実施形態において、層間誘電体902は、誘電体材料の複数の層を含んでよい。
層間誘電体902は、第11の処理チャンバ内で、従来のCVD、パルスCVD、又は原子層堆積(ALD)によって、半導体構造200の露出表面上に堆積されうる。パルスCVDプロセスの間、ケイ素前駆体及び反応物質(例えば、他の前駆体、還元剤、又は酸化剤)が、第11の処理チャンバ内に一緒に流され、パルス状で供給される。基板が、シリコン前駆体及び反応物質を含有する堆積ガスに連続的に曝露されうる。ALDプロセスの間、ケイ素前駆体及び反応物質が、第11の処理チャンバ内へと連続的にパルス状で供給される。基板が、ALDプロセス中にケイ素前駆体及び反応物質に連続的に暴露されうる。プラズマ強化又は熱的強化堆積技術が、ALDプロセス又はCVDプロセスのいずれかの間に利用されうる。堆積が、有利に、約550℃未満の温度で行われうる。
図1のブロック122において、層間誘電体902が平坦化されて、露出表面1002が形成されうる。図10は、本中間段階における半導体構造200の断面図である。
幾つかの実施形態において、誘電体選択的化学機械平坦化(CMP:chemical-mechanical planarization)が、ゲート210を覆う余分な層間誘電体902を除去するために、第12の処理チャンバ内で実施されうる。上述のように、層間誘電体902とゲートキャップ層216とは、異なる誘電率を有する。具体的には、半導体構造200の露出表面1002が、機械的研磨(例えば、第1の層の下の非平面的な第2の層を露出させるために、その上にある第1の層を研磨)と、スラリを使用した化学的除去(例えば、上にある第1の層の表面が第2の層の表面と同一平面上になるまで、第2の層より速い速度で第1の層を除去)と、の両方によって研磨される。CMPプロセスでは、研磨パッドが、キャリアアセンブリ上に取り付けられ、半導体構造200の露出表面1002に接触した状態で配置される。キャリアアセンブリが、制御可能な圧力を露出表面1002にかけて、露出表面1002を研磨パッドに押し付ける。研磨パッドと露出面1002との相対運動によって、露出表面1002が機械的に研磨される。機械的研磨は、二酸化ケイ素(シリカ)、酸化セリウム(セリア)、酸化アルミニウム(アルミナ)、酸化ジルコニウム(ジルコニア)、及び酸化スズといった研磨材料によって補助されうる。幾つかの実施形態において、ゲートキャップ層216に対して選択的に、層間誘電体902を化学的に除去するスラリが、酢酸、1-ヒドロキシエチリデン-1、1-ジホスホン酸、グリシン、及び、リン酸を含む無機酸といった、約2~約7の範囲のpHを提供する少なくとも1つの酸性緩衝剤を含む。
誘電体選択的CMPによって、研磨プロセス中に、ゲートキャップ層216をCESL220の領域と共に露出させる一方で、余分な層間誘電体902を研磨することができ、その際に、かなりの量の材料が除去されることはない。
図1のブロック124において、コンタクトビア開口部1102が形成され、コンタクトビア開口部1102において、自己整合コンタクトゲート金属が形成されうる。図11は、本中間段階における半導体構造200の断面図である。自己整合コンタクトゲートによって、半導体構造200の残りの部分からの、陥凹金属ゲート602への電気的接続が提供される。
第13の処理チャンバ内で、層間誘電体902の部分を選択的にエッチングすることによって、コンタクトビア開口1102が凹部514内に形成され、金属キャップ層802が露出する。層間誘電体902のエッチング中は、ゲートキャップ層216は、エッチング選択性に因りエッチングされない。
幾つかの実施形態において、層間誘電体902のエッチングは、半導体構造200の露出表面1002上に配置された、パターニングされたフォトレジスト層(例えば、フォトマスク層)、底面反射防止コーティング(BARC:bottom anti-refectory coating)/反射防止コーティング(ARC:anti-refectory coating)層、及びハードマスク層を利用する。
パターニングされたフォトレジスト層が、BARC/ARC層の上部に載置される。フォトレジスト層は、従来のリソグラフィプロセスによってパターニングすることができ、下にあるBARC/ARC層の部分をエッチングのために露出させる開口を有する。
幾つかの実施形態において、BARC/ARC層が、表面1002上にスピン塗布されうる。BARC/ARC層は、例えば、SOG、ポリアミド及びポリスルホンといった、典型的に水素含有元素及び炭素含有元素を有する有機材料、又は、シリコンオキシナイトライド、炭化ケイ素等といった無機材料を含みうる。幾つかの実施形態において、BARC/ARC層は、表面1002上にスピン塗布された有機材料である。代替的に、BARC/ARC層は、他の適切なやり方で表面1002上にコーティングされてよく、堆積されてよく、又は別様に配置されてよい。幾つかの実施形態において、BARC/ARC層が、約300Å~約2000Å、例えば約600Å~約1000Åの厚さを有する。
ハードマスク層が、他のケイ素膜のうち、酸化ケイ素、シリコンナイトライドカーバイド(SiCN)、シリコンオキシナイトライド(SiON)、アモルファスシリコン(α-Si)、又はSOGで作製されうる。
フォトレジスト層のパターンが、プラズマエッチングプロセスを利用して、ハードマスク層に転写される。次いで、上記パターンが、ゲートキャップ層216に対して選択的なプラズマエッチングプロセス(即ち、ゲートキャップ層216をエッチングしない)によって、層間誘電体902に転写される。幾つかの実施形態において、層間誘電体902が、炭化ケイ素で作製され、ゲートキャップ層216が、窒化ケイ素で構成され、プラズマエッチングプロセスにおけるエッチングガスが、CHFといった水素含有フッ化炭素ガス、O2といった酸素含有ガス、及びArといった任意のキャリアガスを含む。幾つかの実施形態において、CHFの流量対O2の流量の比が、0.5対2.0、好ましくは1.25対1.75に設定されうる。エッチングチャンバ内の圧力が、約20mTorrと約500mTorrとの間に維持される。エッチングチャンバ内で形成されたプラズマを維持するためのRFバイアス電力は、約13.56MHzの周波数を有し、約100ワット~約1000ワットの間で制御されうる。
本開示の例は、大きさが20nm以下のデバイス製造のための簡素化された正確なプロセスを提供する。化学機械平坦化における2つの誘電体材料間のエッチング選択性、及びコンタクトビアの形成のため、パターニングされたマスク層のミスアラインメントが、プロセスに影響を及ぼしえない。さらに、プロセスの初期にゲートが金属から形成されるため(即ち、永久ゲート)、後のプロセスにおいてゲートを削除又は置換する必要がない。陥凹活性領域の上に堆積したライナを使用して、陥凹活性領域が、ライナ上の誘電体材料と相互作用するのを防止することができる。
図12は、処理システム1200の平面図である。一実施形態において、方法100のブロック110~118が、処理システム1200内で実行される。他の実施形態において、方法100のブロック110~120が、処理システム1200内で実行される。処理システム1200は、第1の移送チャンバ1204に連結された複数の処理チャンバ1202を含む一体型クラスタツールである。一実施形態において、処理チャンバ1202が、方法100のブロック112でALEプロセスが実行される第7の処理チャンバと、方法100のブロック118で、ライナ702及び金属キャップ層802が部分的に除去される第10の処理チャンバと、を含む。第1移送チャンバ1204はまた、1つ以上の第1のロードロックチャンバ1206に連結されている。第1移送チャンバ1204は、処理チャンバ1202と第1ロードロックチャンバ1206との間で基板を移送するための、中央に配置された移送ロボット(図示せず)を有する。処理システム1200はまた、第2移送チャンバ1210に連結された複数の処理チャンバ1208を含む。一実施形態において、処理チャンバ1208は、方法100のブロック110で金属材料502が堆積させられる第6の処理チャンバと、方法100のブロック114でライナ702が形成される第8のチャンバと、方法100のブロック116で金属キャップ層802が形成される第9のチャンバと、方法100のブロック120で層間誘電体902が堆積される第11のチャンバと、を含む。
処理システム1200は、システムコントローラ、及び、処理シーケンスにおいて処理ステップを実施する前又は後に基板の特性を解析するために、準備/解析ステップ、及び/又は後処理/解析ステップを実施するよう適合された計測チャンバといったサービスチャンバを含みうる。一般的に、計測チャンバ内で測定可能な基板の特性は、基板の表面上に堆積された1つ以上の層における内在応力又は外因性応力の測定値、1つ以上の堆積された層の膜組成、基板の表面上の粒子の数、基板の表面上に見られる1つ以上の層の厚さを含みうるが、これらに限定されない。計測チャンバから収集されたデータが、次いで、1つ以上の処理ステップにおける1つ以上のプロセス変数を調節して、後続で処理される基板において好適なプロセス結果を得るために、システムコントローラによって使用されうる。コントローラは、中央処理装置(CPU)、メモリ、及びサポート回路(又はI/O)を含みうる。CPUは、様々なプロセスを制御するための産業用設定で使用される任意の形態のコンピュータプロセッサと、ハードウェア(例えば、パターン生成器、モータ、及び他のハードウェア)との一方であってよく、プロセス(例えば、処理時間、基板のポジション又は位置)を監視しうる。メモリがCPUに接続されており、ランダムアクセスメモリ(RAM:random access memory)、読取り専用メモリ(ROM:read only memory)、フロッピーディスク、ハードディスク、又は任意の他の形態によるローカル若しくは遠隔のデジタルストレージといった、1つ以上の容易に入手可能なメモリでありうる。CPUに命令するためのソフトウェア命令、アルゴリズム及びデータが、コード化されてメモリに格納されうる。従来のやり方でプロセッサを支援するために、補助回路もCPUに接続されている。補助回路は、キャッシュ、電源、クロック回路、入力/出力回路、サブシステム等を含みうる。コントローラによる可読プログラム(又はコンピュータ命令)が、どのタスクが基板上で実行可能であるかについて決定する。プログラムは、コントローラにより可読なソフトウェアであり、例えば処理時間及び基板のポジション又は位置を監視して制御するためのコードを含みうる。
1つのこのような適切なシステムが、カリフォルニア州サンタクララのApplied Materials,Inc.のCENTINEL(商標)チャンバを備えたEndura iLB PVD/ALDシステムでありうる。
第2移送チャンバ1210も、処理チャンバ1208と第1ロードロックチャンバ1206との間で基板を移送するための、中央に配置された移送ロボット(図示せず)を有する。ファクトリインタフェース1212が、第2ロードロックチャンバ1214によって、第1移送チャンバ1204に接続されている。ファクトリインタフェース1212は、第2ロードロックチャンバ1214の反対側では、1つ以上のポッド1216に連結されている。ポッド1216は典型的に、洗浄室側からアクセス可能な前方開口型統一ポッド(FOUP:front opening unified pod)である。
一実施形態において、処理システム1200は、CENTURA(登録商標)又はENDURA(登録商標)プラットフォームであり、いずれもカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。他の製造業者から入手可能な他の処理システムも、本明細書に記載される1つ以上の実施形態を実施するよう適合されうることに注意されたい。
ブロック110でのドライエッチングプロセス、ブロック112でのライナ堆積プロセス、並びに、ブロック114及び116での層スタック堆積プロセスが、「真空を破ることなく」処理システム1200内で実施される。本明細書では、「真空を破ることなく」という表現は、1のチャンバ、例えば第6のチャンバの真空環境と、第2のチャンバ、例えば第7のチャンバの真空環境との間で真空圧を維持しつつ、半導体構造200を周囲環境に曝露することなく、半導体構造200を移送するプロセスを指している。周囲環境において、半導体構造200は、製造されている半導体構造200の露出表面を損傷させうる粒子、水分、酸素等といった機械的及び化学的汚染物質に曝露される可能性がある。例えば、塩素の層が、陥凹金属ゲート602の表面で吸収され、そこで欠陥が生ぜしめられ、及び/又は他の望ましくない界面層(例えば、自然酸化物)が、転写中に層間で形成されうる。したがって、真空を破ることなく、処理システム1200内で方法100を実行することは、有利に、(i)半導体構造200を露出させるための待ち行列時間を最小に抑え、(ii)ブロック110の堆積とブロック112のALEプロセスとの間、及びブロック112のALEプロセスとブロック114の堆積プロセスとの間の、陥凹金属ゲート602の表面に吸収された塩素及び陥凹金属ゲート602の酸化に起因する陥凹金属ゲート602上の欠陥を防止し、(iii)ブロック114~120の層スタック堆積におけるライナ702、金属キャップ層802、及び層間誘電体902の酸化を防止する。
先の記述は、特定の実施形態を対象としているが、その基本的な範囲から逸脱することなく他の実施形態及びさらなる実施形態が考案されてよく、その範囲は、下記の特許請求の範囲によって定められる。

Claims (15)

  1. 半導体デバイス製造方法であって、
    ゲート層の第1の部分を除去して複数のトレンチを形成することによって、基板上に複数のゲートを形成することであって、前記ゲート層が、第1の金属で作製された導電層と、前記導電層に載置された、第1の誘電体材料で作製されたゲート誘電体層と、を含む、基板上に複数のゲートを形成することと、
    前記複数のトレンチのそれぞれの中に、第2の誘電体材料で前記複数のゲートのそれぞれの側壁上にエッチング停止層を形成することと、
    真空を破ることなく、処理システム内で前記基板上に第1の構造を形成することであって、
    前記複数のトレンチを第2の金属で充填し、前記第2の金属の第2の部分を部分的に除去することによって、前記複数のトレンチ内に陥凹活性領域を形成することと、
    前記陥凹活性領域のそれぞれの上に複数のライナを形成すること、及び、
    前記複数のライナのそれぞれの上に金属キャップ層を形成すること
    を含む、基板上に第1の構造を形成することと、
    前記第1の構造の上に第3の誘電体材料を堆積させることと、
    前記複数のゲートの表面、及び前記第1の構造上に配置された前記第3の誘電体材料の表面を含む平坦化された表面を形成することと、
    前記複数のトレンチ内の前記第3の誘電体材料の第3の部分を除去することによって、前記複数のトレンチ内にコンタクトビア開口部を形成すること
    を含む、半導体デバイス製造方法。
  2. 前記第1の誘電体材料が、窒化ケイ素、炭化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、二酸化ケイ素、シリコンオキシナイトライド、及び炭素がドープされた窒化ケイ素からなる群から選択された材料であり、
    前記第2の誘電体材料が、炭化ケイ素-窒化ホウ素、シリコンオキシカーバイドナイトライド、シリコンオキシナイトライド、窒化ケイ素、二酸化ケイ素、炭化ケイ素、シリコンナイトライドカーバイド、窒化ホウ素、シリコンボロンナイトライド、及び窒化チタンからなる群から選択された材料であり、
    前記第3の誘電体材料が、窒化ケイ素、炭化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、二酸化ケイ素、シリコンオキシナイトライド、及び炭素がドープされた窒化ケイ素からなる群から選択され、かつ誘電率が前記第1の誘電体材料の誘電率とは異なる材料である、請求項1に記載の半導体デバイス製造方法。
  3. 前記第1の金属が、タングステン、銅、ニッケル、コバルト、及び金属-半導体合金からなる群から選択された材料であり、前記第2の金属がコバルトである、請求項1に記載の半導体デバイス製造方法。
  4. 前記ライナが、窒化チタン、窒化タンタル、及び窒化タングステンからなる群から選択された材料で作製され、
    前記金属キャップ層が、タングステン及びルテニウムからなる群から選択された材料で作製される、請求項1に記載の半導体デバイス製造方法。
  5. 前記第1の構造の前記形成が、前記ライナ及び前記金属キャップ層のうちの1つの少なくとも厚さを測定することをさらに含む、請求項1に記載の半導体デバイス製造方法。
  6. 半導体デバイス製造方法であって、
    ゲート層の第1の部分を除去して複数のトレンチを形成することによって、基板上に複数のゲートを形成することであって、前記ゲート層が、第1の金属で作製された導電層と、前記導電層に載置された、第1の誘電体材料で作製されたゲート誘電体層と、を含む、基板上に複数のゲートを形成することと、
    前記複数のトレンチのそれぞれの中に、第2の誘電体材料で前記複数のゲートのそれぞれの側壁上にエッチング停止層を形成することと、
    真空を破ることなく、処理システム内で前記基板上に第1の構造を形成することであって、
    前記複数のトレンチを第2の金属で充填し、前記第2の金属の第2の部分を部分的に除去することによって、前記複数のトレンチ内に陥凹活性領域を形成することと、
    前記陥凹活性領域のそれぞれの上に複数のライナを形成すること、
    前記複数のライナのそれぞれの上に金属キャップ層を形成すること、及び、
    前記金属キャップ層の上に第3の誘電体材料を堆積させること
    を含む、前記基板上に第1の構造を形成することと、
    前記複数のゲートの表面、及び前記第1の構造の表面を含む平坦化された表面を形成することと、
    前記複数のトレンチ内の前記第3の誘電体材料の第3の部分を除去することによって、前記複数のトレンチ内にコンタクトビア開口部を形成すること
    を含む、半導体デバイス製造方法。
  7. 前記第1の誘電体材料が、窒化ケイ素、炭化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、二酸化ケイ素、シリコンオキシナイトライド、及び炭素がドープされた窒化ケイ素からなる群から選択された材料であり、
    前記第2の誘電体材料が、炭化ケイ素-窒化ホウ素、シリコンオキシカーバイドナイトライド、シリコンオキシナイトライド、窒化ケイ素、二酸化ケイ素、炭化ケイ素、シリコンナイトライドカーバイド、窒化ホウ素、シリコンボロンナイトライド、及び窒化チタンからなる群から選択された材料であり、
    前記第3の誘電体材料が、窒化ケイ素、炭化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、二酸化ケイ素、シリコンオキシナイトライド、及び炭素がドープされた窒化ケイ素からなる群から選択され、かつ誘電率が前記第1の誘電体材料の誘電率とは異なる材料である、請求項6に記載の半導体デバイス製造方法。
  8. 前記第1の金属が、タングステン、銅、ニッケル、コバルト、及び金属-半導体合金からなる群から選択された材料であり、前記第2の金属がコバルトである、請求項6に記載の半導体デバイス製造方法。
  9. 前記複数のライナが、窒化チタン、窒化タンタル、及び窒化タングステンからなる群から選択された材料で作製され、
    前記金属キャップ層が、タングステン及びルテニウムからなる群から選択された材料で作製される、請求項6に記載の半導体デバイス製造方法。
  10. 前記第1の構造の前記形成が、前記複数のライナ及び前記金属キャップ層のうちの1つの少なくとも厚さを測定することをさらに含む、請求項6に記載の半導体デバイス製造方法。
  11. 半導体構造であって、
    基板上の第1の金属で作製された第1のゲート及び第2のゲートと、
    前記第1のゲート及び前記第2のゲート上の、第1の誘電体材料で作製されたゲートキャップ層と、
    前記第1のゲートと前記第2のゲートとの間のトレンチと、
    前記トレンチ内に形成された、第2の金属で作製された陥凹活性領域と、
    前記第1のゲート及び前記第2のゲートの側壁上の、第2の誘電体材料で作製されたスペーサであって、前記第1のゲート及び前記第2のゲートから前記陥凹活性領域を電気的に絶縁するスペーサと、
    前記陥凹活性領域上に配置されたライナと、
    前記ライナ上に配置された金属キャップ層と、
    前記トレンチ内のコンタクトビア開口部と
    を備える、半導体構造。
  12. 前記第1の誘電体材料が、窒化ケイ素、炭化ケイ素、酸化アルミニウム、酸化ハフニウム、酸化ジルコニウム、二酸化ケイ素、シリコンオキシナイトライド、及び炭素がドープされた窒化ケイ素からなる群から選択された材料である、請求項11に記載の半導体構造。
  13. 前記第1の金属が、タングステン、銅、ニッケル、コバルト、及び金属-半導体合金からなる群から選択された材料であり、前記第2の金属がコバルトである、請求項11に記載の半導体構造。
  14. 前記ライナが、窒化チタン、窒化タンタル、及び窒化タングステンからなる群から選択された材料で作製される、請求項11に記載の半導体構造。
  15. 前記金属キャップ層が、タングステン及びルテニウムからなる群から選択された材料で作製される、請求項11に記載の半導体構造。
JP2021546218A 2019-02-11 2020-01-09 能動的なプロセスにわたるゲートコンタクト Active JP7343598B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201962804156P 2019-02-11 2019-02-11
US62/804,156 2019-02-11
US201962837847P 2019-04-24 2019-04-24
US62/837,847 2019-04-24
US16/442,797 US11004687B2 (en) 2019-02-11 2019-06-17 Gate contact over active processes
US16/442,797 2019-06-17
PCT/US2020/012927 WO2020167393A1 (en) 2019-02-11 2020-01-09 Gate contact over active processes

Publications (2)

Publication Number Publication Date
JP2022519703A JP2022519703A (ja) 2022-03-24
JP7343598B2 true JP7343598B2 (ja) 2023-09-12

Family

ID=71946292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021546218A Active JP7343598B2 (ja) 2019-02-11 2020-01-09 能動的なプロセスにわたるゲートコンタクト

Country Status (6)

Country Link
US (2) US11004687B2 (ja)
JP (1) JP7343598B2 (ja)
KR (1) KR102539652B1 (ja)
CN (1) CN113383426A (ja)
TW (1) TWI812840B (ja)
WO (1) WO2020167393A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437273B2 (en) * 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US20220302129A1 (en) * 2021-03-10 2022-09-22 Invention And Collaboration Laboratory Pte. Ltd. SRAM Cell Structures
US11855191B2 (en) 2021-10-06 2023-12-26 International Business Machines Corporation Vertical FET with contact to gate above active fin
US20240014076A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Selective capping of contact layer for cmos devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170194211A1 (en) 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20170194452A1 (en) 2016-01-04 2017-07-06 International Business Machines Corporation Contact first replacement metal gate
US20180006128A1 (en) 2016-06-29 2018-01-04 International Business Machines Corporation Method and structure for forming mosfet with reduced parasitic capacitance
JP2019102797A (ja) 2017-11-30 2019-06-24 インテル・コーポレーション 次世代型集積回路構造製造のためのトレンチコンタクト構造

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100443513B1 (ko) * 2001-12-22 2004-08-09 주식회사 하이닉스반도체 구리 금속배선 형성방법
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
KR20070082758A (ko) 2006-02-17 2007-08-22 삼성전자주식회사 반도체 소자의 제조 방법
US20080237743A1 (en) * 2007-03-30 2008-10-02 Texas Instruments Incorporated Integration Scheme for Dual Work Function Metal Gates
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9245894B2 (en) 2013-12-12 2016-01-26 Texas Instruments Incorporated Self aligned active trench contact
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9318384B2 (en) 2014-03-24 2016-04-19 International Business Machines Corporation Dielectric liner for a self-aligned contact via structure
US9653356B2 (en) * 2015-08-10 2017-05-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
DE102016118207B4 (de) * 2015-12-30 2024-08-01 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US10008497B2 (en) * 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10490452B2 (en) * 2017-06-30 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a semiconductor device
US10580684B2 (en) * 2018-04-11 2020-03-03 Globalfoundries Inc. Self-aligned single diffusion break for fully depleted silicon-on-insulator and method for producing the same
US10566453B2 (en) * 2018-06-29 2020-02-18 International Business Machines Corporation Vertical transistor contact for cross-coupling in a memory cell
US10937892B2 (en) * 2018-09-11 2021-03-02 International Business Machines Corporation Nano multilayer carbon-rich low-k spacer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170194211A1 (en) 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20170194452A1 (en) 2016-01-04 2017-07-06 International Business Machines Corporation Contact first replacement metal gate
US20180006128A1 (en) 2016-06-29 2018-01-04 International Business Machines Corporation Method and structure for forming mosfet with reduced parasitic capacitance
JP2019102797A (ja) 2017-11-30 2019-06-24 インテル・コーポレーション 次世代型集積回路構造製造のためのトレンチコンタクト構造

Also Published As

Publication number Publication date
CN113383426A (zh) 2021-09-10
US20200258744A1 (en) 2020-08-13
TW202046389A (zh) 2020-12-16
KR20210114073A (ko) 2021-09-17
WO2020167393A1 (en) 2020-08-20
US11462411B2 (en) 2022-10-04
TWI812840B (zh) 2023-08-21
KR102539652B1 (ko) 2023-06-01
US20210249270A1 (en) 2021-08-12
US11004687B2 (en) 2021-05-11
JP2022519703A (ja) 2022-03-24

Similar Documents

Publication Publication Date Title
JP7343598B2 (ja) 能動的なプロセスにわたるゲートコンタクト
US11322359B2 (en) Single process for liner and metal fill
US9209279B1 (en) Self aligned replacement fin formation
US11508583B2 (en) Selective high-k formation in gate-last process
US11164959B2 (en) VFET devices with ILD protection
CN110957259A (zh) 半导体装置的形成方法
US20160211172A1 (en) Integrated metal spacer and air gap interconnect
TW201926557A (zh) 半導體裝置和其製造方法
US12033899B2 (en) Self-aligned metal gate for multigate device
KR20210035032A (ko) 콘택트 플러그의 상향식 형성
US20190287971A1 (en) Finfet device with oxidation-resist sti liner structure
US11637042B2 (en) Self-aligned metal gate for multigate device
US20230387221A1 (en) Semiconductor device and method of manufacture
US11271103B2 (en) Semiconductor device and manufacturing process thereof
US20230077541A1 (en) Selective High-K Formation in Gate-Last Process
US20240355740A1 (en) Barrier Free Tungsten Liner in Contact Plugs and The Method Forming the Same
TW202232603A (zh) 半導體裝置的製造方法
CN115881794A (zh) 形成半导体器件的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211006

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230815

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230831

R150 Certificate of patent or registration of utility model

Ref document number: 7343598

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150