KR20230006054A - 진보된 집적 회로 구조체 제조를 위한 핀 패터닝 - Google Patents

진보된 집적 회로 구조체 제조를 위한 핀 패터닝 Download PDF

Info

Publication number
KR20230006054A
KR20230006054A KR1020227046027A KR20227046027A KR20230006054A KR 20230006054 A KR20230006054 A KR 20230006054A KR 1020227046027 A KR1020227046027 A KR 1020227046027A KR 20227046027 A KR20227046027 A KR 20227046027A KR 20230006054 A KR20230006054 A KR 20230006054A
Authority
KR
South Korea
Prior art keywords
fin
gate
layer
dielectric
semiconductor
Prior art date
Application number
KR1020227046027A
Other languages
English (en)
Inventor
커티스 와드
헤이디 엠. 메이어
마이클 엘. 하텐도르프
크리스토퍼 피 오쓰
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20230006054A publication Critical patent/KR20230006054A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 개시내용의 실시예들은 진보된 집적 회로 구조체 제조 분야에 관한 것인데, 특히 10 나노미터 노드 및 더 작은 집적 회로 구조체 제조 및 결과적인 구조체 분야에 관한 것이다. 일 예에서, 집적 회로 구조체는 제1 방향을 따라 가장 긴 치수를 갖는 제1 복수의 반도체 핀을 포함한다. 제1 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제1 방향에 직교하는 제2 방향으로 제1 양만큼 서로 이격된다. 제2 복수의 반도체 핀은 제1 방향을 따라 가장 긴 치수를 갖는다. 제2 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제2 방향으로 제1 양만큼 서로 이격되고, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 가장 가까운 반도체 핀들은 제2 방향으로 제2 양만큼 이격된다.

Description

진보된 집적 회로 구조체 제조를 위한 핀 패터닝{FIN PATTERNING FOR ADVANCED INTEGRATED CIRCUIT STRUCTURE FABRICATION}
[관련 출원에 대한 상호 참조]
본 출원은 2017년 11월 30일에 출원된, 발명의 명칭이 "ADVANCED INTEGRATED CIRCUIT STRUCTURE FABRICATION"인 미국 가출원 번호 제62/593,149호 - 그 전체 내용이 이로써 참조에 의해 본 명세서에 통합됨 - 의 이익을 주장한다.
본 개시내용의 실시예들은 진보된 집적 회로 구조체 제조 분야에 관한 것인데, 특히 10 나노미터 노드 및 더 작은 집적 회로 구조체 제조 및 결과적인 구조체 분야에 관한 것이다.
지난 수십년 동안, 집적 회로에서의 피처(feature)들의 스케일링(scaling)은 끊임없이 발전하는 반도체 산업 배후의 원동력이었다. 점점 더 작은 피처들로의 스케일링은 반도체 칩들의 제한된 면적(real estate)상에서의 기능 유닛들의 증가된 밀도를 가능하게 한다. 예를 들어, 트랜지스터 크기의 축소는 증가된 개수의 메모리 또는 로직 디바이스들을 칩에 통합할 수 있게 하여, 용량이 증가된 제품의 제조를 이끌어낸다. 그러나, 점점 더 큰 용량에 대한 추구가 문제가 없는 것은 아니다. 각각의 디바이스의 성능을 최적화할 필요성이 점점 더 중요해지고 있다.
종래의 그리고 현재 공지된 제조 공정에서의 변동성은 이들을 10 나노미터 노드 또는 10 나노미터 이하 노드 범위로 추가로 확장시킬 가능성을 제한할 수 있다. 결과적으로, 장래 기술 노드를 위해 필요한 기능 컴포넌트들의 제조는 새로운 방법론의 도입 또는 현재의 제조 공정에 대한 또는 현재의 제조 공정을 대신하는 새로운 기술의 통합을 필요로 할 수 있다.
도 1a는 층간 유전체(ILD) 층 상에 형성된 하드마스크 재료 층의 퇴적 이후이지만 패터닝 이전의 시작 구조체(starting structure)의 단면도를 예시한다.
도 1b는 피치 이등분(pitch halving)에 의한 하드마스크 층의 패터닝 이후의 도 1a의 구조체의 단면도를 예시한다.
도 2a는 본 개시내용의 실시예에 따른, 반도체 핀(semiconductor fin)들을 제조하기 위해 사용되는 피치 사등분(pitch quartering) 접근법의 개략도이다.
도 2b는 본 개시내용의 실시예에 따른, 피치 사등분 접근법을 사용하여 제조된 반도체 핀들의 단면도를 예시한다.
도 3a는 본 개시내용의 실시예에 따른, 반도체 핀들을 제조하기 위해 사용되는 병합된 핀 피치 사등분(merged fin pitch quartering) 접근법의 개략도이다.
도 3b는 본 개시내용의 실시예에 따른, 병합된 핀 피치 사등분 접근법을 사용하여 제조된 반도체 핀들의 단면도를 예시한다.
도 4a 내지 도 4c는 본 개시내용의 실시예에 따른, 복수의 반도체 핀을 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 5a는 본 개시내용의 실시예에 따른, 3층 트렌치 격리 구조체에 의해 분리된 한 쌍의 반도체 핀의 단면도를 예시한다.
도 5b는 본 개시내용의 또 다른 실시예에 따른, 또 다른 3층 트렌치 격리 구조체에 의해 분리된 또 다른 쌍의 반도체 핀의 단면도를 예시한다.
도 6a 내지 도 6d는 본 개시내용의 실시예에 따른, 3층 트렌치 격리 구조체의 제조에서의 다양한 동작들의 단면도를 예시한다.
도 7a 내지 도 7e는 본 개시내용의 실시예에 따른, 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 각진(angled) 3차원 단면도들을 예시한다.
도 8a 내지 도 8f는 본 개시내용의 실시예에 따른, 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들에 대한 도 7e의 a-a' 축을 따라 절취된 약간 투영된 단면도(slightly projected cross-sectional view)들을 예시한다.
도 9a는 본 개시내용의 실시예에 따른, 영구 게이트 스택(permanent gate stack)들 및 에피택셜 소스 또는 드레인 영역들을 포함하는 집적 회로 구조체에 대한 도 7e의 a-a' 축을 따라 절취된 약간 투영된 단면도를 예시한다.
도 9b는 본 개시내용의 실시예에 따른, 에피택셜 소스 또는 드레인 영역들 및 다층 트렌치 격리 구조체를 포함하는 집적 회로 구조체에 대한 도 7e의 b-b' 축을 따라 절취된 단면도를 예시한다.
도 10은 본 개시내용의 실시예에 따른, 소스 또는 드레인 위치에서 절취된 집적 회로 구조체의 단면도를 예시한다.
도 11은 본 개시내용의 실시예에 따른, 소스 또는 드레인 위치에서 절취된 또 다른 집적 회로 구조체의 단면도를 예시한다.
도 12a 내지 도 12d는 본 개시내용의 실시예에 따른, 소스 또는 드레인 위치에서 절취되고 집적 회로 구조체의 제조에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 13a 및 도 13b는 본 개시내용의 실시예에 따른, 국소 격리 구조체(local isolation structure)를 형성하기 위한 다중 게이트 간격(multi-gate spacing)을 갖는 핀들을 패터닝하는 방법에서의 다양한 동작들을 나타내는 평면도들을 예시한다.
도 14a 내지 도 14d는 본 개시내용의 또 다른 실시예에 따른, 국소 격리 구조체를 형성하기 위한 단일 게이트 간격(single gate spacing)을 갖는 핀들을 패터닝하는 방법에서의 다양한 동작들을 나타내는 평면도들을 예시한다.
도 15는 본 개시내용의 실시예에 따른, 국소 격리를 위한 다중 게이트 간격을 갖는 핀을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 16a는 본 개시내용의 또 다른 실시예에 따른, 국소 격리를 위한 단일 게이트 간격을 갖는 핀을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 16b는 본 개시내용의 실시예에 따른, 핀 격리 구조체가 게이트 전극 대신에 형성될 수 있는 위치들을 보여주는 단면도를 예시한다.
도 17a 내지 도 17c는 본 개시내용의 실시예에 따른, 핀 트림 격리(fin trim isolation) 접근법을 사용하여 제조된 핀 컷(fin cut)에 대한 다양한 깊이 가능성들을 예시한다.
도 18은 본 개시내용의 실시예에 따른, 핀 내의 핀 컷들의 국소 위치 대 보다 더 넓은 위치의 깊이에 대한 가능한 옵션들을 보여주는 평면도 및 a-a' 축을 따라 절취된 대응하는 단면도를 예시한다.
도 19a 및 도 19b는 본 개시내용의 실시예에 따른, 넓은 컷을 갖는 핀의 단부들에서 핀 단부 스트레서(fin end stressor) 위치들을 선택하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 20a 및 도 20b는 본 개시내용의 실시예에 따른, 국소 컷을 갖는 핀의 단부들에서 핀 단부 스트레서 위치들을 선택하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 21a 내지 도 21m은 본 개시내용의 실시예에 따른, 차별화된 핀 단부 유전체 플러그들을 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작의 단면도들을 예시한다.
도 22a 내지 도 22d는 본 개시내용의 실시예에 따른, PMOS 핀 단부 스트레서 유전체 플러그의 예시적인 구조체들의 단면도들을 예시한다.
도 23a는 본 개시내용의 또 다른 실시예에 따른, 핀 단부 응력 유도 피처(fin-end stress-inducing feature)들을 갖는 또 다른 반도체 구조체의 단면도를 예시한다.
도 23b는 본 개시내용의 또 다른 실시예에 따른, 핀 단부 응력 유도 피처들을 갖는 또 다른 반도체 구조체의 단면도를 예시한다.
도 24a는 본 개시내용의 실시예에 따른, 인장 단축 응력(tensile uniaxial stress)을 갖는 핀의 각진 뷰(angled view)를 예시한다.
도 24b는 본 개시내용의 실시예에 따른, 압축 단축 응력(compressive uniaxial stress)을 갖는 핀의 각진 뷰를 예시한다.
도 25a 및 도 25b는 본 개시내용의 실시예에 따른, 선택 게이트 라인 컷 위치들에 국소 격리 구조체를 형성하기 위해 단일 게이트 간격을 갖는 핀들을 패터닝하는 방법에서의 다양한 동작들을 나타내는 평면도들을 예시한다.
도 26a 내지 도 26c는 본 개시내용의 실시예에 따른, 도 25b의 구조체의 다양한 영역들에 대한 폴리 컷 및 핀 트림 격리(fin trim isolation, FTI) 국소 핀 컷 위치들 및 폴리 컷 전용 위치(poly cut only location)들에 대한 유전체 플러그들에 대한 다양한 가능성들의 단면도들을 예시한다.
도 27a는 본 개시내용의 실시예에 따른, 게이트 라인의 유전체 스페이서들 내로 연장되는 유전체 플러그로 커팅된 게이트 라인을 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도를 예시한다.
도 27b는 본 개시내용의 또 다른 실시예에 따른, 게이트 라인의 유전체 스페이서들을 넘어 연장되는 유전체 플러그로 커팅된 게이트 라인을 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도를 예시한다.
도 28a 내지 도 28f는 본 개시내용의 또 다른 실시예에 따른, 게이트 라인의 유전체 스페이서들을 넘어 연장되는 상부 부분 및 게이트 라인의 유전체 스페이서들 내로 연장되는 하부 부분을 갖는 유전체 플러그로 커팅된 게이트 라인을 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 29a 내지 도 29c는 본 개시내용의 실시예에 따른, 영구 게이트 스택의 하단 부분들에 잔여 더미 게이트 재료(residual dummy gate material)를 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도들을 예시한다.
도 30a 내지 도 30d는 본 개시내용의 또 다른 실시예에 따른, 영구 게이트 스택의 하단 부분들에 잔여 더미 게이트 재료를 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 31a는 본 개시내용의 실시예에 따른, 강유전성(ferroelectric) 또는 반강유전성(antiferroelectric) 게이트 유전체 구조체를 갖는 반도체 디바이스의 단면도를 예시한다.
도 31b는 본 개시내용의 또 다른 실시예에 따른, 강유전성 또는 반강유전성 게이트 유전체 구조체를 갖는 또 다른 반도체 디바이스의 단면도를 예시한다.
도 32a는 본 개시내용의 실시예에 따른, 한 쌍의 반도체 핀 위의 복수의 게이트 라인의 평면도를 예시한다.
도 32b는 본 개시내용의 실시예에 따른, 도 32a의 a-a' 축을 따라 절취된 단면도를 예시한다.
도 33a는 본 개시내용의 실시예에 따른, 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 NMOS 디바이스 및 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 PMOS 디바이스의 단면도들을 예시한다.
도 33b는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 NMOS 디바이스 및 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 PMOS 디바이스의 단면도들을 예시한다.
도 34a는 본 개시내용의 실시예에 따른, 차별화된 게이트 전극 구조체에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛(triplet)의 NMOS 디바이스 및 차별화된 게이트 전극 구조체에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 PMOS 디바이스의 단면도들을 예시한다.
도 34b는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 NMOS 디바이스 및 차별화된 게이트 전극 구조체에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 PMOS 디바이스의 단면도들을 예시한다.
도 35a 내지 도 35d는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 NMOS 디바이스들을 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 36a 내지 도 36d는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 PMOS 디바이스들을 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 37은 본 개시내용의 실시예에 따른, P/N 접합(P/N junction)을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 38a 내지 도 38h는 본 개시내용의 실시예에 따른, 듀얼 금속 게이트 대체 게이트 공정 흐름을 사용하여 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
도 39a 내지 도 39h는 본 개시내용의 실시예에 따른, 듀얼 실리사이드 기반 집적 회로를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 40a는 본 개시내용의 실시예에 따른, NMOS 디바이스에 대한 트렌치 콘택트(trench contact)들을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 40b는 본 개시내용의 또 다른 실시예에 따른, PMOS 디바이스에 대한 트렌치 콘택트들을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 41a는 본 개시내용의 실시예에 따른, 소스 또는 드레인 영역 상에 전도성 콘택트를 갖는 반도체 디바이스의 단면도를 예시한다.
도 41b는 본 개시내용의 실시예에 따른, 융기된 소스 또는 드레인 영역 상에 전도성을 갖는 또 다른 반도체 디바이스의 단면도를 예시한다.
도 42는 본 개시내용의 실시예에 따른, 한 쌍의 반도체 핀 위의 복수의 게이트 라인의 평면도를 예시한다.
도 43a 내지 도 43c는 본 개시내용의 실시예에 따른, 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들에 대한 도 42의 a-a' 축을 따라 절취된 단면도들을 예시한다.
도 44는 본 개시내용의 실시예에 따른, 집적 회로 구조체에 대한 도 42의 b-b' 축을 따라 절취된 단면도를 예시한다.
도 45a 및 도 45b는, 제각기, 본 개시내용의 실시예에 따른, 그 상에 하드마스크 재료를 갖는 트렌치 콘택트 플러그들을 포함하는 집적 회로 구조체의 평면도 및 대응하는 단면도를 예시한다.
도 46a 내지 도 46d는 본 개시내용의 실시예에 따른, 트렌치 콘택트 플러그들 - 그 상에 하드마스크 재료를 가짐- 을 포함하는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 47a는 게이트 전극의 비활성 부분 위에 배치된 게이트 콘택트를 갖는 반도체 디바이스의 평면도를 예시한다. 도 47b는 게이트 전극의 비활성 부분 위에 배치된 게이트 콘택트를 갖는 비평면 반도체 디바이스의 단면도를 예시한다.
도 48a는 본 개시내용의 실시예에 따른, 게이트 전극의 활성 부분 위에 배치된 게이트 콘택트 비아를 갖는 반도체 디바이스의 평면도를 예시한다. 도 48b는 본 개시내용의 실시예에 따른, 게이트 전극의 활성 부분 위에 배치된 게이트 콘택트 비아를 갖는 비-평면 반도체 디바이스의 단면도를 예시한다.
도 49a 내지 도 49d는 본 개시내용의 실시예에 따른, 게이트의 활성 부분 위에 배치된 게이트 콘택트 구조체를 갖는 반도체 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 50은 본 개시내용의 실시예에 따른, 위에 놓인(overlying) 절연 캡 층을 포함하는 트렌치 콘택트들을 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도들을 예시한다.
도 51a 내지 도 51f는 본 개시내용의 실시예에 따른, 다양한 집적 회로 구조체들 - 각각이 위에 놓인 절연 캡 층을 포함하는 트렌치 콘택트들을 갖고 위에 놓인 절연 캡 층을 포함하는 게이트 스택들을 가짐 - 의 단면도들을 예시한다.
도 52a는 본 개시내용의 또 다른 실시예에 따른, 게이트의 활성 부분 위에 배치된 게이트 콘택트 비아를 갖는 또 다른 반도체 디바이스의 평면도를 예시한다.
도 52b는 본 개시내용의 또 다른 실시예에 따른, 한 쌍의 트렌치 콘택트를 결합하는 트렌치 콘택트 비아를 갖는 또 다른 반도체 디바이스의 평면도를 예시한다.
도 53a 내지 도 53e는 본 개시내용의 실시예에 따른, 위에 놓인 절연 캡 층을 갖는 게이트 스택을 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 54는 본 개시내용의 실시예에 따른, 인터커넥트 구조체들에 대한 트렌치들을 제조하기 위해 사용되는 피치 사등분 접근법의 개략도이다.
도 55a는 본 개시내용의 실시예에 따른, 피치 사등분 스킴을 사용하여 제조된 금속화 층의 단면도를 예시한다.
도 55b는 본 개시내용의 실시예에 따른, 피치 사등분 스킴을 사용하여 제조된 금속화 층 위쪽의 피치 이등분 스킴을 사용하여 제조된 금속화 층의 단면도를 예시한다.
도 56a는 본 개시내용의 실시예에 따른, 상이한 금속 라인 조성을 갖는 금속화 층 위쪽에 한 금속 라인 조성을 갖는 금속화 층을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 56b는 본 개시내용의 실시예에 따른, 상이한 금속 라인 조성을 갖는 금속화 층에 결합된 한 금속 라인 조성을 갖는 금속화 층을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 57a 내지 도 57c는 본 개시내용의 실시예에 따른, 다양한 라이너 및 전도성 캐핑(capping) 구조적 배열들을 갖는 개개의 인터커넥트 라인들의 단면도들을 예시한다.
도 58은 본 개시내용의 실시예에 따른, 상이한 금속 라인 조성 및 더 작은 피치를 갖는 2개의 금속화 층 위쪽에 한 금속 라인 조성 및 피치를 갖는 4개의 금속화 층을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 59a 내지 도 59d는 본 개시내용의 실시예에 따른, 하단 전도성 층을 갖는 다양한 인터커넥트 라인 및 비아 배열들의 단면도들을 예시한다.
도 60a 내지 도 60d는 본 개시내용의 실시예에 따른, BEOL 금속화 층의 리세싱된 라인 토포그래피에 대한 구조적 배열들의 단면도들을 예시한다.
도 61a 내지 도 61d는 본 개시내용의 실시예에 따른, BEOL 금속화 층의 계단형 라인 토포그래피에 대한 구조적 배열들의 단면도들을 예시한다.
도 62a는 본 개시내용의 실시예에 따른, 금속화 층의 평면도 및 평면도의 a-a' 축을 따라 절취된 대응하는 단면도를 예시한다.
도 62b는 본 개시내용의 실시예에 따른, 라인 단부 또는 플러그의 단면도를 예시한다.
도 62c는 본 개시내용의 실시예에 따른, 라인 단부 또는 플러그의 또 다른 단면도를 예시한다.
도 63a 내지 도 63f는 본 개시내용의 실시예에 따른, 플러그 최종(plug last) 처리 스킴에서의 다양한 동작들을 나타내는 평면도들 및 대응하는 단면도들을 예시한다.
도 64a는 본 개시내용의 실시예에 따른, 그 내에 심(seam)을 갖는 전도성 라인 플러그의 단면도를 예시한다.
도 64b는 본 개시내용의 실시예에 따른, 하부 금속 라인 위치에 전도성 라인 플러그를 포함하는 금속화 층들의 스택의 단면도를 예시한다.
도 65는 메모리 셀에 대한 셀 레이아웃의 제1 뷰를 예시한다.
도 66은 본 개시내용의 실시예에 따른, 내부 노드 점퍼를 갖는 메모리 셀에 대한 셀 레이아웃의 제1 뷰를 예시한다.
도 67은 메모리 셀에 대한 셀 레이아웃의 제2 뷰를 예시한다.
도 68은 본 개시내용의 실시예에 따른, 내부 노드 점퍼를 갖는 메모리 셀에 대한 셀 레이아웃의 제2 뷰를 예시한다.
도 69는 메모리 셀에 대한 셀 레이아웃의 제3 뷰를 예시한다.
도 70은 본 개시내용의 실시예에 따른, 내부 노드 점퍼를 갖는 메모리 셀에 대한 셀 레이아웃의 제3 뷰를 예시한다.
도 71a 및 도 71b는, 제각기, 본 개시내용의 실시예에 따른, 6 트랜지스터(6T) 정적 랜덤 액세스 메모리(SRAM)에 대한 비트 셀 레이아웃 및 개략도를 예시한다.
도 72는 본 개시내용의 실시예에 따른, 동일한 표준 셀에 대한 2개의 상이한 레이아웃의 단면도들을 예시한다.
도 73은 본 개시내용의 실시예에 따른, 짝수(E) 또는 홀수(O) 지정을 표시하는 4개의 상이한 셀 배열의 평면도들을 예시한다.
도 74는 본 개시내용의 실시예에 따른, 블록 레벨 폴리 그리드의 평면도를 예시한다.
도 75는 본 개시내용의 실시예에 따른, 상이한 버전들을 갖는 표준 셀들에 기초한 예시적인 용인가능한(합격(pass)) 레이아웃을 예시한다.
도 76은 본 개시내용의 실시예에 따른, 상이한 버전들을 갖는 표준 셀들에 기초한 예시적인 용인가능하지 않은(불합격(fail)) 레이아웃을 예시한다.
도 77은 본 개시내용의 실시예에 따른, 상이한 버전들을 갖는 표준 셀들에 기초한 또 다른 예시적인 용인가능한(합격) 레이아웃을 예시한다.
도 78은 본 개시내용의 실시예에 따른, 핀 기반 박막 저항기 구조체의 부분 절단 평면도 및 대응하는 단면도를 예시하며, 여기서 단면도는 부분 절단 평면도의 a-a' 축에 따라 절취된다.
도 79 내지 도 83은 본 개시내용의 실시예에 따른, 핀 기반 박막 저항기 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 평면도들 및 대응하는 단면도들을 예시한다.
도 84는 본 개시내용의 실시예에 따른, 애노드 또는 캐소드 전극 콘택트들에 대한 각종의 예시적인 위치들을 갖는 핀 기반 박막 저항기 구조체의 평면도를 예시한다.
도 85a 내지 도 85d는 본 개시내용의 실시예에 따른, 핀 기반 정밀 저항기를 제조하기 위한 다양한 핀 기하형태들의 평면도들을 예시한다.
도 86은 본 개시내용의 실시예에 따른, 리소그래피 마스크 구조체의 단면도를 예시한다.
도 87은 본 개시내용의 일 구현에 따른 컴퓨팅 디바이스를 예시한다.
도 88은 본 개시내용의 하나 이상의 실시예를 포함하는 인터포저(interposer)를 예시한다.
도 89는 본 개시내용의 실시예에 따른, 본 명세서에 설명된 하나 이상의 공정에 따라 제조된 또는 본 명세서에 설명된 하나 이상의 피처를 포함하는 IC를 이용하는 모바일 컴퓨팅 플랫폼의 아이소메트릭 뷰(isometric view)이다.
도 90은 본 개시내용의 실시예에 따른, 플립 칩 마운팅 방식 다이(flip-chip mounted die)의 단면도를 예시한다.
진보된 집적 회로 구조체 제조가 설명된다. 이하 설명에서, 본 개시내용의 실시예들의 철저한 이해를 제공하기 위해, 특정 집적 및 재료 체제(regime)들과 같은, 수많은 특정 상세 사항들이 제시된다. 본 개시내용의 실시예들이 이 특정 상세 사항들 없이 실시될 수 있다는 것이 관련 기술분야의 통상의 기술자에게는 명백할 것이다. 다른 경우들에서, 집적 회로 설계 레이아웃들과 같은, 공지된 특징들은 본 개시내용의 실시예들을 불필요하게 불명료하게 하지 않기 위해서 상세히 기술되지 않는다. 더욱이, 도면들에 도시된 다양한 실시예들은 예시적 표현들이고 반드시 축척에 따라 그려지지는 않았다는 점을 알아야 한다.
이하 상세한 설명은 사실상 단지 예시적인 것이고 발명의 주제 또는 응용의 실시예들 및 이러한 실시예들의 사용을 제한하고자 하는 것은 아니다. 본 명세서에서 사용되는 바로는, 단어 "예시적인"은 "예, 사례 또는 예시의 역할을 하는 것"을 의미한다. 예시적인 것으로 본 명세서에서 설명된 임의의 구현이 반드시 다른 구현보다 양호하거나 유리한 것으로 해석되는 것은 아니다. 더욱이, 선행하는 기술 분야, 배경기술, 간략한 요약 또는 이하의 상세한 설명에 제시되는 임의의 표현된 또는 암시된 이론에 의해 구속되려는 의도는 없다.
본 명세서는 "일 실시예(one embodiment)" 또는 "실시예(an embodiment)"에 대한 언급들을 포함한다. "일 실시예에서" 또는 "실시예에서"라는 문구들의 출현은 반드시 동일한 실시예를 가리키는 것은 아니다. 특정한 특징들, 구조들, 또는 특성들은 본 개시내용과 일치하는 임의의 적절한 방식으로 조합될 수 있다.
용어. 이하의 단락들은 본 개시내용(첨부된 청구항들을 포함함)에서 발견되는 용어들에 대한 정의 또는 맥락을 제공한다.
"포함하는". 이 용어는 개방형(open-ended)이다. 첨부된 청구항들에서 사용되는 바로는, 이 용어는 부가의 구조 또는 동작들을 배제하지 않는다.
"하도록 구성된(Configured to)". 다양한 유닛들 또는 컴포넌트들이 태스크 또는 태스크들을 수행하도록 "구성되는(configured to)" 것으로서 설명되거나 청구될 수 있다. 이러한 맥락에서, "하도록 구성된"은 유닛들 또는 컴포넌트들이 동작 동안 그 태스크 또는 태스크들을 수행하는 구조를 포함한다는 것을 나타냄으로써 구조를 암시하기 위해 사용된다. 이와 같이, 유닛 또는 컴포넌트는 특정된 유닛 또는 컴포넌트가 현재 동작하지 않을 (예컨대, 온이 아니거나 활성이 아닐) 때에도 태스크를 수행하도록 구성된 것으로 말해질 수 있다. 유닛 또는 회로 또는 컴포넌트가 하나 이상의 태스크를 수행 "하도록 구성되어" 있다는 언급은 그 유닛 또는 컴포넌트에 대해 35 USC §112, 여섯 번째 단락을 적용하지 않는 것으로 명시적으로 의도되어 있다.
"제1", "제2" 등. 본 명세서에서 사용되는 바로는, 이 용어들은 그 뒤에 나오는 명사들에 대한 라벨들로서 사용되며, 임의 유형의 순서부여(ordering)(예컨대, 공간적, 시간적, 논리적, 기타)를 암시하지 않는다.
"결합된(Coupled)" - 이하의 설명은 서로 "결합된" 요소들 또는 노드들 또는 피처들을 언급한다. 본 명세서에서 사용되는 바로는, 명시적으로 달리 언급되지 않는 한, "결합된"은 하나의 요소 또는 노드 또는 피처가 또 다른 요소 또는 노드 또는 피처에, 꼭 기계적으로가 아니라, 직접적으로 또는 간접적으로 조인(join)되어 있다(또는 그와 직접적으로 또는 간접적으로 통신한다)는 것을 의미한다.
그에 부가하여, 특정 용어가 또한 이하의 설명에서 참조 목적으로만 사용될 수 있으며, 따라서 제한하는 것으로 의도되어 있지 않다. 예를 들어, "상부", "하부", "위쪽에(above)", 및 "아래에(below)"와 같은 용어들은 참조가 이루어지는 도면들에서의 방향들을 지칭한다. "전방(front)", "후방(back)", "배면(rear)", "측면(side)", "외측(outboard)" 및 "내측(inboard)"과 같은 용어들은 논의 중인 컴포넌트를 기술하는 텍스트 및 연관된 도면들을 참조하여 분명하게 되는 일관성 있지만 임의적인 참조 프레임 내에서의 컴포넌트의 부분들의 오리엔테이션 또는 위치 또는 둘 다를 기술한다. 이러한 용어는 앞서 특정적으로 언급된 단어들, 그 파생어들, 및 유사한 의미의 단어들을 포함할 수 있다.
"금지(inhibit)" - 본 명세서에서 사용되는 바로는, 금지는 감소 또는 최소화 효과를 설명하기 위해 사용된다. 컴포넌트 또는 특징이 액션, 모션, 또는 조건을 금지하는 것으로 설명될 때, 이는 완전히 결과 또는 결과 또는 미래의 상태를 완전히 방지할 수 있다. 추가적으로, "금지"는 또한 그렇지 않았더라면 발생할 수 있는 결과, 성능, 또는 효과의 감소 또는 완화를 지칭할 수 있다. 그에 따라, 컴포넌트, 요소, 또는 특징이 결과 또는 상태를 금지하는 것으로 지칭되는 경우, 이는 결과 또는 상태를 완전히 방지 또는 제거할 필요는 없다.
본 명세서에 설명된 실시예들은 FEOL(front-end-of-line) 반도체 처리 및 구조체들에 관한 것일 수 있다. FEOL은 개개의 디바이스들(예컨대, 트랜지스터들, 커패시터들, 저항기들, 기타)이 반도체 기판 또는 층에 패터닝되는 집적 회로(IC) 제조의 전반부이다. FEOL은 일반적으로 금속 인터커넥트 층들의 퇴적까지의 모든 것을 커버한다(그러나 퇴적은 포함하지 않음). 마지막 FEOL 동작 이후에, 결과는 전형적으로 격리된 트랜지스터들을 갖는 웨이퍼이다(예컨대, 어떠한 와이어들도 갖지 않음).
본 명세서에 설명된 실시예들은 BEOL(back end of line) 반도체 처리 및 구조체들에 관한 것일 수 있다. BEOL은 개개의 디바이스들(예컨대, 트랜지스터들, 커패시터들, 저항기들, 기타)이 웨이퍼상의 배선(wiring), 예컨대, 금속화 층 또는 층들에 의해 인터커넥트되는 IC 제조의 후반부이다. BEOL은 콘택트들, 절연 층들(유전체들), 금속 레벨들, 및 칩-투-패키지 간(chip-to-package) 연결들을 위한 본딩 사이트(bonding site)들을 포함한다. 제조 국면의 BEOL 부분에서, 콘택트들(패드들), 인터커넥트 와이어들, 비아들 및 유전체 구조체들이 형성된다. 현대적 IC 공정들의 경우, 10개를 넘는 금속 층이 BEOL에서 추가될 수 있다.
이하에서 기술되는 실시예들은 FEOL 처리 및 구조체들, BEOL 처리 및 구조체들, 또는 FEOL 및 BEOL 처리 및 구조체들 둘 다에 적용가능할 수 있다. 특히, 비록 예시적인 처리 스킴이 FEOL 처리 시나리오를 사용하여 예시될 수 있지만, 이러한 접근법이 또한 BEOL 처리에 적용가능할 수 있다. 마찬가지로, 비록 예시적인 처리 스킴이 BEOL 처리 시나리오를 사용하여 예시될 수 있지만, 이러한 접근법이 또한 FEOL 처리에 적용가능할 수 있다.
피치 분할 처리 및 패터닝 스킴들이 본 명세서에 설명된 실시예들을 가능하게 하도록 구현될 수 있거나 또는 본 명세서에 설명된 실시예들의 일부로서 포함될 수 있다. 피치 분할 패터닝은 전형적으로 피치 이등분, 피치 사등분, 기타를 지칭한다. 피치 분할 스킴들은 FEOL 처리, BEOL 처리, 또는 FEOL(디바이스) 및 BEOL(금속화) 처리 둘 다에 적용가능할 수 있다. 본 명세서에 설명된 하나 이상의 실시예에 따르면, 단방향 라인들(예컨대, 엄격하게 단방향 또는 주로 단방향)을 미리 정의된 피치로 인쇄하기 위해 광학 리소그래피가 먼저 구현된다. 이어서 피치 분할 처리가 라인 밀도를 증가시키기 위한 기술로서 구현된다.
실시예에서, 핀들, 게이트 라인들, 금속 라인들, ILD 라인들 또는 하드마스크 라인들에 대한 "그레이팅 구조체(grating structure)"라는 용어는 본 명세서에서 조밀 피치 그레이팅 구조체(tight pitch grating structure)를 지칭하기 위해 사용된다. 하나의 이러한 실시예에서, 조밀 피치가 선택된 리소그래피를 통해 직접적으로 달성가능하지는 않다. 예를 들어, 선택된 리소그래피에 기초한 패턴이 먼저 형성될 수 있지만, 본 기술분야에 공지된 바와 같이, 스페이서 마스크 패터닝의 사용에 의해 피치가 이등분될 수 있다. 더구나, 원래의 피치는 스페이서 마스크 패터닝의 제2 라운드에 의해 사등분될 수 있다. 그에 따라, 본 명세서에 설명된 그레이팅 유사 패턴(grating-like pattern)들은 실질적으로 일정한 피치로 이격되고 실질적으로 일정한 폭을 갖는 금속 라인들, ILD 라인들 또는 하드마스크 라인들을 가질 수 있다. 예를 들어, 일부 실시예들에서는, 피치 변동이 10% 이내일 것이고 폭 변동이 10% 이내일 것이며, 일부 실시예들에서는, 피치 변동이 5% 이내일 것이고 폭 변동이 5% 이내일 것이다. 패턴은 피치 이등분 또는 피치 사등분 접근법, 또는 다른 피치 분할 접근법에 의해 제조될 수 있다. 실시예에서, 그레이팅이 반드시 단일 피치일 필요는 없다.
제1 예에서, 제조된 그레이팅 구조체의 라인 밀도를 2배로 하기 위해 피치 이등분이 구현될 수 있다. 도 1a는 층간 유전체(ILD) 층 상에 형성된 하드마스크 재료 층의 퇴적 이후이지만 패터닝 이전의 시작 구조체(starting structure)의 단면도를 예시한다. 도 1b는 피치 이등분(pitch halving)에 의한 하드마스크 층의 패터닝 이후의 도 1a의 구조체의 단면도를 예시한다.
도 1a를 참조하면, 시작 구조체(100)는 층간 유전체(ILD) 층(102) 상에 형성된 하드마스크 재료 층(104)을 갖는다. 하드마스크 재료 층(104) 위쪽에 패터닝된 마스크(106)가 배치된다. 패터닝된 마스크(106)는 그것의 피처들(라인들)의 측벽들을 따라 하드마스크 재료 층(104) 상에 형성된 스페이서들(108)을 갖는다.
도 1b를 참조하면, 하드마스크 재료 층(104)이 피치 이등분 접근법으로 패터닝된다. 구체적으로는, 패터닝된 마스크(106)가 먼저 제거된다. 스페이서들(108)의 결과적인 패턴은 마스크(106)의 피처들이나 피치의 절반, 또는 밀도의 2배를 갖는다. 도 1b에 묘사된 바와 같이, 스페이서들(108)의 패턴이 패터닝된 하드마스크(110)를 형성하도록, 예컨대, 에칭 공정에 의해, 하드마스크 재료 층(104)에 전사된다. 하나의 이러한 실시예에서, 패터닝된 하드마스크(110)는 단방향 라인들을 가지는 그레이팅 패턴을 갖도록 형성된다. 패터닝된 하드마스크(110)의 그레이팅 패턴은 조밀 피치 그레이팅 구조체(tight pitch grating structure)일 수 있다. 예를 들어, 조밀 피치가 선택된 리소그래피 기술을 통해 직접적으로 달성가능하지는 않을 수 있다. 더욱이, 비록 도시되지는 않았지만, 원래의 피치는 스페이서 마스크 패터닝의 제2 라운드에 의해 사등분될 수 있다. 그에 따라, 도 1b의 패터닝된 하드마스크(110)의 그레이팅 유사 패턴은, 서로에 대해 일정한 폭을 가지며 일정한 피치로 이격되는 하드마스크 라인들을 가질 수 있다. 달성되는 치수들은 이용된 리소그래피 기술의 임계 치수보다 훨씬 더 작을 수 있다.
그에 따라, FEOL(front-end of line) 또는 BEOL(back-end of line) 집적 스킴들 중 어느 하나 또는 둘 다에 대해, 블랭킷 막(blanket film)은, 예컨대, SBDP(spacer-based-double-patterning) 또는 피치 이등분, 또는 SBQP(spacer-based-quadruple-patterning) 또는 피치 사등분을 수반할 수 있는 리소그래피 및 에칭 공정을 사용하여 패터닝될 수 있다. 다른 피치 분할 접근법들도 또한 구현될 수 있다는 점을 알아야 한다. 어느 경우든, 실시예에서, 그리드형 레이아웃(gridded layout)은, 193nm 액침 리소그래피(immersion lithography)(193i)와 같은 선택된 리소그래피 접근법에 의해 제조될 수 있다. 피치 분할은 n의 인수(a factor of n)만큼 그리드형 레이아웃에서의 라인들의 밀도를 증가시키기 위해 구현될 수 있다. 193i 리소그래피와 'n'의 인수에 의한 피치 분할을 이용한 그리드형 레이아웃 형성은 193i + P/n 피치 분할로서 표기될 수 있다. 하나의 이러한 실시예에서, 193nm 액침 스케일링(immersion scaling)은 비용 효과적인 피치 분할을 갖는 많은 세대에 대해 확장될 수 있다.
집적 회로 디바이스들의 제조에 있어서, 디바이스 치수가 계속해서 축소됨에 따라 트라이게이트 트랜지스터(tri-gate transistor)와 같은 다중 게이트 트랜지스터가 더 보편적이 되고 있다. 트라이게이트 트랜지스터들은 일반적으로 벌크 실리콘 기판들 또는 실리콘-온-인슐레이터(silicon-on-insulator) 기판들 중 어느 하나 상에 제조된다. 일부 예들에서, 벌크 실리콘 기판들은 이들의 낮은 비용과 기존의 고 수율 벌크 실리콘 기판 인프라스트럭처와의 양립성 때문에 선호된다.
그러나, 다중 게이트 트랜지스터의 스케일링은 부작용이 있었다. 마이크로전자 회로의 이들 기본 빌딩 블록들의 치수들이 감소됨에 따라 그리고 주어진 영역에 제조되는 기본 빌딩 블록들의 순수 개수가 증가됨에 따라, 이들 빌딩 블록들을 제조하기 위해 사용되는 반도체 공정들에 대한 제약 조건들이 압도적이게 되었다.
본 개시내용의 하나 이상의 실시예에 따르면, 반도체 핀들을 형성하도록 반도체 층을 패터닝하기 위해 피치 사등분 접근법이 구현된다. 하나 이상의 실시예에서, 병합된 핀 피치 사등분 접근법이 구현된다.
도 2a는 본 개시내용의 실시예에 따른, 반도체 핀(semiconductor fin)들을 제조하기 위해 사용되는 피치 사등분(pitch quartering) 접근법(200)의 개략도이다. 도 2b는 본 개시내용의 실시예에 따른, 피치 사등분 접근법을 사용하여 제조된 반도체 핀들의 단면도를 예시한다.
도 2a를 참조하면, 동작 (a)에서, 포토레지스트 층(PR)이 포토레지스트 피처들(202)을 형성하도록 패터닝된다. 포토레지스트 피처들(202)은, 193 액침 리소그래피와 같은 표준 리소그래피 처리 기술을 사용하여 패터닝될 수 있다. 동작 (b)에서, 제1 백본(backbone)(BB1) 피처들(204)을 형성하도록, 절연 또는 유전체 하드마스크 층과 같은 재료 층을 패터닝하기 위해 포토레지스트 피처들(202)이 사용된다. 이어서 제1 스페이서(SP1) 피처들(206)이 제1 백본 피처들(204)의 측벽들에 인접하여 형성된다. 동작 (c)에서, 제1 스페이서 피처들(206)만을 남겨두도록 제1 백본 피처들(204)이 제거된다. 제1 백본 피처들(204)의 제거 이전에 또는 그 동안에, 도 2a에 묘사된 바와 같이, 제1 스페이서 피처들(206)이 시닝된(thinned) 제1 스페이서 피처들(206')을 형성하도록 시닝될 수 있다. 이 시닝(thinning)은, BB2 피처들(208, 이하에서 기술됨)에 필요한 요구된 간격 및 크기에 의존하여, BB1(피처(204)) 제거 이전에(묘사된 바와 같이) 또는 그 이후에 수행될 수 있다. 동작 (d)에서, 제2 백본(BB2) 피처들(208)을 형성하도록, 절연 또는 유전체 하드마스크 층과 같은 재료 층을 패터닝하기 위해 제1 스페이서 피처들(206) 또는 시닝된 제1 스페이서 피처들(206')이 사용된다. 이어서 제2 스페이서(SP2) 피처들(210)이 제2 백본 피처들(208)의 측벽들에 인접하여 형성된다. 동작 (e)에서, 제2 스페이서 피처들(210)만을 남겨두도록 제2 백본 피처들(208)이 제거된다. 이어서, 남아 있는 제2 스페이서 피처들(210)은 초기 패터닝된 포토레지스트 피처들(202)에 상대적으로 피치 사등분된 치수를 갖는 복수의 반도체 핀을 제공하도록 반도체 층을 패터닝하기 위해 사용될 수 있다. 일 예로서, 도 2b를 참조하면, 벌크 실리콘 층으로부터 형성된 실리콘 핀들과 같은 복수의 반도체 핀(250)이 제2 스페이서 피처들(210)을 패터닝, 예컨대, 건식 또는 플라스마 에칭 패터닝을 위한 마스크로서 사용하여 형성된다. 도 2b의 예에서, 복수의 반도체 핀(250)은 전체에 걸쳐 본질적으로 동일한 피치 및 간격을 갖는다.
초기 패터닝된 포토레지스트 피처들 사이의 간격이 피치 사등분 공정의 구조적 결과를 변화시키도록 수정될 수 있다는 점을 알아야 한다. 일 예에서, 도 3a는 본 개시내용의 실시예에 따른, 반도체 핀들을 제조하기 위해 사용되는 병합된 핀 피치 사등분 접근법(300)의 개략도이다. 도 3b는 본 개시내용의 실시예에 따른, 병합된 핀 피치 사등분 접근법을 사용하여 제조된 반도체 핀들의 단면도를 예시한다.
도 3a를 참조하면, 동작 (a)에서, 포토레지스트 층(PR)이 포토레지스트 피처들(302)을 형성하도록 패터닝된다. 포토레지스트 피처들(302)은, 193 액침 리소그래피와 같은 표준 리소그래피 처리 기술을 사용하여 그러나 균일한 피치 곱 패턴(uniform pitch multiplied pattern)을 생성하는 데 요구된 설계 규칙들과 궁극적으로 간섭할 수 있는 간격(예컨대, 서브 설계 규칙 공간(sub design rule space)이라고 지칭되는 간격)으로 패터닝될 수 있다. 동작 (b)에서, 제1 백본(BB1) 피처들(304)을 형성하도록, 절연 또는 유전체 하드마스크 층과 같은 재료 층을 패터닝하기 위해 포토레지스트 피처들(302)이 사용된다. 이어서, 제1 스페이서(SP1) 피처들(306)이 제1 백본 피처들(304)의 측벽들에 인접하여 형성된다. 그렇지만, 도 2a에 예시된 스킴과는 대조적으로, 인접한 제1 스페이서 피처들(306) 중 일부가 더 조밀한 포토레지스트 피처들(302)의 결과로서 병합된 스페이서 피처들이 된다. 동작 (c)에서, 제1 스페이서 피처들(306)만을 남겨두도록 제1 백본 피처들(304)이 제거된다. 제1 백본 피처들(304)의 제거 이전에 또는 그 이후에, 도 3a에 묘사된 바와 같이, 제1 스페이서 피처들(306) 중 일부가 시닝된 제1 스페이서 피처들(306')을 형성하도록 시닝될 수 있다. 동작 (d)에서, 제2 백본(BB2) 피처들(308)을 형성하도록, 절연 또는 유전체 하드마스크 층과 같은 재료 층을 패터닝하기 위해 제1 스페이서 피처들(306) 및 시닝된 제1 스페이서 피처들(306')이 사용된다. 이어서 제2 스페이서(SP2) 피처들(310)이 제2 백본 피처들(308)의 측벽들에 인접하여 형성된다. 그렇지만, 도 3a의 중앙 BB2 피처들(308)에서와 같이, BB2 피처들(308)이 병합된 피처들인 위치들에는, 제2 스페이서들이 형성되지 않는다. 동작 (e)에서, 제2 스페이서 피처들(310)만을 남겨두도록 제2 백본 피처들(308)이 제거된다. 이어서, 남아 있는 제2 스페이서 피처들(310)은 초기 패터닝된 포토레지스트 피처들(302)에 상대적으로 피치 사등분된 치수를 갖는 복수의 반도체 핀을 제공하도록 반도체 층을 패터닝하기 위해 사용될 수 있다.
일 예로서, 도 3b를 참조하면, 벌크 실리콘 층으로부터 형성된 실리콘 핀들과 같은 복수의 반도체 핀(350)이 제2 스페이서 피처들(310)을 패터닝, 예컨대, 건식 또는 플라스마 에칭 패터닝을 위한 마스크로서 사용하여 형성된다. 그렇지만, 도 3b의 예에서, 복수의 반도체 핀(350)은 다양한 피치 및 간격을 갖는다. 이러한 병합된 핀 스페이서 패터닝 접근법은 복수의 핀의 패턴의 특정 위치들에서 핀의 존재를 근본적으로 제거하도록 구현될 수 있다. 그에 따라, 특정 위치들에서 제1 스페이서 피처들(306)을 병합하는 것은, 도 2a 및 도 2b와 관련하여 기술된 바와 같이, 전형적으로 8개의 핀을 생성하는 2개의 제1 백본 피처(304)에 기초하여 6개 또는 4개의 핀을 제조하는 것을 허용한다. 일 예에서, 핀들을 균일한 피치로 생성하는 것 그리고 이어서 불필요한 핀들을 커팅하는 것에 의해 정상적으로 허용될 것보다 보드 내 핀(in board fin)들이 더 조밀한 피치를 갖기는 하지만, 전자의 접근법이 본 명세서에 설명된 실시예들에 따라 여전히 구현될 수 있다.
예시적인 실시예에서, 도 3b를 참조하면, 집적 회로 구조체에서, 제1 복수의 반도체 핀(352)은 제1 방향(y, 지면으로 들어가는 방향)을 따라 가장 긴 치수를 갖는다. 제1 복수의 반도체 핀(352)의 인접한 개개의 반도체 핀들(353)이 제1 방향(y)에 직교하는 제2 방향(x)에서 제1 양(S11)만큼 서로 이격되어 있다. 제2 복수의 반도체 핀(354)은 제1 방향(y)을 따라 가장 긴 치수를 갖는다. 제2 복수의 반도체 핀(354)의 인접한 개개의 반도체 핀들(355)은 제2 방향에서 제1 양(S1)만큼 서로 이격되어 있다. 제1 복수의 반도체 핀(352) 및 제2 복수의 반도체 핀(354)의 가장 가까운 반도체 핀들(356 및 357)은, 제각기, 제2 방향(x)에서 제2 양(S2)만큼 서로 이격되어 있다. 한 실시예에서는, 제2 양(S2)이 제1 양(S1)보다는 크지만 제1 양(S1)의 두 배보다는 작다. 또 다른 실시예에서는, 제2 양(S2)이 제1 양(S1)의 두 배를 초과한다.
일 실시예에서, 제1 복수의 반도체 핀(352) 및 제2 복수의 반도체 핀(354)은 실리콘을 포함한다. 일 실시예에서, 제1 복수의 반도체 핀(352) 및 제2 복수의 반도체 핀(354)은 아래에 놓인(underlying) 단결정질 실리콘 기판(monocrystalline silicon substrate)과 연속적이다. 일 실시예에서, 제1 복수의 반도체 핀(352) 및 제2 복수의 반도체 핀(354)의 개개의 것들은 제1 복수의 반도체 핀(352) 및 제2 복수의 반도체 핀(354)의 개개의 것들의 상단으로부터 하단으로 제2 방향(x)를 따라 외측으로 테이퍼링되는(outwardly tapering) 측벽들을 갖는다. 일 실시예에서, 제1 복수의 반도체 핀(352)은 정확히 5개의 반도체 핀을 갖고, 제2 복수의 반도체 핀(354)은 정확히 5개의 반도체 핀을 갖는다.
또 다른 예시적인 실시예에서, 도 3a 및 도 3b를 참조하면, 집적 회로 구조체를 제조하는 방법은 제1의 1차 백본 구조체(first primary backbone structure)(304)(좌측 BB1) 및 제2의 1차 백본 구조체(304)(우측 BB1)를 형성하는 단계를 포함한다. 제1의 1차 백본 구조체(304)(좌측 BB1) 및 제2의 1차 백본 구조체(304)(우측 BB1)의 측벽들에 인접하여 1차 스페이서 구조체들(306)이 형성된다. 제1의 1차 백본 구조체(304)(좌측 BB1)와 제2의 1차 백본 구조체(304)(우측 BB1) 사이의 1차 스페이서 구조체들(306)이 병합된다. 제1의 1차 백본 구조체(좌측 BB1) 및 제2의 1차 백본 구조체(우측 BB1)가 제거되고, 제1, 제2, 제3, 및 제4의 2차 백본 구조체(secondary backbone structure)들(308)이 제공된다. 제2의 및 제3의 2차 백본 구조체들(예컨대, 2차 백본 구조체들(308)의 중앙 쌍)이 병합된다. 2차 스페이서 구조체들(310)이 제1, 제2, 제3, 및 제4의 2차 백본 구조체들(308)의 측벽들에 인접하여 형성된다. 이어서 제1, 제2, 제3, 및 제4의 2차 백본 구조체들(308)이 제거된다. 이어서 반도체 재료가, 반도체 재료에 반도체 핀들(350)을 형성하도록 2차 스페이서 구조체들(310)을 이용해 패터닝된다.
일 실시예에서, 제1의 1차 백본 구조체(304)(좌측 BB1) 및 제2의 1차 백본 구조체(304)(우측 BB1)는 제1의 1차 백본 구조체와 제2의 1차 백본 구조체 사이에 서브 설계 규칙 간격(sub-design rule spacing)을 갖도록 패터닝된다. 일 실시예에서, 반도체 재료는 실리콘을 포함한다. 일 실시예에서, 반도체 핀들(350)의 개개의 것들은 반도체 핀들(350)의 개개의 것들의 상단으로부터 하단으로 제2 방향(x)을 따라 외측으로 테이퍼링되는 측벽들을 갖는다. 일 실시예에서, 반도체 핀들(350)은 아래에 놓인 단결정질 실리콘 기판과 연속적이다. 일 실시예에서, 반도체 재료를 2차 스페이서 구조체들(310)을 이용해 패터닝하는 것은 제1 방향(y)을 따라 가장 긴 치수를 갖는 제1 복수의 반도체 핀(352)을 형성하는 것을 포함하며, 여기서 제1 복수의 반도체 핀(352)의 인접한 개개의 반도체 핀들은 제1 방향(y)에 직교하는 제2 방향(x)에서 제1 양(S1)만큼 서로 이격되어 있다. 제1 방향(y)을 따라 가장 긴 치수를 갖는 제2 복수의 반도체 핀(354)이 형성되고, 여기서 제2 복수의 반도체 핀(354)의 인접한 개개의 반도체 핀들은 제2 방향(x)에서 제1 양(S1)만큼 서로 이격되어 있다. 제1 복수의 반도체 핀(352) 및 제2 복수의 반도체 핀(354)의 가장 가까운 반도체 핀들(356 및 357)은, 제각기, 제2 방향(x)에서 제2 양(S2)만큼 서로 이격되어 있다. 실시예에서는, 제2 양(S2)이 제1 양(S1)보다 더 크다. 하나의 이러한 실시예에서는, 제2 양(S2)이 제1 양(S1)의 두 배보다 더 작다. 또 다른 이러한 실시예에서는, 제2 양(S2)이 제1 양(S1)보다 두 배를 초과하고 세 배 미만으로 크다. 실시예에서, 도 3b에 묘사된 바와 같이, 제1 복수의 반도체 핀(352)은 정확히 5개의 반도체 핀을 갖고, 제2 복수의 반도체 핀(254)은 정확히 5개의 반도체 핀을 갖는다.
또 다른 양태에서, 병합된 핀 접근법에 대한 대안으로서 핀 제거가 수행되는 핀 트림 공정에서, 핀들이 하드마스크 패터닝 동안 또는 핀을 물리적으로 제거하는 것에 의해 트리밍(제거)될 수 있다는 점을 알아야 한다. 후자의 접근법의 일 예로서, 도 4a 내지 도 4c는 본 개시내용의 실시예에 따른, 복수의 반도체 핀을 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시하고 있다.
도 4a를 참조하면, 패터닝된 하드마스크 층(402)이, 벌크 단결정질 실리콘 층(bulk single crystalline silicon layer)과 같은 반도체 층(404) 위쪽에 형성된다. 도 4b를 참조하면, 이어서 핀들(406)이, 예컨대, 건식 또는 플라즈마 에칭 공정에 의해 반도체 층(404)에 형성된다. 도 4c를 참조하면, 선택된 핀들(406)이, 예컨대, 마스킹 및 에칭 공정을 사용하여 제거된다. 도시된 예에서, 도 4c에 묘사된 바와 같이, 핀들(406) 중 하나가 제거되고, 잔류 핀 스터브(remnant fin stub)(408)를 남길 수 있다. 이러한 "핀 트림 라스트(fin trim last)" 접근법에서, 하드마스크(402)가 개개의 피처들의 제거 또는 수정 없이 그레이팅 구조체를 제공하도록 전체적으로 패터닝된다. 핀들이 제조된 이후가 되어서야 핀 집단(fin population)이 수정된다.
또 다른 양태에서, STI(shallow trench isolation) 구조체라고 지칭될 수 있는, 다층 트렌치 격리 영역이 반도체 핀들 사이에 구현될 수 있다. 실시예에서, 실리콘 핀들의 서브 핀 영역들을 정의하기 위해 벌크 실리콘 기판에 형성된 실리콘 핀들 사이에 다층 STI 구조체가 형성된다.
핀들 또는 트라이게이트 기반 트랜지스터들에 대해 벌크 실리콘을 사용하는 것이 바람직할 수 있다. 그러나, 디바이스(예를 들어, 게이트 제어된 영역 또는 HSi)의 활성 실리콘 핀 부분 아래의 영역들(서브 핀)이 줄어들거나 게이트 제어를 할 수 없는 상황에 있을 수 있다는 관심사가 있다. 이와 같이, 소스 또는 드레인 영역들이 HSi 지점에 또는 그 아래에 있는 경우, 서브 핀 영역을 통한 누설 경로들이 존재할 수 있다. 적절한 디바이스 동작을 위해 서브 핀 영역에서의 누설 경로들이 제어되어야만 하는 경우일 수 있다.
이상의 문제들을 해결하는 하나의 접근법은, 서브 핀 영역이 고농도로 도핑되는(heavily doped)(예컨대, 2E18/cm3보다 훨씬 더 큼) - 이는 서브 핀 누설을 차단(shut off)시키지만 핀에서의 상당한 도핑을 역시 초래함 - 웰 주입(well implant) 동작들의 사용을 수반하였다. 헤일로 주입(halo implant)들의 부가는 라인 끝(end of line) 핀들이 고 레벨로 도핑되도록(예컨대, 대략 1E18/cm3보다 더 큼) 핀 도핑을 추가로 증가시킨다.
또 다른 접근법은 핀들의 HSi 부분들에 동일한 레벨의 도핑을 꼭 전달하지 않고서 서브 핀 도핑을 통해 제공되는 도핑을 수반한다. 공정들은 벌크 실리콘 웨이퍼들 상에 제조된 트라이게이트 또는 FinFET 트랜지스터들의 서브 핀 영역들을, 예컨대, 트라이게이트 도핑된 유리 서브 핀 외부 확산(tri-gate doped glass sub-fin out-diffusion)을 통해, 선택적으로 도핑하는 것을 수반할 수 있다. 예를 들어, 트라이게이트 또는 FinFET 트랜지스터들의 서브 핀 영역을 선택적으로 도핑하는 것은 서브 핀 누설을 완화시키면서 동시에 핀 도핑을 낮게 유지할 수 있다. 핀 측벽들로부터 리세싱된 후에 트랜지스터 공정 흐름에 고체 상태 도핑 소스들(예컨대, p-형 및 n-형 도핑된 산화물들, 질화물들, 또는 탄화물들)을 포함시키는 것은 핀 보디를 상대적으로 도핑되지 않은 채로 유지하면서 서브 핀 영역 내로 웰 도핑을 전달한다.
따라서, 공정 스킴들은 핀 에칭에 후속하여 핀들 상에 퇴적된 고체 소스 도핑 층(예컨대, 붕소 도핑된 산화물)의 사용을 포함할 수 있다. 나중에, 트렌치 충전(trench fill) 및 연마(polish) 후에, 도핑 층은 디바이스에 대한 핀 높이(Hsi)를 정의하기 위해 트렌치 충전 재료와 함께 리세싱된다. 동작은 Hsi 위쪽의 핀 측벽들로부터 도핑 층을 제거한다. 따라서, 도핑 층은 서브 핀 영역에서 핀 측벽들을 따라서만 존재하며, 이는 도핑 배치(doping placement)의 정확한 제어를 보장한다. 드라이브-인 어닐링(drive-in anneal) 이후에, 높은 도핑(high doping)이 서브 핀 영역에 제한되어, (트랜지스터의 채널 영역을 형성하는) HSi 위쪽의 핀의 인접 영역에서 낮은 도핑(low doping)으로 신속하게 전이한다. 일반적으로, BSG(borosilicate glass)는 NMOS 핀 도핑을 위해 구현되는 반면, PSG(phosphosilicate) 또는 AsSG(arsenic-silicate glass) 층은 PMOS 핀 도핑을 위해 구현된다. 일 예에서, 이러한 P-형 고체 상태 도펀트 소스 층은 대략 0.1 내지 10 중량 퍼센트(weight %) 범위의 붕소 농도를 갖는 BSG 층이다. 또 다른 예에서, 이러한 N-형 고체 상태 도펀트 소스 층은 대략 0.1 내지 10 중량 퍼센트 범위의 인 농도 또는 비소 농도를, 제각기 갖는 PSG 층 또는 AsSG 층이다. 실리콘 질화물 캐핑 층이 도핑 층 상에 포함될 수 있고, 실리콘 이산화물 또는 실리콘 산화물 충전 재료가 이어서 실리콘 질화물 캐핑 층 상에 포함될 수 있다.
본 개시내용의 또 다른 실시예에 따르면, 도핑되지 않은(undoped) 또는 저농도로 도핑된(lightly doped) 실리콘 산화물 또는 실리콘 이산화물 막이 핀에 바로 인접하여 형성되고, 실리콘 질화물 층이 도핑되지 않은 또는 저농도로 도핑된 실리콘 산화물 또는 실리콘 이산화물 막 상에 형성되며, 실리콘 이산화물 또는 실리콘 산화물 충전 재료가 실리콘 질화물 캐핑 층 상에 포함되는, 상대적으로 더 얇은 핀들(예컨대, 대략 20 나노미터 미만의 폭을 갖는 핀들)에 대해 서브 핀 누설이 충분히 낮다. 서브 핀 영역들의, 헤일로 도핑과 같은 도핑이 또한 이러한 구조체로 구현될 수 있다는 점을 알아야 한다.
도 5a는 본 개시내용의 실시예에 따른, 3층 트렌치 격리 구조체에 의해 분리된 한 쌍의 반도체 핀의 단면도를 예시한다.
도 5a를 참조하면, 집적 회로 구조체는, 실리콘 핀과 같은 핀(502)을 포함한다. 핀(502)은 하부 핀 부분(서브 핀)(502A) 및 상부 핀 부분(502B)(HSi)을 갖는다. 제1 절연 층(504)은 핀(502)의 하부 핀 부분(502A)의 측벽들 상에 바로 다음에 있다. 제2 절연 층(506)은 핀(502)의 하부 핀 부분(502A)의 측벽들 상에 바로 다음에 있는 제1 절연 층(504) 상에 직접적으로 있다. 유전체 충전 재료(508)는 핀(502)의 하부 핀 부분(502A)의 측벽들 상에 바로 다음에 있는 제1 절연 층(504) 상에 바로 다음에 있는 제2 절연 층(506)에 측방향으로 바로 다음에 인접해 있다.
실시예에서, 제1 절연 층(504)은, 예컨대, 실리콘 산화물 또는 실리콘 이산화물 절연 층과 같은 실리콘 및 산소를 포함하는 비 도핑된(non-doped) 절연 층이다. 실시예에서, 제1 절연 층(504)은 실리콘 및 산소를 포함하고 1E15 atoms/cm3보다 더 큰 원자 농도를 갖는 어떤 다른 원자 종(atomic species)도 갖지 않는다. 실시예에서, 제1 절연 층(504)은 0.5 내지 2 나노미터 범위의 두께를 갖는다.
실시예에서, 제2 절연 층(506)은, 화학량론적 Si3N4 실리콘 질화물 절연 층, 실리콘 풍부(silicon-rich) 실리콘 질화물 절연 층, 또는 실리콘 부족(silicon-poor) 실리콘 질화물 절연 층과 같은 실리콘 및 질소를 포함한다. 실시예에서, 제2 절연 층(506)은 2 내지 5 나노미터 범위의 두께를 갖는다.
실시예에서, 유전체 충전 재료(508)는 실리콘 산화물 및 실리콘 이산화물 절연 층과 같은 실리콘 및 산소를 포함한다. 실시예에서, 게이트 전극이 궁극적으로 핀(502)의 상부 핀 부분(502B)의 상단 위에 그리고 핀(502)의 상부 핀 부분(502B)의 측벽들에 측방향으로 인접하게 형성된다.
처리 동안, 반도체 핀들의 상부 핀 부분들이 침식되거나 소비될 수 있다는 점을 알아야 한다. 또한, 핀들 사이의 트렌치 격리 구조체들이 또한 비 평면 토포그래피를 갖도록 침식될 수 있거나 또는 제조 시에 비 평면 토포그래피로 형성될 수 있다. 일 예로서, 도 5b는 본 개시내용의 또 다른 실시예에 따른, 또 다른 3층 트렌치 격리 구조체에 의해 분리된 또 다른 쌍의 반도체 핀의 단면도를 예시한다.
도 5b를 참조하면, 집적 회로 구조체는 실리콘 핀과 같은 제1 핀(552)을 포함한다. 제1 핀(552)은 하부 핀 부분(552A) 및 상부 핀 부분(552B) 그리고 하부 핀 부분(552A)과 상부 핀 부분(552B) 사이의 영역에 있는 숄더 피처(shoulder feature)(554)를 갖는다. 제2 실리콘 핀과 같은 제2 핀(562)은 하부 핀 부분(562A) 및 상부 핀 부분(562B) 그리고 하부 핀 부분(562A)과 상부 핀 부분(562B) 사이의 영역에 있는 숄더 피처(564)를 갖는다. 제1 절연 층(574)은 제1 핀(552)의 하부 핀 부분(552A)의 측벽들 상에 바로 다음에 그리고 제2 핀(562)의 하부 핀 부분(562A)의 측벽들 상에 바로 다음에 있다. 제1 절연 층(574)은 제1 핀(552)의 숄더 피처(554)와 실질적으로 동일 평면(co-planar)에 있는 제1 단부 부분(574A)을 갖고, 제1 절연 층(574)은 제2 핀(562)의 숄더 피처(564)와 실질적으로 동일 평면에 있는 제2 단부 부분(574B)을 추가로 갖는다. 제2 절연 층(576)은 제1 핀(552)의 하부 핀 부분(552A)의 측벽들 상에 바로 다음에 그리고 제2 핀(562)의 하부 핀 부분(562A)의 측벽들 상에 바로 다음에 있는 제1 절연 층(574) 상에 바로 다음에 있다.
유전체 충전 재료(578)는 제1 핀(552)의 하부 핀 부분(552A)의 측벽들 상에 바로 다음에 그리고 제2 핀(562)의 하부 핀 부분(562A)의 측벽들 상에 바로 다음에 있는 제1 절연 층(574) 상에 바로 다음에 있는 제2 절연 층(576)에 측방향으로 바로 다음에 인접해 있다. 실시예에서, 도 5b에 묘사된 바와 같이, 유전체 충전 재료(578)는 상부 표면(578A)을 가지며, 여기서 유전체 충전 재료(578)의 상부 표면(578A)의 한 부분은 제1 핀(552)의 숄더 피처들(554) 중 적어도 하나 아래에 그리고 제2 핀(562)의 숄더 피처들(564) 중 적어도 하나 아래에 있다.
실시예에서, 제1 절연 층(574)은, 예컨대, 실리콘 산화물 또는 실리콘 이산화물 절연 층과 같은, 실리콘 및 산소를 포함하는 비-도핑된 절연 층이다. 실시예에서, 제1 절연 층(574)은 실리콘 및 산소를 포함하고 1E15 atoms/cm3보다 더 큰 원자 농도를 갖는 어떤 다른 원자 종도 갖지 않는다. 실시예에서, 제1 절연 층(574)은 0.5 내지 2 나노미터 범위의 두께를 갖는다.
실시예에서, 제2 절연 층(576)은, 화학량론적 Si3N4 실리콘 질화물 절연 층, 실리콘 풍부 실리콘 질화물 절연 층, 또는 실리콘 부족 실리콘 질화물 절연 층과 같은 실리콘 및 질소를 포함한다. 실시예에서, 제2 절연 층(576)은 2 내지 5 나노미터 범위의 두께를 갖는다.
실시예에서, 유전체 충전 재료(578)는, 실리콘 산화물 및 실리콘 이산화물 절연 층과 같은 실리콘 및 산소를 포함한다. 실시예에서, 게이트 전극은 궁극적으로 제1 핀(552)의 상부 핀 부분(552B)의 상단 위에 그리고 제1 핀(552)의 상부 핀 부분(552B)의 측벽들에 측방향으로 인접하게, 그리고 제2 핀(562)의 상부 핀 부분(562B)의 상단 위에 그리고 제2 핀(562)의 상부 핀 부분(562B)의 측벽들에 측방향으로 인접하게 형성된다. 게이트 전극이 제1 핀(552)과 제2 핀(562) 사이의 유전체 충전 재료(578) 위에 추가로 있다.
도 6a 내지 도 6d는 본 개시내용의 실시예에 따른, 3층 트렌치 격리 구조체의 제조에서의 다양한 동작들의 단면도를 예시한다.
도 6a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 실리콘 핀과 같은 핀(602)을 형성하는 단계를 포함한다. 도 6b에 묘사된 바와 같이, 제1 절연 층(604)은 핀(602) 상에 바로 다음에 그리고 핀(602)과 등각으로(conformal) 형성된다. 실시예에서, 제1 절연 층(604)은 실리콘 및 산소를 포함하고, 1E15 atoms/cm3보다 더 큰 원자 농도를 갖는 다른 원자 종을 갖지 않는다.
도 6c를 참조하면, 제2 절연 층(606)은 제1 절연 층(604) 상에 바로 다음에 그리고 제1 절연 층(604)과 등각으로 형성된다. 실시예에서, 제2 절연 층(606)은 실리콘 및 질소를 포함한다. 도 6d에 묘사된 바와 같이, 유전체 충전 재료(608)는 제2 절연 층(606) 상에 바로 다음에 형성된다.
실시예에서, 방법은 (예컨대, 도 5a 및 도 5b의 상부 핀 부분들(502B, 552B 또는 562B)과 같은) 노출된 상부 핀 부분(602A)을 갖는 핀(602)을 제공하도록 유전체 충전 재료(608), 제1 절연 층(604) 및 제2 절연 층(606)을 리세싱하는 단계를 추가로 수반한다. 결과적인 구조체는 도 5a 또는 도 5b와 관련하여 기술된 바와 같을 수 있다. 실시예에서, 유전체 충전 재료(608), 제1 절연 층(604) 및 제2 절연 층(606)을 리세싱하는 단계는 습식 에칭 공정을 사용하는 단계를 수반한다. 또 다른 실시예에서, 유전체 충전 재료(608), 제1 절연 층(604) 및 제2 절연 층(606)을 리세싱하는 단계는 플라스마 에칭 또는 건식 에칭 공정을 사용하는 단계를 수반한다.
실시예에서, 제1 절연 층(604)은 화학적 기상 퇴적(chemical vapor deposition) 공정을 사용하여 형성된다. 실시예에서, 제2 절연 층(606)은 화학적 기상 퇴적 공정을 사용하여 형성된다. 실시예에서, 유전체 충전 재료(608)는 스핀-온(spin-on) 공정을 사용하여 형성된다. 하나의 이러한 실시예에서, 유전체 충전 재료(608)는 스핀-온 재료이고, 실리콘 및 산소를 포함하는 경화된 재료를 제공하도록, 예컨대, 리세스 에칭 공정 이전에 또는 그 이후에 증기 처리(steam treatment)에 노출된다. 실시예에서, 게이트 전극은 궁극적으로 핀(602)의 상부 핀 부분의 상단 위에 그리고 핀(602)의 상부 핀 부분의 측벽들에 측방향으로 인접하게 형성된다.
또 다른 양태에서, 게이트 측벽 스페이서 재료가 후속 처리 동작들 동안 특정 트렌치 격리 영역들의 침식에 대한 보호물로서 그 트렌치 격리 영역들 위에 유지될 수 있다. 예를 들어, 도 7a 내지 도 7e는 본 개시내용의 실시예에 따른, 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 각진 3차원 단면도들을 예시하고 있다.
도 7a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 실리콘 핀과 같은 핀(702)을 형성하는 단계를 포함한다. 핀(702)은 하부 핀 부분(702A) 및 상부 핀 부분(702B)을 갖는다. 절연 구조체(704)는 핀(702)의 하부 핀 부분(702A)의 측벽들에 바로 인접하여 형성된다. 게이트 구조체(706)는 상부 핀 부분(702B) 위에 그리고 절연 구조체(704) 위에 형성된다. 실시예에서, 게이트 구조체는 희생 게이트 유전체 층(706A), 희생 게이트(706B), 및 하드마스크(706C)를 포함하는 플레이스홀더(placeholder) 또는 더미(dummy) 게이트 구조체이다. 유전체 재료(708)는 핀(702)의 상부 핀 부분(702B)과 등각으로, 게이트 구조체(706)와 등각으로, 그리고 절연 구조체(704)와 등각으로 형성된다.
도 7b를 참조하면, 하드마스크 재료(710)가 유전체 재료(708) 위에 형성된다. 실시예에서, 하드마스크 재료(710)는 스핀-온 공정을 사용하여 형성된 탄소계(carbon-based) 하드마스크 재료이다.
도 7c를 참조하면, 하드마스크 재료(710)가 리세싱된 하드마스크 재료(712)를 형성하도록 그리고 핀(702)의 상부 핀 부분(702B)과 등각인 그리고 게이트 구조체(706)와 등각인 유전체 재료(708)의 한 부분을 노출시키도록 리세싱된다. 리세싱된 하드마스크 재료(712)는 절연 구조체(704)와 등각인 유전체 재료(708)의 한 부분을 커버한다. 실시예에서, 하드마스크 재료(710)는 습식 에칭 공정을 사용하여 리세싱된다. 또 다른 실시예에서, 하드마스크 재료(710)는 애싱(ash), 건식 에칭 또는 플라스마 에칭 공정을 사용하여 리세싱된다.
도 7d를 참조하면, 유전체 재료(708)가 패터닝된 유전체 재료(714)를 게이트 구조체(706)의 측벽들을 따라(유전체 스페이서들(714A)로서), 핀(702)의 상부 핀 부분(702B)의 측벽들의 부분들을 따라, 그리고 절연 구조체(704) 위에 형성하도록 이방성으로 에칭된다.
도 7e를 참조하면, 리세싱된 하드마스크 재료(712)가 도 7d의 구조체로부터 제거된다. 실시예에서, 게이트 구조체(706)는 더미 게이트 구조체이고, 후속 처리는 게이트 구조체(706)를 영구 게이트 유전체 및 게이트 전극 스택으로 대체하는 것을 포함한다. 실시예에서, 추가 처리는, 이하에서 보다 상세히 기술되는 바와 같이, 게이트 구조체(706)의 대향 측면들 상에 임베디드 소스 또는 드레인 구조체들을 형성하는 것을 포함한다.
도 7e를 또다시 참조하면, 일 실시예에서, 집적 회로 구조체(700)는, 제1 실리콘 핀과 같은, 제1 핀(왼쪽 702)을 포함하고, 제1 핀은 하부 핀 부분(702A) 및 상부 핀 부분(702B)을 갖는다. 집적 회로 구조체는, 제2 실리콘 핀과 같은 제2 핀(우측 702)을 추가로 포함하고, 제2 핀은 하부 핀 부분(702A) 및 상부 핀 부분(702B)을 갖는다. 절연 구조체(704)는 제1 핀의 하부 핀 부분(702A)의 측벽들에 바로 인접해 있고 그리고 제2 핀의 하부 핀 부분(702A)의 측벽들에 바로 인접해 있다. 게이트 전극(706)은 제1 핀(좌측 702)의 상부 핀 부분(702B) 위에, 제2 핀(우측 702)의 상부 핀 부분(702B) 위에, 그리고 절연 구조체(704)의 제1 부분(704A) 위에 있다. 제1 유전체 스페이서(714A)는 제1 핀(좌측 702)의 상부 핀 부분(702B)의 측벽을 따라 있고, 제2 유전체 스페이서(702C)는 제2 핀(우측 702)의 상부 핀 부분(702B)의 측벽을 따라 있다. 제2 유전체 스페이서(714C)는 제1 핀(좌측 702)과 제2 핀(우측 702) 사이의 절연 구조체(704)의 제2 부분(704B) 위에서 제1 유전체 스페이서(714B)와 연속적이다.
실시예에서, 제1 및 제2 유전체 스페이서들(714B 및 714C)은, 화학량론적 Si3N4 실리콘 질화물 재료, 실리콘 풍부 실리콘 질화물 재료, 또는 실리콘 부족 실리콘 질화물 재료와 같은 실리콘 및 질소를 포함한다.
실시예에서, 도 9b와 관련하여 이하에서 기술되는 바와 같이, 집적 회로 구조체(700)는 게이트 전극(706)의 대향 측면들 상에 임베디드 소스 또는 드레인 구조체들을 추가로 포함하며, 임베디드 소스 또는 드레인 구조체들은 제1 및 제2 핀들(702)의 상부 핀 부분들(702B)의 측벽들을 따라 있는 제1 및 제2 유전체 스페이서들(714B 및 714C)의 상단 표면 아래에 하단 표면을 갖고, 소스 또는 드레인 구조체들은 제1 및 제2 핀들(702)의 상부 핀 부분들(702B)의 측벽들을 따라 있는 제1 및 제2 유전체 스페이서들(714B 및 714C)의 상단 표면 위쪽에 상단 표면을 갖는다. 실시예에서, 도 9b와 관련하여 이하에서 또한 기술되는 바와 같이, 절연 구조체(704)는 제1 절연 층, 제1 절연 층 상에 바로 다음에 있는 제2 절연 층, 및 제2 절연 층 상에 측방향으로 바로 다음에 있는 유전체 충전 재료를 포함한다.
도 8a 내지 도 8f는 본 개시내용의 실시예에 따른, 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들에 대한 도 7e의 a-a' 축을 따라 절취된 약간 투영된 단면도(slightly projected cross-sectional view)들을 예시한다.
도 8a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 실리콘 핀과 같은 핀(702)을 형성하는 단계를 포함한다. 핀(702)은 하부 핀 부분(도 8a에서 보이지 않음) 및 상부 핀 부분(702B)을 갖는다. 절연 구조체(704)는 핀(702)의 하부 핀 부분(702A)의 측벽들에 바로 인접하여 형성된다. 한 쌍의 게이트 구조체(706)가 상부 핀 부분(702B) 위에 그리고 절연 구조체(704) 위에 형성된다. 도 8a 내지 도 8f에 도시된 관점이, 상부 핀 부분이 지면으로 약간 들어가 있도록, 절연 구조체 및 게이트 구조체들(706)의 부분들을 상부 핀 부분(702B)의 전방에(지면으로부터 나오게) 보이기 위해 약간 투영되어 있다는 점을 알아야 한다. 실시예에서, 게이트 구조체들(706)은 희생 게이트 유전체 층(706A), 희생 게이트(706B), 및 하드마스크(706C)를 포함하는 플레이스홀더 또는 더미 게이트 구조체들이다.
도 7a와 관련하여 기술된 공정 동작에 대응하는 도 8b를 참조하면, 유전체 재료(708)가 핀(702)의 상부 핀 부분(702B)과 등각으로, 게이트 구조체들(706)과 등각으로, 그리고 절연 구조체(704)의 노출된 부분들과 등각으로 형성된다.
도 7b와 관련하여 기술된 공정 동작에 대응하는 도 8c를 참조하면, 하드마스크 재료(710)가 유전체 재료(708) 위에 형성된다. 실시예에서, 하드마스크 재료(710)는 스핀-온 공정을 사용하여 형성된 탄소계(carbon-based) 하드마스크 재료이다.
도 7c와 관련하여 기술된 공정 동작에 대응하는 도 8d를 참조하면, 하드마스크 재료(710)가 리세싱된 하드마스크 재료(712)를 형성하도록 그리고 핀(702)의 상부 핀 부분(702B)과 등각인 그리고 게이트 구조체들(706)과 등각인 유전체 재료(708)의 한 부분을 노출시키도록 리세싱된다. 리세싱된 하드마스크 재료(712)는 절연 구조체(704)와 등각인 유전체 재료(708)의 한 부분을 커버한다. 실시예에서, 하드마스크 재료(710)는 습식 에칭 공정을 사용하여 리세싱된다. 또 다른 실시예에서, 하드마스크 재료(710)는 애싱(ash), 건식 에칭 또는 플라스마 에칭 공정을 사용하여 리세싱된다.
도 7d와 관련하여 기술된 공정 동작에 대응하는 도 8e를 참조하면, 유전체 재료(708)가 패터닝된 유전체 재료(714)를 게이트 구조체(706)의 측벽들을 따라(부분들(714A)로서), 핀(702)의 상부 핀 부분(702B)의 측벽들의 부분들을 따라, 그리고 절연 구조체(704) 위에 형성하도록 이방성으로 에칭된다.
도 7e와 관련하여 기술된 공정 동작에 대응하는 도 8f를 참조하면, 리세싱된 하드마스크 재료(712)가 도 8e의 구조체로부터 제거된다. 실시예에서, 게이트 구조체들(706)은 더미 게이트 구조체들이고, 후속 처리는 게이트 구조체들(706)을 영구 게이트 유전체 및 게이트 전극 스택들로 대체하는 것을 포함한다. 실시예에서, 추가 처리는, 이하에서 보다 상세히 기술되는 바와 같이, 게이트 구조체(706)의 대향 측면들 상에 임베디드 소스 또는 드레인 구조체들을 형성하는 것을 포함한다.
도 8f를 다시 참조하면, 실시예에서, 집적 회로 구조체(700)는, 실리콘 핀과 같은 핀(702)을 포함하고, 핀(702)은 하부 핀 부분(도 8f에서 보이지 않음) 및 상부 핀 부분(702B)을 갖는다. 절연 구조체(704)는 핀(702)의 하부 핀 부분의 측벽들에 바로 인접해 있다. 제1 게이트 전극(좌측 706)은 상부 핀 부분(702B) 위에 그리고 절연 구조체(704)의 제1 부분(704A) 위에 있다. 제2 게이트 전극(우측 706)은 상부 핀 부분(702B) 위에 그리고 절연 구조체(704)의 제2 부분(704A') 위에 있다. 제1 유전체 스페이서(좌측 706의 우측 714A)는 제1 게이트 전극(좌측 706)의 측벽을 따라 있고, 제2 유전체 스페이서(우측 706의 좌측 714A)는 제2 게이트 전극(우측 706)의 측벽을 따라 있으며, 제2 유전체 스페이서는 제1 게이트 전극(좌측 706)과 제2 게이트 전극(우측 706) 사이의 절연 구조체(704)의 제3 부분(704A") 위에서 제1 유전체 스페이서와 연속적이다.
도 9a는 본 개시내용의 실시예에 따른, 영구 게이트 스택(permanent gate stack)들 및 에피택셜 소스 또는 드레인 영역들을 포함하는 집적 회로 구조체에 대한 도 7e의 a-a' 축을 따라 절취된 약간 투영된 단면도를 예시한다. 도 9b는 본 개시내용의 실시예에 따른, 에피택셜 소스 또는 드레인 영역들 및 다층 트렌치 격리 구조체를 포함하는 집적 회로 구조체에 대한 도 7e의 b-b' 축을 따라 절취된 단면도를 예시한다.
도 9a 및 도 9b를 참조하면, 실시예에서, 집적 회로 구조체는 게이트 전극들(706)의 대향 측면들 상에 임베디드 소스 또는 드레인 구조체들(910)을 포함한다. 임베디드 소스 또는 드레인 구조체들(910)은 제1 및 제2 핀들(702)의 상부 핀 부분들(702B)의 측벽들을 따라 있는 제1 및 제2 유전체 스페이서들(714B 및 714C)의 상단 표면(990) 아래에 하단 표면(910A)을 갖는다. 임베디드 소스 또는 드레인 구조체들(910)은 제1 및 제2 핀들(702)의 상부 핀 부분들(702B)의 측벽들을 따라 있는 제1 및 제2 유전체 스페이서들(714B 및 714C)의 상단 표면 위쪽에 상단 표면(910B)을 갖는다.
실시예에서, 게이트 스택들(706)은 영구 게이트 스택들(920)이다. 하나의 이러한 실시예에서, 도 9a에 묘사된 바와 같이, 영구 게이트 스택들(920)은 게이트 유전체 층(922), 일함수 게이트 층과 같은 제1 게이트 층(924), 및 게이트 충전 재료(926)를 포함한다. 영구 게이트 구조체들(920)이 절연 구조체(704) 위에 있는 일 실시예에서, 영구 게이트 구조체들(920)은 희생 다결정질 실리콘 게이트 전극들을 수반하는 대체 게이트 공정의 잔류물들일 수 있는 잔여 다결정질 실리콘 부분들(930) 상에 형성된다.
실시예에서, 절연 구조체(704)는 제1 절연 층(902), 제1 절연 층(902) 상에 바로 다음에 제2 절연 층(904), 및 제2 절연 층(904) 상에 측방향으로 바로 다음에 유전체 충전 재료(906)를 포함한다. 실시예에서, 제1 절연 층(902)은 실리콘 및 산소를 포함하는 비-도핑된 절연 층이다. 일 실시예에서, 제2 절연 층(904)은 실리콘 및 질소를 포함한다. 일 실시예에서, 유전체 충전 재료(906)는 실리콘 및 산소를 포함한다.
또 다른 양태에서, 에피택셜 임베디드 소스 또는 드레인 영역들은 반도체 핀들에 대한 소스 또는 드레인 구조체들로서 구현된다. 예로서, 도 10은 본 개시내용의 실시예에 따른, 소스 또는 드레인 위치에서 절취된 집적 회로 구조체의 단면도를 예시하고 있다.
도 10을 참조하면, 집적 회로 구조체(1000)는, P-형 금속 산화물 반도체(PMOS) 디바이스와 같은 P-형 디바이스를 포함한다. 집적 회로 구조체(1000)는 또한, N-형 금속 산화물 반도체(PMOS) 디바이스와 같은 N-형 디바이스를 포함한다.
도 10의 PMOS 디바이스는, 벌크 실리콘 기판(1001)으로부터 형성된 실리콘 핀들과 같은 제1 복수의 반도체 핀(1002)을 포함한다. 소스 또는 드레인 위치에서, 핀들(1002)의 상부 부분들이 제거되었고, 동일하거나 상이한 반도체 재료가 소스 또는 드레인 구조체들(1004)을 형성하도록 성장된다. 소스 또는 드레인 구조체들(1004)이 절취된 단면도에서 게이트 전극의 양측에서 동일하게 보일 것임을, 예컨대, 그들이 드레인 측에서처럼 소스 측에서 본질적으로 동일하게 보일 것임을 알아야 한다. 실시예에서, 묘사된 바와 같이, 소스 또는 드레인 구조체들(1004)은 절연 구조체(1006)의 상부 표면 아래의 부분 및 상부 표면 위쪽의 부분을 갖는다. 실시예에서, 묘사된 바와 같이, 소스 또는 드레인 구조체들(1004)이 강하게 패시팅된다(strongly faceted). 실시예에서, 전도성 콘택트(1008)가 소스 또는 드레인 구조체들(1004) 위에 형성된다. 그렇지만, 하나의 이러한 실시예에서, 강한 패시팅(strong faceting), 및 소스 또는 드레인 구조체들(1004)의 상대적으로 넓은 성장은 전도성 콘택트(1008)에 의한 양호한 커버리지를 적어도 얼마간 저지한다.
도 10의 NMOS 디바이스는, 벌크 실리콘 기판(1001)으로부터 형성된 실리콘 핀들과 같은 제2 복수의 반도체 핀(1052)을 포함한다. 소스 또는 드레인 위치에서, 핀들(1052)의 상부 부분들이 제거되었고, 동일하거나 상이한 반도체 재료가 소스 또는 드레인 구조체들(1054)을 형성하도록 성장된다. 소스 또는 드레인 구조체들(1054)이 절취된 단면도에서 게이트 전극의 양측에서 동일하게 보일 것임을, 예컨대, 그들이 드레인 측에서처럼 소스 측에서 본질적으로 동일하게 보일 것임을 알아야 한다. 실시예에서, 묘사된 바와 같이, 소스 또는 드레인 구조체들(1054)은 절연 구조체(1006)의 상부 표면 아래의 부분 및 상부 표면 위쪽의 부분을 갖는다. 실시예에서, 묘사된 바와 같이, 소스 또는 드레인 구조체들(1054)은 소스 또는 드레인 구조체들(1004)에 비해 약하게 패시팅된다(weakly faceted). 실시예에서, 전도성 콘택트(1058)가 소스 또는 드레인 구조체들(1054) 위에 형성된다. 하나의 이러한 실시예에서, (소스 또는 드레인 구조체들(1004)과 비교하여) 소스 또는 드레인 구조체들(1054)의 상대적으로 약한 패시팅(weak faceting) 및 결과적인 상대적으로 더 좁은 성장은 전도성 콘택트(1058)에 의한 양호한 커버리지를 향상시킨다.
위에 놓인 콘택트(overlying contact)와의 접촉 면적을 개선하기 위해 PMOS 디바이스의 소스 또는 드레인 구조체들의 형상이 변화될 수 있다. 예를 들어, 도 11은 본 개시내용의 실시예에 따른, 소스 또는 드레인 위치에서 절취된 또 다른 집적 회로 구조체의 단면도를 예시하고 있다.
도 11을 참조하면, 집적 회로 구조체(1100)는 P-형 반도체(예컨대, PMOS) 디바이스를 포함한다. PMOS 디바이스는, 실리콘 핀과 같은 제1 핀(1102)을 포함한다. 제1 에피택셜 소스 또는 드레인 구조체(1104)가 제1 핀(1102)에 임베딩된다. 일 실시예에서, 비록 묘사되지는 않았지만, 제1 에피택셜 소스 또는 드레인 구조체(1104)는 (핀(1102)의 채널 부분과 같은 상부 핀 부분 위에 형성될 수 있는) 제1 게이트 전극의 제1 측면에 있고, 제2 에피택셜 소스 또는 드레인 구조체는 제1 측면의 반대쪽에 있는 이러한 제1 게이트 전극의 제2 측면에서 제1 핀(1102)에 임베딩된다. 실시예에서, 제1(1104) 및 제2 에피택셜 소스 또는 드레인 구조체들은 실리콘 및 게르마늄을 포함하고 프로파일(1105)을 갖는다. 일 실시예에서, 도 11에 묘사된 바와 같이, 프로파일은 성냥개비(match-stick) 프로파일이다. 제1 전도성 전극(1108)이 제1 에피택셜 소스 또는 드레인 구조체(1104) 위에 있다.
도 11을 다시 참조하면, 실시예에서, 집적 회로 구조체(1100)는 또한 N-형 반도체(예컨대, NMOS) 디바이스를 포함한다. NMOS 디바이스는, 실리콘 핀과 같은 제2 핀(1152)을 포함한다. 제3 에피택셜 소스 또는 드레인 구조체(1154)가 제2 핀(1152)에 임베딩된다. 일 실시예에서, 비록 묘사되지는 않았지만, 제3 에피택셜 소스 또는 드레인 구조체(1154)는 (핀(1152)의 채널 부분과 같은 상부 핀 부분 위에 형성될 수 있는) 제2 게이트 전극의 제1 측면에 있고, 제4 에피택셜 소스 또는 드레인 구조체는 제1 측면의 반대쪽에 있는 이러한 제2 게이트 전극의 제2 측면에서 제2 핀(1152)에 임베딩된다. 실시예에서, 제3(1154) 및 제4 에피택셜 소스 또는 드레인 구조체들은 실리콘을 포함하고 제1 및 제2 에피택셜 소스 또는 드레인 구조체들(1004)의 프로파일(1105)과 실질적으로 동일한 프로파일을 갖는다. 제2 전도성 전극(1158)이 제3 에피택셜 소스 또는 드레인 구조체(1154) 위에 있다.
실시예에서, 제1 에피택셜 소스 또는 드레인 구조체(1104)는 약하게 패시팅된다. 실시예에서, 제1 에피택셜 소스 또는 드레인 구조체(1104)는 대략 50 나노미터의 높이를 갖고 30 내지 35 나노미터 범위의 폭을 갖는다. 하나의 이러한 실시예에서, 제3 에피택셜 소스 또는 드레인 구조체(1154)는 대략 50 나노미터의 높이를 갖고 30 내지 35 나노미터 범위의 폭을 갖는다.
실시예에서, 제1 에피택셜 소스 또는 드레인 구조체(1104)는 제1 에피택셜 소스 또는 드레인 구조체(1104)의 하단(1104A)에서의 대략 20% 게르마늄 농도로부터 제1 에피택셜 소스 또는 드레인 구조체(1104)의 상단(1104B)에서의 대략 45% 게르마늄 농도로 그레이딩(grade)된다. 실시예에서, 제1 에피택셜 소스 또는 드레인 구조체(1104)가 붕소 원자들로 도핑된다. 하나의 이러한 실시예에서, 제3 에피택셜 소스 또는 드레인 구조체(1154)가 인 원자들 또는 비소 원자들로 도핑된다.
도 12a 내지 도 12d는 본 개시내용의 실시예에 따른, 소스 또는 드레인 위치에서 절취되고 집적 회로 구조체의 제조에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 12a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 실리콘 기판(1201)으로부터 형성된 실리콘 핀과 같은 핀을 형성하는 단계를 포함한다. 핀(1202)은 하부 핀 부분(1202A) 및 상부 핀 부분(1202B)을 갖는다. 실시예에서, 비록 묘사되지는 않았지만, 게이트 전극이 지면으로 들어간 위치에서 핀(1202)의 상부 핀 부분(1202B)의 한 부분 위에 형성된다. 이러한 게이트 전극은 제2 측면의 반대쪽에 있는 제1 측면을 가지며 제1 및 제2 측면들 상의 소스 또는 드레인 위치들을 정의한다. 예를 들어, 예시를 위해, 도 12a 내지 도 12d의 도면들에 대한 단면 위치들은 게이트 전극의 측면들 중 하나에 있는 소스 또는 드레인 위치들 중 하나에서 절취된다.
도 12b를 참조하면, 핀(1202)의 소스 또는 드레인 위치가 리세싱된 핀 부분(1206)을 형성하도록 리세싱된다. 핀(1202)의 리세싱된 소스 또는 드레인 위치가 게이트 전극의 한 측면에 그리고 게이트 전극의 제2 측면에 있을 수 있다. 도 12a 및 도 12b 둘 다를 참조하면, 실시예에서, 유전체 스페이서들(1204)이, 예컨대, 게이트 구조체의 한 측면에서, 핀(1202)의 한 부분의 측벽들을 따라 형성된다. 하나의 이러한 실시예에서, 핀(1202)을 리세싱하는 것은 핀(1202)을 유전체 스페이서들(1204)의 상단 표면(1204A) 아래로 리세싱하는 것을 수반한다.
도 12c를 참조하면, 에피택셜 소스 또는 드레인 구조체(1208)는, 예컨대, 리세싱된 핀(1206) 상에 형성되고, 따라서 게이트 전극의 한 측면에 형성될 수 있다. 하나의 이러한 실시예에서, 제2 에피택셜 소스 또는 드레인 구조체가 이러한 게이트 전극의 제2 측면에서 리세싱된 핀(1206)의 제2 부분 상에 형성된다. 실시예에서, 도 12c에 묘사된 바와 같이, 에피택셜 소스 또는 드레인 구조체(1208)는 실리콘 및 게르마늄을 포함하고, 성냥개비 프로파일을 갖는다. 실시예에서, 묘사된 바와 같이, 유전체 스페이서들(1204)이 포함되고 에피택셜 소스 또는 드레인 구조체(1208)의 측벽들의 하부 부분(1208A)을 따라 있다.
도 12d를 참조하면, 전도성 전극(1210)이 에피택셜 소스 또는 드레인 구조체(1208) 상에 형성된다. 실시예에서, 전도성 전극(1210)은 전도성 장벽 층(1210A) 및 전도성 충전 재료(1201B)를 포함한다. 일 실시예에서, 묘사된 바와 같이, 전도성 전극(1210)은 에피택셜 소스 또는 드레인 구조체(1208)의 프로파일을 따른다. 다른 실시예들에서, 에피택셜 소스 또는 드레인 구조체(1208)의 상부 부분들이 전도성 전극(1210)의 제조 동안 침식된다.
또 다른 양태에서, 격리된 핀들에 대한 핀 트림 격리(fin-trim isolation, FTI) 및 단일 게이트 간격이 기술된다. 기판 표면으로부터 돌출하는 반도체 재료의 핀을 이용하는 비-평면 트랜지스터들은 핀의 2개의, 3개의, 또는 심지어 모든 측면들을 랩 어라운드(wrap around)하는 게이트 전극을 이용한다(즉, 듀얼게이트, 트라이게이트, 나노와이어 트랜지스터들). 소스 및 드레인 영역들이 전형적으로 이어서 게이트 전극의 양측 상에서 핀 내에 또는 핀의 재성장된 부분들로서 형성된다. 제1 비-평면 트랜지스터의 소스 또는 드레인 영역을 인접한 제2 비-평면 트랜지스터의 소스 또는 드레인 영역으로부터 격리시키기 위해, 2개의 인접한 핀 사이에 갭(gap) 또는 공간(space)이 형성될 수 있다. 이러한 격리 갭은 일반적으로 어떤 종류의 마스킹된 에칭을 필요로 한다. 일단 격리되면, 게이트 스택이 이어서 개개의 핀들 위에, 다시 말하지만 전형적으로 어떤 종류의 마스킹된 에칭(예컨대, 특정 구현에 좌우되어 라인 에칭(line etch) 또는 개구 에칭(opening etch))을 이용해, 패터닝된다.
앞서 기술된 핀 격리 기술들에서의 하나의 잠재적인 이슈는 게이트들이 핀들의 단부들과 자기 정렬되지 않고, 반도체 핀 패턴과의 게이트 스택 패턴의 정렬이 이 2개의 패턴의 오버레이(overlay)에 의존한다는 것이다. 이에 따라, 리소그래피 오버레이 허용오차들이 반도체 핀 및 격리 갭의 디멘셔닝(dimensioning)에 추가되어, 주어진 레벨의 트랜지스터 기능에 대해 그렇지 않았을 경우보다 핀들이 더 큰 길이를 가질 필요가 있고 격리 갭들이 더 클 필요가 있다. 이러한 오버-디멘셔닝(over-dimensioning)을 감소시키는 디바이스 아키텍처들 및 제조 기술들은 따라서 트랜지스터 밀도에서의 매우 유리한 개선들을 제공한다.
이상에서 기술된 핀 격리 기술들에서의 다른 잠재적인 이슈는 캐리어 이동도를 개선시키는 데 바람직한 반도체 핀에서의 응력(stress)이 너무 많은 핀 표면들이 제조 동안 자유로운 상태로 남아 있는 트랜지스터의 채널 영역으로부터 손실되어, 핀 변형력(fin strain)이 완화되도록 허용한다는 점이다. 보다 높은 레벨들의 바람직한 핀 응력을 유지하는 디바이스 아키텍처들 및 제조 기술들은 따라서 비-평면 트랜지스터 성능의 유리한 개선들을 제공한다.
본 개시내용의 실시예에 따르면, 스루-게이트(through-gate) 핀 격리 아키텍처들 및 기술들이 본 명세서에 설명된다. 예시된 예시적인 실시예들에서, 집적 회로(IC)와 같은 마이크로전자 디바이스에서의 비-평면 트랜지스터들은 트랜지스터들의 게이트 전극들에 자기 정렬되는 방식으로 서로 격리된다. 본 개시내용의 실시예들이 비-평면 트랜지스터들을 이용하는 거의 모든 IC에 적용가능하지만, 예시적인 IC들은, 로직 및 메모리(SRAM) 부분들을 포함하는 마이크로프로세서 코어들, RFIC들(예컨대, 디지털 베이스밴드 및 아날로그 프론트 엔드 모듈들을 포함하는 무선 IC들), 및 전력 IC들을 포함하는데, 이들로만 제한되지는 않는다.
실시예들에서, 인접한 반도체 핀들의 2개의 단부가 단지 하나의 패터닝 마스크 레벨을 사용하여 게이트 전극들에 대해 상대적으로 위치되는 격리 영역에 의해 서로 전기적으로 격리된다. 실시예에서, 단일의 마스크가 고정 피치의 복수의 희생 플레이스홀더 스트라이프(sacrificial placeholder stripe)를 형성하는 데 이용되며, 플레이스홀더 스트라이프들의 제1 서브세트는 격리 영역들의 위치 또는 치수를 정의하는 한편, 플레이스홀더 스트라이프들의 제2 서브세트는 게이트 전극의 위치 또는 치수를 정의한다. 특정 실시예들에서, 플레이스홀더 스트라이프들의 제1 서브세트가 제거되고 격리 컷(isolation cut)들이 제1 서브세트 제거로부터 귀결되는 개구들에서의 반도체 핀들 내에 만들어지는 한편, 플레이스홀더 스트라이프들의 제2 서브세트가 궁극적으로 비-희생 게이트 전극 스택들로 대체된다. 게이트 전극 대체를 위해 이용되는 플레이스홀더들의 서브세트가 격리 영역들을 형성하는 데 이용되기 때문에, 본 방법 및 결과적인 아키텍처는 본 명세서에서 "스루-게이트" 격리부("through-gate" isolation)라고 지칭된다. 본 명세서에 설명된 하나 이상의 스루-게이트 격리부 실시예는, 예를 들어, 보다 높은 트랜지스터 밀도들 및 보다 높은 레벨들의 유리한 트랜지스터 채널 응력을 가능하게 할 수 있다.
게이트 전극의 배치 또는 정의 이후에 격리가 정의됨에 따라, 게이트 전극들 및 격리 영역들 둘 다가 단일 마스킹 레벨의 최소 피처 피치의 정수배이도록 핀 격리 디멘셔닝 및 배치가 게이트 전극들과 완벽하게 피치가 맞게(on-pitch) 이루어질 수 있기 때문에 보다 더 큰 트랜지스터 밀도가 달성될 수 있다. 반도체 핀이 핀이 배치되는 기판과 격자 미스매치(lattice mismatch)를 갖는 추가 실시예들에서, 게이트 전극의 배치 또는 정의 이후에 격리를 정의하는 것에 의해 보다 더 큰 정도의 변형력이 유지된다. 이러한 실시예들에서, 핀의 단부들이 정의되기 전에 형성되는 (게이트 전극 및 추가된 소스 또는 드레인 재료들과 같은) 트랜지스터의 다른 피처들은 격리 컷이 핀 내에 만들어진 후에 핀 스트레인을 기계적으로 유지하는 데 도움을 준다.
추가 맥락을 제공하기 위해, 트랜지스터 스케일링은 칩 내에서의 셀들의 보다 조밀한 패킹으로부터 이득을 볼 수 있다. 현재, 대부분의 셀들은, 매립된 핀들을 갖는 2개 이상의 더미 게이트에 의해 그들의 이웃들과 분리된다. 셀들은, 하나의 셀을 다른 셀에 연결시키는, 이 2개 이상의 더미 게이트 아래에 있는 핀들을 에칭하는 것에 의해 격리된다. 이웃하는 셀들을 분리시키는 더미 게이트들의 개수가 2개 이상으로부터 1개로 감소될 수 있는 경우 스케일링이 크게 이득을 볼 수 있다. 앞서 설명된 바와 같이, 하나의 해결책은 2개 이상의 더미 게이트를 필요로 한다. 2개 이상의 더미 게이트 아래의 핀들이 핀 패터닝 동안 에칭된다. 이러한 접근법에서의 잠재적인 이슈는 더미 게이트들이 셀들을 위해 사용될 수 있는 칩 상의 공간을 소비한다는 것이다. 실시예에서, 본 명세서에 설명된 접근법들은 이웃하는 셀들을 분리시키기 위해 단지 하나의 더미 게이트를 사용하는 것을 가능하게 한다.
실시예에서, 핀 트림 격리 접근법은 자기 정렬 패터닝 스킴으로서 구현된다. 여기서, 단일 게이트 아래의 핀들이 에칭 아웃(etch out)된다. 따라서, 이웃하는 셀들이 단일 더미 게이트에 의해 분리될 수 있다. 이러한 접근법의 장점들은 칩 상의 공간을 절감하는 것 및 주어진 면적에 대해 보다 많은 계산 능력을 가능하게 하는 것을 포함할 수 있다. 이 접근법은 또한 핀 트림이 서브-핀 피치 거리로 수행되는 것을 허용해 줄 수 있다.
도 13a 및 도 13b는 본 개시내용의 실시예에 따른, 국소 격리 구조체(local isolation structure)를 형성하기 위한 다중 게이트 간격(multi-gate spacing)을 갖는 핀들을 패터닝하는 방법에서의 다양한 동작들을 나타내는 평면도들을 예시한다.
도 13a를 참조하면, 복수의 핀(1302)이 제1 방향(1304)을 따라 길이부(length)를 갖는 것으로 도시되어 있다. 복수의 게이트 라인을 궁극적으로 형성하기 위한 위치들을 정의하는 그리드(1306) - 그 사이에 간격들(1307)을 가짐 - 가 제1 방향(1304)에 직교인 제2 방향(1308)을 따라 도시되어 있다.
도 13b를 참조하면, 핀들(1310) - 컷(cut)(1312)을 그 내에 가짐 - 을 남겨두도록 복수의 핀(1302)의 한 부분이 커팅(예컨대, 에칭 공정에 의해 제거)된다. 따라서, 컷(1312)에 궁극적으로 형성되는 격리 구조체는 단일 게이트 라인보다 큰 것의 치수, 예컨대, 3개의 게이트 라인(1306)의 치수를 갖는다. 그에 따라, 게이트 라인들(1306)의 위치들을 따라 궁극적으로 형성되는 게이트 구조체들이 컷(1312)에 형성된 격리 구조체 위에 적어도 부분적으로 형성될 것이다. 따라서, 컷(1312)은 상대적으로 넓은 핀 컷이다.
도 14a 내지 도 14d는 본 개시내용의 또 다른 실시예에 따른, 국소 격리 구조체를 형성하기 위한 단일 게이트 간격(single gate spacing)을 갖는 핀들을 패터닝하는 방법에서의 다양한 동작들을 나타내는 평면도들을 예시한다.
도 14a를 참조하면, 집적 회로 구조체를 제조하는 방법은 복수의 핀(1402) - 복수의 핀(1402)의 개개의 것들은 제1 방향(1404)을 따라 가장 긴 치수를 가짐 - 을 형성하는 단계를 포함한다. 복수의 게이트 구조체(1406)는 복수의 핀(1402) 위에 있고, 게이트 구조체들(1406)의 개개의 것들은 제1 방향(1404)에 직교인 제2 방향(1408)을 따라 가장 긴 치수를 갖는다. 실시예에서, 게이트 구조체들(1406)은, 예컨대, 다결정질 실리콘으로 제조되는, 희생 또는 더미 게이트 라인들이다. 일 실시예에서, 복수의 핀(1402)은 실리콘 핀들이고 아래에 놓인 실리콘 기판의 한 부분과 연속적이다.
도 14b를 참조하면, 유전체 재료 구조체(1410)는 복수의 게이트 구조체(1406) 중 인접한 게이트 구조체들 사이에 형성된다.
도 14c를 참조하면, 복수의 게이트 구조체(1406) 중 하나의 게이트 구조체의 한 부분(1412)이 복수의 핀(1402) 각각의 한 부분(1414)을 노출시키도록 제거된다. 실시예에서, 복수의 게이트 구조체(1406) 중 하나의 게이트 구조체의 부분(1412)을 제거하는 것은 복수의 게이트 구조체(1406) 중 하나의 게이트 구조체의 부분(1412)의 폭(1418)보다 더 넓은 리소그래피 윈도(lithographic window)(1416)를 사용하는 것을 수반한다.
도 14d를 참조하면, 복수의 핀(1402) 각각의 노출된 부분(1414)이 컷 영역(1420)을 형성하도록 제거된다. 실시예에서, 복수의 핀(1402) 각각의 노출된 부분(1414)이 건식 또는 플라스마 에칭 공정을 사용하여 제거된다. 실시예에서, 복수의 핀(1402) 각각의 노출된 부분(1414)을 제거하는 것은 복수의 핀(1402)의 높이보다 더 작은 깊이로 에칭하는 것을 수반한다. 하나의 이러한 실시예에서, 깊이는 복수의 핀(1402)에서의 소스 또는 드레인 영역들의 깊이보다 더 크다. 실시예에서, 깊이는 격리 마진(isolation margin)을 제공하도록 복수의 핀(1402)의 활성 부분의 깊이보다 깊다. 실시예에서, 복수의 핀(1402)의 (에피택셜 소스 또는 드레인 영역들과 같은) 소스 또는 드레인 영역들을 에칭함이 없이 또는 실질적으로 에칭함이 없이 복수의 핀(1402) 각각의 노출된 부분(1414)이 제거된다. 하나의 이러한 실시예에서, 복수의 핀(1402)의 (에피택셜 소스 또는 드레인 영역들과 같은) 소스 또는 드레인 영역들을 측방향으로 에칭함이 없이 또는 실질적으로 측방향으로 에칭함이 없이 복수의 핀(1402) 각각의 노출된 부분(1414)이 제거된다.
실시예에서, 컷 영역(1420)이, 예컨대, 복수의 핀(1402) 각각의 제거된 부분(1414)의 위치들에서, 궁극적으로 절연 층으로 충전된다. 예시적인 절연 층들 또는 "폴리 컷(poly cut)" 또는 "플러그(plug)" 구조체가 이하에서 기술된다. 그렇지만, 다른 실시예들에서, 컷 영역(1420)이 부분적으로만 절연 층으로 충전되고, 이 절연 층에 이어서 전도성 구조체가 형성된다. 전도성 구조체는 국소 인터커넥트로서 사용될 수 있다. 실시예에서, 컷 영역(1420)을 절연 층으로 또는 국소 인터커넥트 구조체를 하우징하는 절연 층으로 충전하기 전에, 도펀트들이 고체 소스 도펀트 층에 의해 컷 영역(1420)을 통해 핀 또는 핀들의 국소적으로 커팅된 부분 내로 주입 또는 전달될 수 있다.
도 15는 본 개시내용의 실시예에 따른, 국소 격리를 위한 다중 게이트 간격을 갖는 핀을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 15를 참조하면, 실리콘 핀(1502)은 제2 핀 부분(1506)에 측방향으로 인접해 있는 제1 핀 부분(1504)을 갖는다. 제1 핀 부분(1504)이, 도 13a 및 도 13b와 관련하여 기술된 것과 같은, 상대적으로 넓은 컷(1508)에 의해 제2 핀 부분(1506)으로부터 분리되며, 상대적으로 넓은 컷(1508)은 폭 X를 갖는다. 유전체 충전 재료(1510)가 상대적으로 넓은 컷(1508)에 형성되고 제1 핀 부분(1504)을 제2 핀 부분(1506)으로부터 전기적으로 격리시킨다. 복수의 게이트 라인(1512)이 실리콘 핀(1502) 위에 있으며, 여기서 게이트 라인들 각각은 게이트 유전체 및 게이트 전극 스택(1514), 유전체 캡 층(1516), 및 측벽 스페이서들(1518)을 포함할 수 있다. 2개의 게이트 라인(좌측 2개의 게이트 라인(1512))이 상대적으로 넓은 컷(1508)을 점유하며, 이에 따라, 제1 핀 부분(1504)이 사실상 2개의 더미 또는 비활성 게이트에 의해 제2 핀 부분(1506)으로부터 분리된다.
대조적으로, 핀 부분들이 단일 게이트 거리만큼 분리될 수 있다. 일 예로서, 도 16a는 본 개시내용의 또 다른 실시예에 따른, 국소 격리를 위한 단일 게이트 간격을 갖는 핀을 갖는 집적 회로 구조체의 단면도를 예시하고 있다.
도 16a를 참조하면, 실리콘 핀(1602)은 제2 핀 부분(1606)에 측방향으로 인접해 있는 제1 핀 부분(1604)을 갖는다. 제1 핀 부분(1604)이, 도 14a 내지 도 14d와 관련하여 기술된 것과 같은, 상대적으로 좁은 컷(1608)에 의해 제2 핀 부분(1606)으로부터 분리되고, 상대적으로 좁은 컷(1608)은 폭 Y를 가지며, 여기서 Y는 도 15의 X보다 더 작다. 유전체 충전 재료(1610)가 상대적으로 좁은 컷(1608)에 형성되고 제1 핀 부분(1604)을 제2 핀 부분(1606)으로부터 전기적으로 격리시킨다. 복수의 게이트 라인(1612)이 실리콘 핀(1602) 위에 있으며, 여기서 게이트 라인들 각각은 게이트 유전체 및 게이트 전극 스택(1614), 유전체 캡 층(1616), 및 측벽 스페이서들(1618)을 포함할 수 있다. 유전체 충전 재료(1610)가 단일 게이트 라인이 이전에 있었던 위치를 점유하고, 이에 따라, 제1 핀 부분(1604)이 단일 "플러그형(plugged)" 게이트 라인에 의해 제2 핀 부분(1606)으로부터 분리된다. 일 실시예에서, 묘사된 바와 같이, 잔여 스페이서 재료(1620)가 제거된 게이트 라인 부분의 위치의 측벽들 상에 남는다. 이하에서 기술되는 바와 같이, 핀(1602)의 다른 영역들이 보다 이른, 보다 넓은 핀 컷 공정에 의해 제조된 2개 또는 훨씬 더 많은 비활성 게이트 라인(3개의 비활성 게이트 라인을 갖는 영역(1622))에 의해 서로 격리될 수 있음을 알아야 한다.
도 16a를 다시 참조하면, 집적 회로 구조체(1600)는, 실리콘 핀과 같은 핀(1602)을 포함한다. 핀(1602)은 제1 방향(1650)을 따라 가장 긴 치수를 갖는다. 격리 구조체(1610)가 제1 방향(1650)을 따라 핀(1602)의 제1 상부 부분(1604)을 핀(1602)의 제2 상부 부분(1606)으로부터 분리시킨다. 격리 구조체(1610)는 제1 방향(1650)을 따른 중심(1611)을 갖는다.
제1 게이트 구조체(1612A)가 핀(1602)의 제1 상부 부분(1604) 위에 있고, 제1 게이트 구조체(1612A)는 제1 방향(1650)에 직교인 (예컨대, 지면으로 들어가는) 제2 방향(1652)을 따라 가장 긴 치수를 갖는다. 제1 게이트 구조체(1612A)의 중심(1613A)은 제1 방향(1650)을 따른 피치만큼 격리 구조체(1610)의 중심(1611)으로부터 이격된다. 제2 게이트 구조체(1612B)가 핀의 제1 상부 부분(1604) 위에 있고, 제2 게이트 구조체(1612B)는 제2 방향(1652)을 따라 가장 긴 치수를 갖는다. 제2 게이트 구조체(1612B)의 중심(1613B)은 제1 방향(1650)을 따른 피치만큼 제1 게이트 구조체(1612A)의 중심(1613A)으로부터 이격되어 있다. 제3 게이트 구조체(1612C)가 핀(1602)의 제2 상부 부분(1606) 위에 있고, 제3 게이트 구조체(1612C)는 제2 방향(1652)을 따라 가장 긴 치수를 갖는다. 제3 게이트 구조체(1612C)의 중심(1613C)은 제1 방향(1650)을 따른 피치만큼 격리 구조체(1610)의 중심(1611)으로부터 이격되어 있다. 실시예에서, 묘사된 바와 같이, 격리 구조체(1610)는 제1 게이트 구조체(1612A)의 상단과, 제2 게이트 구조체(1612B)의 상단과, 그리고 제3 게이트 구조체(1612C)의 상단과 실질적으로 동일 평면인 상단을 갖는다.
실시예에서, 제1 게이트 구조체(1612A), 제2 게이트 구조체(1612B), 및 제3 게이트 구조체(1612C) 각각은, 예시적인 제3 게이트 구조체(1612C)에 대해 예시된 바와 같이, 하이-k 게이트 유전체 층(1662)의 측벽들 상에 그리고 측벽들 사이에 게이트 전극(1660)을 포함한다. 하나의 이러한 실시예에서, 제1 게이트 구조체(1612A), 제2 게이트 구조체(1612B), 및 제3 게이트 구조체(1612C) 각각은 게이트 전극(1660) 상에 그리고 하이-k 게이트 유전체 층(1662)의 측벽들 상에 절연 캡(1616)을 추가로 포함한다.
실시예에서, 집적 회로 구조체(1600)는 제1 게이트 구조체(1612A)와 격리 구조체(1610) 사이의 핀(1602)의 제1 상부 부분(1604) 상에 제1 에피택셜 반도체 영역(1664A)을 추가로 포함한다. 제2 에피택셜 반도체 영역(1664B)이 제1 게이트 구조체(1612A)와 제2 게이트 구조체(1612B) 사이의 핀(1602)의 제1 상부 부분(1604) 상에 있다. 제3 에피택셜 반도체 영역(1664C)이 제3 게이트 구조체(1612C)와 격리 구조체(1610) 사이의 핀(1602)의 제2 상부 부분(1606) 상에 있다. 실시예에서, 제1(1664A), 제2(1664B) 및 제3(1664C) 에피택셜 반도체 영역들은 실리콘 및 게르마늄을 포함한다. 또 다른 실시예에서, 제1(1664A), 제2(1664B) 및 제3(1664C) 에피택셜 반도체 영역들은 실리콘을 포함한다.
실시예에서, 격리 구조체(1610)는 핀(1602)의 제1 상부 부분(1604)에 대해 그리고 핀(1602)의 제2 상부 부분(1606)에 대해 응력을 유도한다. 일 실시예에서, 응력은 압축 응력이다. 또 다른 실시예에서, 응력은 인장 응력이다. 다른 실시예들에서, 격리 구조체(1610)는 부분 충전 절연 층이고, 이 절연 층에 전도성 구조체가 이어서 형성된다. 전도성 구조체는 국소 인터커넥트로서 사용될 수 있다. 실시예에서, 절연 층을 갖는 또는 국소 인터커넥트 구조체를 하우징하는 절연 층을 갖는 격리 구조체(1610)를 형성하기 전에, 도펀트들이 고체 소스 도펀트 층에 의해 핀 또는 핀들의 국소적으로 커팅된 부분 내로 주입 또는 전달된다.
또 다른 양태에서, 앞서 기술된 격리 구조체(1610)와 같은 격리 구조체들이 핀 컷의 국소 위치들에 또는 핀 컷의 보다 더 넓은 위치들에 활성 게이트 전극 대신에 형성될 수 있다는 점을 알아야 한다. 그에 부가하여, 핀 컷의 이러한 국소 또는 보다 더 넓은 위치들의 깊이는 서로에 대해 상대적으로 핀 내에 다양한 깊이들로 형성될 수 있다. 제1 예에서, 도 16b는 본 개시내용의 실시예에 따른, 핀 격리 구조체가 게이트 전극 대신에 형성될 수 있는 위치들을 보여주는 단면도를 예시하고 있다.
도 16b를 참조하면, 실리콘 핀과 같은 핀(1680)이 기판(1682) 위쪽에 형성되고 기판(1682)과 연속적일 수 있다. 핀(1680)은, 예컨대, 앞서 기술된 핀 트림 라스트 접근법에서와 같은 핀 패터닝 시에 형성될 수 있는 핀 단부들 또는 넓은 핀 컷들(1684)을 갖는다. 핀(1680)은 또한 국소 컷(1686)을 가지며, 여기서 핀(1680)의 한 부분은, 앞서 기술된 바와 같이, 예컨대, 더미 게이트들이 유전체 플러그들로 대체되는 핀 트림 격리 접근법을 사용하여 제거된다. 활성 게이트 전극들(1688)이 핀 위에 형성되고, 예시 목적을 위해, 핀(1680)이 배경에 있으면서, 핀(1680)의 약간 전방에 도시되어 있으며, 여기서 파선들은 정면 뷰로부터 커버된 영역들을 나타낸다. 핀 단부들 또는 넓은 핀 컷들(1684)에, 이러한 위치들에 활성 게이트들을 사용하는 대신에, 유전체 플러그들(1690)이 형성될 수 있다. 그에 부가하여 또는 대안으로서, 국소 컷(1686)에, 이러한 위치에 활성 게이트를 사용하는 대신에, 유전체 플러그(1692)가 형성될 수 있다. 에피택셜 소스 또는 드레인 영역들(1694)이 또한 활성 게이트 전극들(1688)과 플러그들(1690 또는 1692) 사이의 핀들(1680)의 위치들에 도시되어 있다는 점을 알아야 한다. 그에 부가하여, 실시예에서, 도 16b에 묘사된 바와 같이, 국소 컷(1686)에서의 핀의 단부들의 표면 거칠기는 보다 넓은 컷의 위치에서의 핀의 단부들보다 더 거칠다.
도 17a 내지 도 17c는 본 개시내용의 실시예에 따른, 핀 트림 격리(fin trim isolation) 접근법을 사용하여 제조된 핀 컷(fin cut)에 대한 다양한 깊이 가능성들을 예시한다.
도 17a를 참조하면, 실리콘 핀과 같은 반도체 핀(1700)이 아래에 놓인 기판(1702) 위쪽에 형성되고 아래에 놓인 기판(1702)과 연속적일 수 있다. 핀(1700)은, 핀(1700)에 대해 상대적인 절연 구조체(1704)의 높이에 의해 정의되는 바와 같은, 하부 핀 부분(1700A) 및 상부 핀 부분(1700B)을 갖는다. 국소 핀 격리 컷(1706A)이 핀(1700)을 제1 핀 부분(1710)과 제2 핀 부분(1712)으로 분리시킨다. 도 17a의 예에서, a-a' 축을 따라 도시된 바와 같이, 국소 핀 격리 컷(1706A)의 깊이는 기판(1702)까지의 핀(1700)의 전체 깊이이다.
도 17b를 참조하면, 제2 예에서, a-a' 축을 따라 도시된 바와 같이, 국소 핀 격리 컷(1706B)의 깊이는 기판(1702)까지의 핀(1700)의 전체 깊이보다 더 깊다. 즉, 컷(1706B)이 아래에 놓인 기판(1702) 내로 연장된다.
도 17c를 참조하면, 제3 예에서, a-a' 축을 따라 도시된 바와 같이, 국소 핀 격리 컷(1706C)의 깊이가 핀(1700)의 전체 깊이보다는 작지만, 격리 구조체(1704)의 상부 표면보다는 깊다. 도 17c를 다시 참조하면, 제4 예에서, a-a' 축을 따라 도시된 바와 같이, 국소 핀 격리 컷(1706D)의 깊이가 핀(1700)의 전체 깊이보다는 작지만, 격리 구조체(1704)의 상부 표면과 대략 동일 평면인 레벨에 있다.
도 18은 본 개시내용의 실시예에 따른, 핀 내의 핀 컷들의 국소 위치 대 보다 더 넓은 위치의 깊이에 대한 가능한 옵션들을 보여주는 평면도 및 a-a' 축을 따라 절취된 대응하는 단면도를 예시한다.
도 18을 참조하면, 실리콘 핀들과 같은 제1 및 제2 반도체 핀들(1800 및 1802)은 절연 구조체(1804) 위쪽에 연장되는 상부 핀 부분들(1800B 및 1802B)을 갖는다. 핀들(1800 및 1802) 둘 다는, 예컨대, 앞서 기술된 핀 트림 라스트 접근법에서와 같은 핀 패터닝 시에 형성될 수 있는, 핀 단부들 또는 넓은 핀 컷들(1806)을 갖는다. 핀들(1800 및 1802) 둘 다는 또한 국소 컷(1808)을 가지며, 여기서 핀(1800 또는 1802)의 한 부분이, 앞서 기술된 바와 같이, 예컨대, 더미 게이트들이 유전체 플러그들로 대체되는 핀 트림 격리 접근법을 사용하여 제거된다. 실시예에서, 도 18에 묘사된 바와 같이, 국소 컷(1808)에 있는 핀들(1800 및 1802)의 단부들의 표면 거칠기는 (1806)의 위치에 있는 핀들의 단부들보다 더 거칠다.
도 18의 단면도를 참조하면, 하부 핀 부분들(1800A 및 1802A)이 절연 구조체(1804)의 높이 아래에 보일 수 있다. 또한, 앞서 기술된 바와 같이, 절연 구조체(1804)의 형성보다 앞서 핀 트림 라스트 공정에서 제거된 핀의 잔류 부분(1810)이 단면도에서 보인다. 기판 위쪽으로 돌출한 것으로 도시되어 있지만, 잔류 부분(1810)이 또한, 부가의 예시적인 넓은 컷 깊이들(1820)에 의해 묘사된 바와 같이, 기판의 레벨에 또는 기판 내에 있을 수 있다. 핀들(1800 및 1802)에 대한 넓은 컷들(1806)이 또한 컷 깊이(1820)에 대해 기술된 레벨들 - 그 예들이 묘사되어 있음 - 에 있을 수 있다는 점을 알아야 한다. 묘사된 바와 같이, 국소 컷(1808)은 도 17a 내지 도 17c에 대해 기술된 깊이들에 대응하는 예시적인 깊이들을 가질 수 있다.
도 16a, 도 16b, 도 17a 내지 도 17c 및 도 18을 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 실리콘을 포함하는 핀을 포함하고, 핀은 상단 및 측벽들을 가지며, 여기서 상단은 제1 방향을 따라 가장 긴 치수를 갖는다. 제1 격리 구조체가 제1 방향을 따라 핀의 제1 부분의 제1 단부를 핀의 제2 부분의 제1 단부로부터 분리시킨다. 제1 격리 구조체는 제1 방향을 따른 폭을 갖는다. 핀의 제1 부분의 제1 단부는 표면 거칠기를 갖는다. 게이트 구조체는 핀의 제1 부분의 영역의 상단 위에 그리고 핀의 제1 부분의 영역의 측벽들에 측방향으로 인접해 있는 게이트 전극을 포함한다. 게이트 구조체는 제1 방향을 따른 폭을 갖고, 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 제1 격리 구조체의 중심으로부터 이격되어 있다. 제2 격리 구조체가 핀의 제1 부분의 제2 단부 위에 있고, 제2 단부는 제1 단부의 반대쪽에 있다. 제2 격리 구조체는 제1 방향을 따른 폭을 갖고, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 표면 거칠기보다 더 작은 표면 거칠기를 갖는다. 제2 격리 구조체의 중심은 제1 방향을 따른 피치만큼 게이트 구조체의 중심으로부터 이격되어 있다.
일 실시예에서, 도 16b에 묘사된 바와 같이, 핀의 제1 부분의 제1 단부는 스캘럽형 토포그래피(scalloped topography)를 갖는다. 일 실시예에서, 제1 에피택셜 반도체 영역이 게이트 구조체와 제1 격리 구조체 사이에서 핀의 제1 부분 상에 있다. 제2 에피택셜 반도체 영역이 게이트 구조체와 제2 격리 구조체 사이에서 핀의 제1 부분 상에 있다. 일 실시예에서, 제1 및 제2 에피택셜 반도체 영역들은 제1 방향에 직교인 제2 방향을 따른 폭을 가지며, 제2 방향을 따른 폭은, 예를 들어, 도 11 및 도 12d에 도시된 관점에서 그들이 성장되는 핀 부분들보다 더 넓은 폭을 갖는 도 11 및 도 12d와 관련하여 기술된 에피택셜 피처들로서, 게이트 구조체 아래의 제2 방향을 따른 핀의 제1 부분의 폭보다 더 넓다. 일 실시예에서, 게이트 구조체는 게이트 전극과 핀의 제1 부분 사이에 그리고 게이트 전극의 측벽들을 따라 하이-k 유전체 층을 추가로 포함한다.
도 16a, 도 16b, 도 17a 내지 도 17c 및 도 18을 전체적으로 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는 실리콘을 포함하는 핀을 포함하고, 핀은 상단 및 측벽들을 가지며, 여기서 상단은 한 방향을 따라 가장 긴 치수를 갖는다. 제1 격리 구조체가 그 방향을 따라 핀의 제1 부분의 제1 단부를 핀의 제2 부분의 제1 단부로부터 분리시킨다. 핀의 제1 부분의 제1 단부는 깊이를 갖는다. 게이트 구조체는 핀의 제1 부분의 영역의 상단 위에 그리고 핀의 제1 부분의 영역의 측벽들에 측방향으로 인접해 있는 게이트 전극을 포함한다. 제2 격리 구조체가 핀의 제1 부분의 제2 단부 위에 있고, 제2 단부는 제1 단부의 반대쪽에 있다. 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이와 상이한 깊이를 갖는다.
일 실시예에서, 핀의 제1 부분의 제2 단부의 깊이는 핀의 제1 부분의 제1 단부의 깊이보다 더 작다. 일 실시예에서, 핀의 제1 부분의 제2 단부의 깊이는 핀의 제1 부분의 제1 단부의 깊이보다 더 크다. 일 실시예에서, 제1 격리 구조체는 그 방향을 따른 폭을 갖고, 게이트 구조체는 그 방향을 따른 그 폭을 갖는다. 제2 격리 구조체는 그 방향을 따른 그 폭을 갖는다. 일 실시예에서, 게이트 구조체의 중심은 그 방향을 따른 피치만큼 제1 격리 구조체의 중심으로부터 이격되어 있고, 제2 격리 구조체의 중심은 그 방향을 따른 피치만큼 게이트 구조체의 중심으로부터 이격되어 있다.
도 16a, 도 16b, 도 17a 내지 도 17c 및 도 18을 전체적으로 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는 실리콘을 포함하는 제1 핀을 포함하고, 제1 핀은 상단 및 측벽들을 가지며, 여기서 상단은 한 방향을 따라 가장 긴 치수를 갖고, 불연속부(discontinuity)가 그 방향을 따라 제1 핀의 제1 부분의 제1 단부를 핀의 제2 부분의 제1 단부로부터 분리시킨다. 제1 핀의 제1 부분은 제1 단부의 반대쪽에 있는 제2 단부를 가지며, 핀의 제1 부분의 제1 단부는 깊이를 갖는다. 집적 회로 구조체들은 또한 실리콘을 포함하는 제2 핀을 포함하고, 제2 핀은 상단 및 측벽들을 가지며, 여기서 상단은 그 방향을 따라 가장 긴 치수를 갖는다. 집적 회로 구조체는 또한 제1 핀과 제2 핀 사이에 잔류 또는 잔여 핀 부분을 포함한다. 잔여 핀 부분은 상단 및 측벽들을 가지며, 여기서 상단은 그 방향을 따라 가장 긴 치수를 가지며, 상단은 핀의 제1 부분의 제1 단부의 깊이와 동일 평면에 있지 않다(non-co-planar).
일 실시예에서, 핀의 제1 부분의 제1 단부의 깊이는 잔류 또는 잔여 핀 부분의 상단 아래에 있다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이와 동일 평면인 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이 아래에 있는 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이 위에 있는 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제1 단부의 깊이는 잔류 또는 잔여 핀 부분의 상단 위쪽에 있다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이와 동일 평면인 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이 아래에 있는 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 핀의 제1 부분의 제1 단부의 깊이 위에 있는 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 잔여 핀 부분의 상단과 동일 평면인 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 잔여 핀 부분의 상단 아래에 있는 깊이를 갖는다. 일 실시예에서, 핀의 제1 부분의 제2 단부는 잔여 핀 부분의 상단 위에 있는 깊이를 갖는다.
또 다른 양태에서, 국소 또는 넓은 핀 컷들의 위치들에 형성된 유전체 플러그들은 핀 또는 핀 부분에 특정의 응력을 제공하도록 테일러링(tailor)될 수 있다. 유전체 플러그들은 이러한 구현들에서 핀 단부 스트레서들이라고 지칭될 수 있다.
하나 이상의 실시예는 핀 기반 반도체 디바이스들의 제조에 관한 것이다. 이러한 디바이스들에 대한 성능 개선은 폴리 플러그 충전 공정으로부터 유도된 채널 응력을 통해 이루어질 수 있다. 실시예들은 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET) 채널에 기계적 응력을 유도하기 위해 폴리 플러그 충전 공정에서 재료 특성들을 이용하는 것을 포함할 수 있다. 그 결과, 유도된 응력은 트랜지스터의 이동도 및 구동 전류를 부스팅(boost)시킬 수 있다. 그에 부가하여, 본 명세서에 설명된 플러그 충전 방법은 퇴적 동안의 임의의 심(seam) 또는 보이드(void) 형성의 제거를 허용할 수 있다.
맥락을 제공하기 위해, 핀들에 접하는 플러그 충전물(plug fill)의 고유 재료 특성들을 조작하는 것이 채널 내에 응력을 유도할 수 있다. 하나 이상의 실시예에 따르면, 플러그 충전 재료의 조성, 퇴적, 및 후처리(post-treatment) 조건들을 튜닝하는 것에 의해, 채널에서의 응력이 NMOS 및 PMOS 트랜지스터들 둘 다에 이득이 되도록 변조된다. 그에 부가하여, 이러한 플러그들이, 에피택셜 소스 또는 드레인과 같은, 다른 통상의 스트레서 기술들과 비교하여 핀 기판 내에 더 깊게 존재할 수 있다. 이러한 효과를 달성하기 위한 플러그 충전물의 성질은 또한 퇴적 동안 심들 또는 보이드들을 제거하고 공정 동안 특정한 결함 모드들을 완화시킨다.
추가의 맥락을 제공하기 위해, 현재는 게이트(폴리) 플러그들에 대한 어떠한 의도적인 응력 엔지니어링도 없다. 에피택셜 소스 또는 드레인, 더미 폴리 게이트 제거, 응력 라이너(stress liner) 등과 같은 전통적인 스트레서들로부터의 응력 향상(stress enhancement)은 안타깝게도 디바이스 피치들이 축소될 때 감소하는 경향이 있다. 이상의 이슈들 중 하나 이상을 해결하여, 본 개시내용의 하나 이상의 실시예에 따르면, 부가의 응력 소스가 트랜지스터 구조체 내에 통합된다. 이러한 공정에서의 또 다른 가능한 이점은 다른 화학적 기상 퇴적 방법들에 통상적일 수 있는 플러그 내의 심들 또는 보이드들의 제거일 수 있다.
도 19a 및 도 19b는 본 개시내용의 실시예에 따른, 예컨대, 앞서 기술된 바와 같은 핀 트림 라스트 공정의 일부로서, 넓은 컷을 갖는 핀의 단부들에서 핀 단부 스트레서 위치들을 선택하는 방법에서의 다양한 동작들의 단면도들을 예시하고 있다.
도 19a를 참조하면, 실리콘 핀과 같은 핀(1900)이 기판(1902) 위쪽에 형성되고 기판(1902)과 연속적일 수 있다. 핀(1900)은, 예컨대, 앞서 기술된 핀 트림 라스트 접근법에서와 같은 핀 패터닝 시에 형성될 수 있는, 핀 단부들 또는 넓은 핀 컷들(1904)을 갖는다. 활성 게이트 전극 위치(1906) 및 더미 게이트 전극 위치들(1908)이 핀(1900) 위에 형성되고, 예시 목적을 위해, 핀(1900)이 배경에 있으면서, 핀(1900)의 약간 전방에 도시되어 있으며, 여기서 파선들은 정면 뷰로부터 커버된 영역들을 나타낸다. 에피택셜 소스 또는 드레인 영역들(1910)이 또한 게이트 위치들(1906 및 1908) 사이의 핀(1900)의 위치들에 도시되어 있다는 점을 알아야 한다. 그에 부가하여, 층간 유전체 재료(1912)가 게이트 위치들(1906 및 1908) 사이의 핀(1900)의 위치들에 포함된다.
도 19b를 참조하면, 게이트 플레이스홀더 구조체들 또는 더미 게이트 위치들(1908)이 제거되어, 핀 단부들 또는 넓은 핀 컷들(1904)을 노출시킨다. 제거는 유전체 플러그들, 예컨대, 핀 단부 스트레서 유전체 플러그들이 궁극적으로 형성될 수 있는 개구들(1920)을 생성한다.
도 20a 및 도 20b는 본 개시내용의 실시예에 따른, 예컨대, 앞서 기술된 바와 같은 핀 트림 격리 공정의 일부로서, 국소 컷을 갖는 핀의 단부들에서 핀 단부 스트레서 위치들을 선택하는 방법에서의 다양한 동작들의 단면도들을 예시하고 있다.
도 20a를 참조하면, 실리콘 핀과 같은 핀(2000)이 기판(2002) 위쪽에 형성되고 기판(2002)과 연속적일 수 있다. 핀(2000)은 국소 컷(2004)을 가지며, 여기서 핀(2000)의 한 부분이, 앞서 기술된 바와 같이, 예컨대, 더미 게이트가 제거되고 핀이 국소 위치에서 에칭되는 핀 트림 격리 접근법을 사용하여, 제거된다. 활성 게이트 전극 위치들(2006) 및 더미 게이트 전극 위치(2008)가 핀(2000) 위에 형성되고, 예시 목적을 위해, 핀(2000)이 배경에 있으면서, 핀(2000)의 약간 전방에 도시되어 있으며, 여기서 파선들은 정면 뷰로부터 커버된 영역들을 나타낸다. 에피택셜 소스 또는 드레인 영역들(2010)이 또한 게이트 위치들(2006 및 2008) 사이의 핀(2000)의 위치들에 도시되어 있다는 점을 알아야 한다. 그에 부가하여, 층간 유전체 재료(2012)가 게이트 위치들(2006 및 2008) 사이의 핀(2000)의 위치들에 포함된다.
도 20b를 참조하면, 게이트 플레이스홀더 구조체 또는 더미 게이트 전극 위치(2008)가 제거되어, 국소 컷(2004)을 갖는 핀 단부들을 노출시킨다. 제거는 유전체 플러그, 예컨대, 핀 단부 스트레서 유전체 플러그가 궁극적으로 형성될 수 있는 개구(2020)를 생성한다.
도 21a 내지 도 21m은 본 개시내용의 실시예에 따른, 차별화된 핀 단부 유전체 플러그들을 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작의 단면도들을 예시한다.
도 21a를 참조하면, 시작 구조체(2100)는 NMOS 영역 및 PMOS 영역을 포함한다. 시작 구조체(2100)의 NMOS 영역은 기판(2104) 위쪽에 형성되고 기판(2104)과 연속적일 수 있는, 제1 실리콘 핀과 같은 제1 핀(2102)을 포함한다. 제1 핀(2102)은 국소 또는 넓은 핀 컷들로부터 형성될 수 있는 핀 단부들(2106)을 갖는다. 제1 활성 게이트 전극 위치(2108) 및 제1 더미 게이트 전극 위치들(2110)이 제1 핀(2102) 위에 형성되고, 예시 목적을 위해, 제1 핀(2102)이 배경에 있으면서, 제1 핀(2102)의 약간 전방에 도시되어 있으며, 여기서 파선들은 정면 뷰로부터 커버된 영역들을 나타낸다. 드레인 구조체들의 에피택셜 실리콘 소스와 같은, 에피택셜 N-형 소스 또는 드레인 영역들(2112)이 또한 게이트 위치들(2108 및 2110) 사이의 제1 핀(2102)의 위치들에 도시되어 있다. 그에 부가하여, 층간 유전체 재료(2114)가 게이트 위치들(2108 및 2110) 사이의 제1 핀(2102)의 위치들에 포함된다.
시작 구조체(2100)의 PMOS 영역은 기판(2104) 위쪽에 형성되고 기판(2104)과 연속적일 수 있는, 제2 실리콘 핀과 같은 제2 핀(2122)을 포함한다. 제2 핀(2122)은 국소 또는 넓은 핀 컷들로부터 형성될 수 있는 핀 단부들(2126)을 갖는다. 제2 활성 게이트 전극 위치(2128) 및 제2 더미 게이트 전극 위치들(2130)이 제2 핀(2122) 위에 형성되고, 예시 목적을 위해, 제2 핀(2122)이 배경에 있으면서, 제2 핀(2122)의 약간 전방에 도시되어 있으며, 여기서 파선들은 정면 뷰로부터 커버된 영역들을 나타낸다. 드레인 구조체들의 에피택셜 실리콘 게르마늄 소스와 같은, 에피택셜 P-형 소스 또는 드레인 영역들(2132)이 또한 게이트 위치들(2128 및 2130) 사이의 제2 핀(2122)의 위치들에 도시되어 있다. 그에 부가하여, 층간 유전체 재료(2134)가 게이트 위치들(2128 및 2130) 사이의 제2 핀(2122)의 위치들에 포함된다.
도 21b를 참조하면, 위치들(2110 및 2130)에 제각기 있는 제1 및 제2 더미 게이트 전극들이 제거된다. 제거 시에, 제1 핀(2102)의 핀 단부들(2106) 및 제2 핀(2122)의 핀 단부들(2126)이 노출된다. 제거는 또한 유전체 플러그들, 예컨대, 핀 단부 스트레서 유전체 플러그들이 궁극적으로 형성될 수 있는 개구들(2116 및 2136)을, 제각기, 생성한다.
도 21c를 참조하면, 재료 라이너(2140)가 도 21b의 구조체와 등각으로 형성된다. 실시예에서, 재료 라이너는, 실리콘 질화물 재료 라이너와 같은 실리콘 및 질소를 포함한다.
도 21d를 참조하면, 금속 질화물 층과 같은 보호 크라운 층(protective crown layer)(2142)이 도 21c의 구조체 상에 형성된다.
도 21e를 참조하면, 탄소계 하드마스크 재료와 같은 하드마스크 재료(2144)가 도 21d의 구조체 위에 형성된다. 리소그래피 마스크 또는 마스크 스택(2146)이 하드마스크 재료(2144) 위에 형성된다.
도 21f를 참조하면, PMOS 영역에서의 하드마스크 재료(2144)의 부분들 및 보호 크라운 층(2142)의 부분들이 도 21e의 구조체로부터 제거된다. 리소그래피 마스크 또는 마스크 스택(2146)이 또한 제거된다.
도 21g를 참조하면, 제2 재료 라이너(2148)가 도 21f의 구조체와 등각으로 형성된다. 실시예에서, 제2 재료 라이너는, 제2 실리콘 질화물 재료 라이너와 같은 실리콘 및 질소를 포함한다. 실시예에서, 제2 재료 라이너(2148)는 노출된 플러그들에서의 응력을 조절하기 위해 상이한 응력 상태를 갖는다.
도 21h를 참조하면, 제2 탄소계 하드마스크 재료와 같은 제2 하드마스크 재료(2150)가 도 21g의 구조체 위에 형성되고, 이어서 구조체의 PMOS 영역의 개구들(2136) 내에서 리세싱된다.
도 21i를 참조하면, NMOS 영역으로부터 제2 재료 라이너(2148)를 제거하기 위해 그리고 구조체의 PMOS 영역에서 제2 재료 라이너(2148)를 리세싱하기 위해 제2 재료 라이너(2148)가 도 2h의 구조체로부터 에칭된다.
도 21j를 참조하면, 하드마스크 재료(2144), 보호 크라운 층(2142), 및 제2 하드마스크 재료(2150)가 도 21i의 구조체로부터 제거된다. 제거는 개구들(2136)과 비교하여, 제각기, 개구들(2116)에 대해 2개의 상이한 충전 구조체를 남긴다.
도 21k를 참조하면, 절연 충전 재료(2152)가 도 21j의 구조체의 개구들(2116 및 2136)에 형성되고 평탄화된다. 실시예에서, 절연 충전 재료(2152)는, 유동성(flowable) 실리콘 산화물 또는 실리콘 이산화물 재료와 같은 유동성 산화물 재료이다.
도 21l을 참조하면, 절연 충전 재료(2152)가 리세싱된 절연 충전 재료(2154)를 형성하도록 도 21k의 구조체의 개구들(2116 및 2136) 내에서 리세싱된다. 실시예에서, 리세싱된 절연 충전 재료(2154)를 경화시키기 위해 리세스 공정의 일부로서 또는 리세스 공정에 후속하여 증기 산화(steam oxidation) 공정이 수행된다. 하나의 이러한 실시예에서, 리세싱된 절연 충전 재료(2154)가 수축하여, 핀들(2102 및 2122)에 대해 인장 응력을 유도한다. 그렇지만, NMOS 영역에서보다 PMOS 영역에 상대적으로 더 적은 인장 응력 유도 재료가 있다.
도 21m을 참조하면, 제3 재료 라이너(2156)가 도 21l의 구조체 위에 있다. 실시예에서, 제3 재료 라이너(2156)는, 제3 실리콘 질화물 재료 라이너와 같은 실리콘 및 질소를 포함한다. 실시예에서, 제3 재료 라이너(2156)는 후속하는 소스 또는 드레인 콘택트 에칭 동안 리세싱된 절연 충전 재료(2154)가 에칭 아웃되는 것을 방지한다.
도 22a 내지 도 22d는 본 개시내용의 실시예에 따른, PMOS 핀 단부 스트레서 유전체 플러그의 예시적인 구조체들의 단면도들을 예시한다.
도 22a를 참조하면, 구조체(2100)의 PMOS 영역 상의 개구(2136)는 개구(2136)의 측벽들을 따라 재료 라이너(2140)를 포함한다. 제2 재료 라이너(2148)가 재료 라이너(2140)의 하부 부분과는 등각이지만 재료 라이너(2140)의 상부 부분에 대해 상대적으로 리세싱된다. 리세싱된 절연 충전 재료(2154)는 제2 재료 라이너(2148) 내에 있고 제2 재료 라이너(2148)의 상부 표면과 동일 평면인 상부 표면을 갖는다. 제3 재료 라이너(2156)는 재료 라이너(2140)의 상부 부분 내에 있고 절연 충전 재료(2154)의 상부 표면 상에 그리고 제2 재료 라이너(2148)의 상부 표면 상에 있다. 제3 재료 라이너(2156)는, 예컨대, 제3 재료 라이너(2156)를 형성하기 위해 사용되는 퇴적 공정의 아티팩트로서, 심(2157)을 갖는다.
도 22b를 참조하면, 구조체(2100)의 PMOS 영역 상의 개구(2136)는 개구(2136)의 측벽들을 따라 재료 라이너(2140)를 포함한다. 제2 재료 라이너(2148)가 재료 라이너(2140)의 하부 부분과는 등각이지만 재료 라이너(2140)의 상부 부분에 대해 상대적으로 리세싱된다. 리세싱된 절연 충전 재료(2154)는 제2 재료 라이너(2148) 내에 있고 제2 재료 라이너(2148)의 상부 표면과 동일 평면인 상부 표면을 갖는다. 제3 재료 라이너(2156)는 재료 라이너(2140)의 상부 부분 내에 있고 절연 충전 재료(2154)의 상부 표면 상에 그리고 제2 재료 라이너(2148)의 상부 표면 상에 있다. 제3 재료 라이너(2156)는 심을 갖지 않는다.
도 22c를 참조하면, 구조체(2100)의 PMOS 영역 상의 개구(2136)는 개구(2136)의 측벽들을 따라 재료 라이너(2140)를 포함한다. 제2 재료 라이너(2148)가 재료 라이너(2140)의 하부 부분과는 등각이지만 재료 라이너(2140)의 상부 부분에 대해 상대적으로 리세싱된다. 리세싱된 절연 충전 재료(2154)는 제2 재료 라이너(2148) 내에 그리고 제2 재료 라이너(2148) 위에 있고 제2 재료 라이너(2148)의 상부 표면 위쪽에 상부 표면을 갖는다. 제3 재료 라이너(2156)는 재료 라이너(2140)의 상부 부분 내에 있고 절연 충전 재료(2154)의 상부 표면 상에 있다. 제3 재료 라이너(2156)가 심을 갖지 않는 것으로 도시되어 있지만, 다른 실시예들에서, 제3 재료 라이너(2156)는 심을 갖는다.
도 22d를 참조하면, 구조체(2100)의 PMOS 영역 상의 개구(2136)는 개구(2136)의 측벽들을 따라 재료 라이너(2140)를 포함한다. 제2 재료 라이너(2148)가 재료 라이너(2140)의 하부 부분과는 등각이지만 재료 라이너(2140)의 상부 부분에 대해 상대적으로 리세싱된다. 리세싱된 절연 충전 재료(2154)는 제2 재료 라이너(2148) 내에 있고 제2 재료 라이너(2148)의 상부 표면 아래로 리세싱된 상부 표면을 갖는다. 제3 재료 라이너(2156)는 재료 라이너(2140)의 상부 부분 내에 있고 절연 충전 재료(2154)의 상부 표면 상에 그리고 제2 재료 라이너(2148)의 상부 표면 상에 있다. 제3 재료 라이너(2156)가 심을 갖지 않는 것으로 도시되어 있지만, 다른 실시예들에서, 제3 재료 라이너(2156)는 심을 갖는다.
도 19a, 도 19b, 도 20a, 도 20b, 도 21a 내지 도 21m, 및 도 22a 내지 도 22d를 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는, 실리콘과 같은 핀을 포함하고, 핀은 상단 및 측벽들을 갖는다. 상단은 한 방향을 따라 가장 긴 치수를 갖는다. 제1 격리 구조체는 핀의 제1 단부 위에 있다. 게이트 구조체는 핀의 영역의 상단 위에 그리고 핀의 영역의 측벽들에 측방향으로 인접해 있는 게이트 전극을 포함한다. 게이트 구조체는 그 방향을 따라 제1 격리 구조체로부터 이격되어 있다. 제2 격리 구조체는 핀의 제2 단부 위에 있고, 제2 단부는 제1 단부의 반대쪽에 있다. 제2 격리 구조체는 그 방향을 따라 게이트 구조체로부터 이격되어 있다. 제1 격리 구조체 및 제2 격리 구조체 둘 다는 제1 유전체 재료와 구별되는 리세싱된 제2 유전체 재료(예컨대, 제2 재료 라이너(2148))를 측방향으로 둘러싸는 제1 유전체 재료(예컨대, 재료 라이너(2140))를 포함한다. 리세싱된 제2 유전체 재료는 제1 및 제2 유전체 재료들과 상이한 제3 유전체 재료(예컨대, 리세싱된 절연 충전 재료(2154))의 적어도 한 부분을 측방향으로 둘러싼다.
일 실시예에서, 제1 격리 구조체 및 제2 격리 구조체 둘 다는 제1 유전체 재료의 상부 부분에 의해 측방향으로 둘러싸인 제4 유전체 재료(예컨대, 제3 재료 라이너(2156))를 추가로 포함하고, 제4 유전체 재료는 제3 유전체 재료의 상부 표면 상에 있다. 하나의 이러한 실시예에서, 제4 유전체 재료가 제2 유전체 재료의 상부 표면 상에 추가로 있다. 또 다른 이러한 실시예에서, 제4 유전체 재료는 대략 수직인 중앙 심을 갖는다. 또 다른 이러한 실시예에서, 제4 유전체 재료는 심을 갖지 않는다.
일 실시예에서, 제3 유전체 재료는 제2 유전체 재료의 상부 표면과 동일 평면인 상부 표면을 갖는다. 일 실시예에서, 제3 유전체 재료는 제2 유전체 재료의 상부 표면 아래에 상부 표면을 갖는다. 일 실시예에서, 제3 유전체 재료는 제2 유전체 재료의 상부 표면 위쪽에 상부 표면을 가지며, 제3 유전체 재료가 제2 유전체 재료의 상부 표면 위에 추가로 있다. 일 실시예에서, 제1 및 제2 격리 구조체들은 핀에 대해 압축 응력을 유도한다. 하나의 이러한 실시예에서, 게이트 전극은 P-형 게이트 전극이다.
일 실시예에서, 제1 격리 구조체는 그 방향을 따른 폭을 갖고, 게이트 구조체는 그 방향을 따른 그 폭을 가지며, 제2 격리 구조체는 그 방향을 따른 그 폭을 갖는다. 하나의 이러한 실시예에서, 게이트 구조체의 중심은 그 방향을 따른 피치만큼 제1 격리 구조체의 중심으로부터 이격되어 있고, 제2 격리 구조체의 중심은 그 방향을 따른 피치만큼 게이트 구조체의 중심으로부터 이격되어 있다. 일 실시예에서, 제1 및 제2 격리 구조체들 둘 다는 층간 유전체 층에서의 대응하는 트렌치에 있다.
하나의 이러한 실시예에서, 제1 소스 또는 드레인 영역은 게이트 구조체와 제1 격리 구조체 사이에 있다. 제2 소스 또는 드레인 영역은 게이트 구조체와 제2 격리 구조체 사이에 있다. 하나의 이러한 실시예에서, 제1 및 제2 소스 또는 드레인 영역들은 실리콘 및 게르마늄을 포함하는 임베디드 소스 또는 드레인 영역들이다. 하나의 이러한 실시예에서, 게이트 구조체는 게이트 전극과 핀의 사이에 그리고 게이트 전극의 측벽들을 따라 하이-k 유전체 층을 추가로 포함한다.
또 다른 양태에서, 반도체 구조체 내에서 또는 공통 기판 상에 형성된 아키텍처 내에서 개개의 유전체 플러그들의 깊이가 변화될 수 있다. 일 예로서, 도 23a는 본 개시내용의 또 다른 실시예에 따른, 핀 단부 응력 유도 피처들을 갖는 또 다른 반도체 구조체의 단면도를 예시하고 있다. 도 23a를 참조하면, 얕은 유전체 플러그(2308A)가 한 쌍의 깊은 유전체 플러그(2308B 및 2308C)와 함께 포함된다. 하나의 이러한 실시예에서, 묘사된 바와 같이, 얕은 유전체 플러그(2308A)는 기판(2304) 내의 반도체 핀(2302)의 깊이와 대략 동일한 깊이에 있는 반면, 한 쌍의 깊은 유전체 플러그(2308B 및 2308C)는 기판(2304) 내의 반도체 핀(2302)의 깊이 아래의 깊이에 있다.
도 23a를 다시 참조하면, 이러한 배열은 인접한 핀들(2302) 사이의 격리를 제공하기 위해 기판(2304) 내로 더 깊게 에칭되는 트렌치에서 핀 트림 격리(FTI) 디바이스들에 대한 응력 증폭(stress amplification)을 가능하게 해줄 수 있다. 칩 상의 트랜지스터들의 밀도를 증가시키기 위해 이러한 접근법이 구현될 수 있다. 실시예에서, 플러그 충전으로 인해 트랜지스터에 대해 유도된 응력 효과가 FTI 트랜지스터들에서는 확대되는데, 그 이유는 응력 전이(stress transfer)가 핀에서도 그리고 기판에서도 또는 트랜지스터보다 꽤 아래에서도 일어나기 때문이다.
또 다른 양태에서, 유전체 플러그에 포함된 인장 응력 유도 산화물 층의 폭 또는 양이, 예컨대, 디바이스가 PMOS 디바이스 또는 NMOS 디바이스인지에 좌우되어, 반도체 구조체 내에서 또는 공통 기판 상에 형성된 아키텍처 내에서 변화될 수 있다. 일 예로서, 도 23b는 본 개시내용의 또 다른 실시예에 따른, 핀 단부 응력 유도 피처들을 갖는 또 다른 반도체 구조체의 단면도를 예시하고 있다. 도 23b를 참조하면, 특정의 실시예에서, NMOS 디바이스들은 대응하는 PMOS 디바이스들보다 인장 응력 유도 산화물 층(2350)을 상대적으로 더 많이 포함한다.
도 23b를 다시 참조하면, 실시예에서, NMOS 및 PMOS에서 적절한 응력을 유도하도록 플러그 충전물을 차별화시키는 것이 구현된다. 예를 들어, NMOS 플러그들(2308D 및 2308E)은 PMOS 플러그들(2308F 및 2308G)보다 더 큰 볼륨 및 더 큰 폭의 인장 응력 유도 산화물 층(2350)을 갖는다. NMOS 및 PMOS 디바이스들에서 상이한 응력을 유도하도록 플러그 충전물이 패터닝될 수 있다. 예를 들어, 리소그래피 패터닝은 PMOS 디바이스들을 벌어지게 하는 데(open up)(예컨대, PMOS 디바이스들에 대한 유전체 플러그 트렌치들을 넓히는 데) 사용될 수 있으며, 이 시점에서 NMOS 디바이스 대 PMOS 디바이스에서 플러그 충전물을 차별화시키기 위해 상이한 충전 옵션들이 수행될 수 있다. 예시적인 실시예에서, PMOS 디바이스들 상의 플러그에서의 유동성 산화물의 볼륨을 감소시키는 것은 유도된 인장 응력을 감소시킬 수 있다. 하나의 이러한 실시예에서, 예컨대, 소스 및 드레인 영역들에 압축 응력을 가하는 것으로부터, 압축 응력이 우세할 수 있다. 다른 실시예들에서, 상이한 플러그 라이너들 또는 상이한 충전 재료들의 사용은 튜닝가능한 응력 제어를 제공한다.
앞서 기술된 바와 같이, 폴리 플러그 응력 효과들이 NMOS 트랜지스터들(예컨대, 인장 채널 응력) 및 PMOS 트랜지스터들(예컨대, 압축 채널 응력) 둘 다에 이득이 될 수 있다는 점을 알아야 한다. 본 개시내용의 실시예에 따르면, 반도체 핀은 단축 응력을 받는(uniaxially stressed) 반도체 핀이다. 단축 응력을 받는 반도체 핀은 인장 응력으로 또는 압축 응력으로 단축 응력을 받을 수 있다. 예를 들어, 본 개시내용의 하나 이상의 실시예에 따르면, 도 24a는 인장 단축 응력을 갖는 핀의 각진 뷰(angled view)를 예시하는 반면, 도 24b는 압축 단축 응력을 갖는 핀의 각진 뷰를 예시하고 있다.
도 24a를 참조하면, 반도체 핀(2400)은 그 내에 배치된 별개의(discrete) 채널 영역(C)을 갖는다. 소스 영역(S)과 드레인 영역(D)은 반도체 핀(2400)에서, 채널 영역(C)의 양측에, 배치된다. 반도체 핀(2400)의 별개의 채널 영역은 단축 인장 응력의 방향(단부들(2402 및 2404) 쪽으로 서로 멀어지게 향해 있는 화살표들)을 따라, 소스 영역(S)으로부터 드레인 영역(D)으로의 전류 흐름 방향을 갖는다.
도 24b를 참조하면, 반도체 핀(2450)은 그 내에 배치된 별개의 채널 영역(C)을 갖는다. 소스 영역(S)과 드레인 영역(D)은 반도체 핀(2450)에서, 채널 영역(C)의 양측에, 배치된다. 반도체 핀(2450)의 별개의 채널 영역은 단축 압축 응력의 방향(단부들(2452 및 2454)로부터 서로를 향해 있는 화살표들)을 따라, 소스 영역(S)으로부터 드레인 영역(D)으로의 전류 흐름 방향을 갖는다. 그에 따라, 본 명세서에 설명된 실시예들은 트랜지스터 이동도 및 구동 전류를 개선시키도록 구현될 수 있어, 보다 빠른 성능의 회로들 및 칩들을 가능하게 한다.
또 다른 양태에서는, 게이트 라인 컷들(폴리 컷들)이 만들어지는 위치들과 핀 트림 격리(FTI) 국소 핀 컷들이 만들어지는 위치들 사이에 관계가 있을 수 있다. 실시예에서, FTI 국소 핀 컷들은 폴리 컷들이 만들어지는 위치들에만 만들어진다. 그렇지만, 하나의 이러한 실시예에서, 폴리 컷이 만들어지는 위치마다 꼭 FTI 컷이 만들어지는 것은 아니다.
도 25a 및 도 25b는 본 개시내용의 실시예에 따른, 선택 게이트 라인 컷 위치들에 국소 격리 구조체를 형성하기 위해 단일 게이트 간격을 갖는 핀들을 패터닝하는 방법에서의 다양한 동작들을 나타내는 평면도들을 예시한다.
도 25a를 참조하면, 집적 회로 구조체를 제조하는 방법은 복수의 핀(2502) - 복수의 핀(2502)의 개개의 것들은 제1 방향(2504)을 따라 가장 긴 치수를 가짐 - 을 형성하는 단계를 포함한다. 복수의 게이트 구조체(2506)는 복수의 핀(2502) 위에 있고, 게이트 구조체들(2506)의 개개의 것들은 제1 방향(2504)에 직교인 제2 방향(2508)을 따라 가장 긴 치수를 갖는다. 실시예에서, 게이트 구조체들(2506)은, 예컨대, 다결정질 실리콘으로 제조되는, 희생 또는 더미 게이트 라인들이다. 일 실시예에서, 복수의 핀(2502)은 실리콘 핀들이고 아래에 놓인 실리콘 기판의 한 부분과 연속적이다.
도 25a를 다시 참조하면, 유전체 재료 구조체(2510)는 복수의 게이트 구조체(2506)의 인접한 게이트 구조체들 사이에 형성된다. 복수의 게이트 구조체(2506) 중 2개의 게이트 구조체의 부분들(2512 및 2513)이 복수의 핀(2502) 각각의 부분들을 노출시키도록 제거된다. 실시예에서, 게이트 구조체들(2506) 중 2개의 게이트 구조체의 부분들(2512 및 2513)을 제거하는 것은 게이트 구조체들(2506)의 부분들(2512 및 2513) 각각의 폭보다 더 넓은 리소그래피 윈도를 사용하는 것을 수반한다. 위치(2512)에 있는 복수의 핀(2502) 각각의 노출된 부분이 제거되어 컷 영역(2520)을 형성한다. 실시예에서, 복수의 핀(2502) 각각의 노출된 부분이 건식 또는 플라스마 에칭 공정을 사용하여 제거된다. 그렇지만, 위치(2513)에 있는 복수의 핀(2502) 각각의 노출된 부분은 제거되지 않도록 마스킹된다. 실시예에서, 영역(2512/2520)은 폴리 컷 및 FTI 국소 핀 컷 둘 다를 나타낸다. 그렇지만, 위치(2513)는 폴리 컷만을 나타낸다.
도 25b를 참조하면, 폴리 컷 및 FTI 국소 핀 컷의 위치(2512/2520) 및 폴리 컷의 위치(2513)는 유전체 플러그들과 같은 절연 구조체들(2530)로 충전된다. 예시적인 절연 구조체들 또는 "폴리 컷" 또는 "플러그" 구조체가 이하에서 기술된다.
도 26a 내지 도 26c는 본 개시내용의 실시예에 따른, 도 25b의 구조체의 다양한 영역들에 대해 폴리 컷 및 FTI 국소 핀 컷 위치들 및 폴리 컷 전용 위치들에 대한 유전체 플러그들에 대한 다양한 가능성들의 단면도들을 예시하고 있다.
도 26a를 참조하면, 위치(2513)에서의 유전체 플러그(2530)의 한 부분(2600A)의 단면도가 도 25b의 구조체의 a-a' 축을 따라 도시되어 있다. 유전체 플러그(2530)의 부분(2600A)이 커팅되지 않은 핀(2502) 상에 그리고 유전체 재료 구조체들(2510) 사이에 도시되어 있다.
도 26b를 참조하면, 위치(2512)에서의 유전체 플러그(2530)의 한 부분(2600B)의 단면도가 도 25b의 구조체의 b-b' 축을 따라 도시되어 있다. 유전체 플러그(2530)의 부분(2600B)이 커팅된 핀 위치(2520) 상에 그리고 유전체 재료 구조체들(2510) 사이에 도시되어 있다.
도 26c를 참조하면, 위치(2512)에서의 유전체 플러그(2530)의 한 부분(2600C)의 단면도가 도 25b의 구조체의 c-c' 축을 따라 도시되어 있다. 유전체 플러그(2530)의 부분(2600C)이 핀들(2502) 사이의 트렌치 격리 구조체(2602) 상에 그리고 유전체 재료 구조체들(2510) 사이에 도시되어 있다. 실시예 - 그의 예들이 앞서 기술됨 - 에서, 트렌치 격리 구조체(2602)는 제1 절연 층(2602A), 제2 절연 층(2602B), 및 제2 절연 층(2602B) 상의 절연 충전 재료(2602C)를 포함한다.
도 25a, 도 25b 및 도 26a 내지 도 26c를 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체를 제조하는 방법은 복수의 핀 - 복수의 핀의 개개의 것들은 제1 방향을 따라 있음 - 을 형성하는 단계를 포함한다. 복수의 게이트 구조체는 복수의 핀 위에 형성되고, 게이트 구조체들의 개개의 것들은 제1 방향에 직교인 제2 방향을 따라 있다. 유전체 재료 구조체가 복수의 게이트 구조체의 인접한 게이트 구조체들 사이에 형성된다. 복수의 게이트 구조체 중 제1 게이트 구조체의 한 부분이 복수의 핀 각각의 제1 부분을 노출시키도록 제거된다. 복수의 게이트 구조체 중 제2 게이트 구조체의 한 부분이 복수의 핀 각각의 제2 부분을 노출시키도록 제거된다. 복수의 핀 각각의 노출된 제1 부분은 제거되지만, 복수의 핀 각각의 노출된 제2 부분은 제거되지 않는다. 제1 절연 구조체는 복수의 핀의 제거된 제1 부분의 위치에 형성된다. 제2 절연 구조체는 복수의 게이트 구조체 중 제2 게이트 구조체의 제거된 부분의 위치에 형성된다.
일 실시예에서, 복수의 게이트 구조체 중 제1 및 제2 게이트 구조체들의 부분들을 제거하는 것은 복수의 게이트 구조체 중 제1 및 제2 게이트 구조체들의 부분들 각각의 폭보다 더 넓은 리소그래피 윈도를 사용하는 것을 수반한다. 일 실시예에서, 복수의 핀 각각의 노출된 제1 부분을 제거하는 것은 복수의 핀의 높이보다 더 작은 깊이로 에칭하는 것을 수반한다. 하나의 이러한 실시예에서, 깊이는 복수의 핀에서의 소스 또는 드레인 영역들의 깊이보다 더 크다. 일 실시예에서, 복수의 핀은 실리콘을 포함하고 실리콘 기판의 한 부분과 연속적이다.
도 16a, 도 25a, 도 25b 및 도 26a 내지 도 26c를 전체적으로 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는 실리콘을 포함하는 핀을 포함하고, 핀은 제1 방향을 따라 가장 긴 치수를 갖는다. 격리 구조체는 핀의 상부 부분 위에 있고, 격리 구조체는 제1 방향을 따른 중심을 갖는다. 제1 게이트 구조체는 핀의 상부 부분 위에 있고, 제1 게이트 구조체는 제1 방향에 직교인 제2 방향을 따라 가장 긴 치수를 갖는다. 제1 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 격리 구조체의 중심으로부터 이격되어 있다. 제2 게이트 구조체는 핀의 상부 부분 위에 있고, 제2 게이트 구조체는 제2 방향을 따라 가장 긴 치수를 갖는다. 제2 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 제1 게이트 구조체의 중심으로부터 이격되어 있다. 제3 게이트 구조체는 제1 및 제2 게이트 구조체들로부터 격리 구조체의 측면의 반대쪽에 있는 핀의 상부 부분 위에 있고, 제3 게이트 구조체는 제2 방향을 따라 가장 긴 치수를 갖는다. 제3 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 격리 구조체의 중심으로부터 이격되어 있다.
일 실시예에서, 제1 게이트 구조체, 제2 게이트 구조체 및 제3 게이트 구조체 각각은 하이-k 게이트 유전체 층의 측벽들 상에 그리고 측벽들 사이에 게이트 전극을 포함한다. 하나의 이러한 실시예에서, 제1 게이트 구조체, 제2 게이트 구조체 및 제3 게이트 구조체 각각은 게이트 전극 상에 그리고 하이-k 게이트 유전체 층의 측벽들 상에 절연 캡을 추가로 포함한다.
일 실시예에서, 제1 에피택셜 반도체 영역은 제1 게이트 구조체와 격리 구조체 사이에서 핀의 상부 부분 상에 있다. 제2 에피택셜 반도체 영역은 제1 게이트 구조체와 제2 게이트 구조체 사이에서 핀의 상부 부분 상에 있다. 제3 에피택셜 반도체 영역은 제3 게이트 구조체와 격리 구조체 사이에서 핀의 상부 부분 상에 있다. 하나의 이러한 실시예에서, 제1, 제2 및 제3 에피택셜 반도체 영역들은 실리콘 및 게르마늄을 포함한다. 또 다른 이러한 실시예에서, 제1, 제2 및 제3 에피택셜 반도체 영역들은 실리콘을 포함한다.
도 16a, 도 25a, 도 25b 및 도 26a 내지 도 26c를 전체적으로 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는 한 쌍의 반도체 핀 사이의 얕은 트렌치 격리(STI) 구조체를 포함하며, STI 구조체는 제1 방향을 따라 가장 긴 치수를 갖는다. 격리 구조체는 STI 구조체 상에 있고, 격리 구조체는 제1 방향을 따른 중심을 갖는다. 제1 게이트 구조체는 STI 구조체 상에 있고, 제1 게이트 구조체는 제1 방향에 직교인 제2 방향을 따라 가장 긴 치수를 갖는다. 제1 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 격리 구조체의 중심으로부터 이격되어 있다. 제2 게이트 구조체는 STI 구조체 상에 있고, 제2 게이트 구조체는 제2 방향을 따라 가장 긴 치수를 갖는다. 제2 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 제1 게이트 구조체의 중심으로부터 이격되어 있다. 제3 게이트 구조체는 제1 및 제2 게이트 구조체들로부터 격리 구조체의 측면의 반대쪽에 있는 STI 구조체 상에 있고, 제3 게이트 구조체는 제2 방향을 따라 가장 긴 치수를 갖는다. 제3 게이트 구조체의 중심은 제1 방향을 따른 피치만큼 격리 구조체의 중심으로부터 이격되어 있다.
일 실시예에서, 제1 게이트 구조체, 제2 게이트 구조체 및 제3 게이트 구조체 각각은 하이-k 게이트 유전체 층의 측벽들 상에 그리고 측벽들 사이에 게이트 전극을 포함한다. 하나의 이러한 실시예에서, 제1 게이트 구조체, 제2 게이트 구조체 및 제3 게이트 구조체 각각은 게이트 전극 상에 그리고 하이-k 게이트 유전체 층의 측벽들 상에 절연 캡을 추가로 포함한다. 일 실시예에서, 한 쌍의 반도체 핀은 한 쌍의 실리콘 핀이다.
또 다른 양태에서, 폴리 컷과 FTI 국소 핀 컷 모두이든 폴리 컷만이든간에, 커팅된 위치들을 충전하기 위해 사용되는 절연 구조체들 또는 유전체 플러그들이 대응하는 커팅된 게이트 라인의 유전체 스페이서들 내로 또는 심지어 대응하는 커팅된 게이트 라인의 유전체 스페이서들을 넘어서 측방향으로 연장될 수 있다.
트렌치 콘택트 형상이 폴리 컷 유전체 플러그에 의해 영향을 받지 않는 제1 예에서, 도 27a는 본 개시내용의 실시예에 따른, 게이트 라인의 유전체 스페이서들 내로 연장되는 유전체 플러그로 커팅된 게이트 라인을 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도를 예시하고 있다.
도 27a를 참조하면, 집적 회로 구조체(2700A)는 제1 방향(2703)을 따라 가장 긴 치수를 갖는 제1 실리콘 핀(2702)을 포함한다. 제2 실리콘 핀(2704)은 제1 방향(2703)을 따라 가장 긴 치수를 갖는다. 제1 실리콘 핀(2702)과 제2 실리콘 핀(2704) 사이에 절연체 재료(2706)가 있다. 게이트 라인(2708)은 제2 방향(2709)을 따라 제1 실리콘 핀(2702) 위에 그리고 제2 실리콘 핀(2704) 위에 있고, 제2 방향(2709)은 제1 방향(2703)에 직교이다. 게이트 라인(2708)은 제1 측면(2708A) 및 제2 측면(2708B)을 가지며, 제1 단부(2708C) 및 제2 단부(2708D)를 갖는다. 게이트 라인(2708)은 절연체 재료(2706) 위에서 게이트 라인(2708)의 제1 단부(2708C)와 제2 단부(2708D) 사이에 불연속부(2710)를 갖는다. 불연속부(2710)는 유전체 플러그(2712)에 의해 충전된다.
트렌치 콘택트(2714)는 게이트 라인(2708)의 제1 측면(2708A)에서 제2 방향(2709)을 따라 제1 실리콘 핀(2702) 위에 그리고 제2 실리콘 핀(2704) 위에 있다. 트렌치 콘택트(2714)는 유전체 플러그(2712)에 측방향으로 인접해 있는 위치(2715)에서 절연체 재료(2706) 위에서 연속적이다. 유전체 스페이서(2716)는 트렌치 콘택트(2714)와 게이트 라인(2708)의 제1 측면(2708A) 사이에서 측방향으로 있다. 유전체 스페이서(2716)는 게이트 라인(2708)의 제1 측면(2708A) 및 유전체 플러그(2712)를 따라 연속적이다. 유전체 스페이서(2716)는 유전체 플러그(2712)에 측방향으로 인접해 있는 폭(W2)이 게이트 라인(2708)의 제1 측면(2708A)에 측방향으로 인접해 있는 폭(W1)보다 더 얇다.
일 실시예에서, 제2 트렌치 콘택트(2718)는 게이트 라인(2708)의 제2 측면(2708B)에서 제2 방향(2709)을 따라 제1 실리콘 핀(2702) 위에 그리고 제2 실리콘 핀(2704) 위에 있다. 제2 트렌치 콘택트(2718)는 유전체 플러그(2712)에 측방향으로 인접해 있는 위치(2719)에서 절연체 재료(2706) 위에서 연속적이다. 하나의 이러한 실시예에서, 제2 유전체 스페이서(2720)는 제2 트렌치 콘택트(2718)와 게이트 라인(2708)의 제2 측면(2708B) 사이에서 측방향으로 있다. 제2 유전체 스페이서(2720)는 게이트 라인(2708)의 제2 측면(2708B) 및 유전체 플러그(2712)를 따라 연속적이다. 제2 유전체 스페이서는 유전체 플러그(2712)에 측방향으로 인접해 있는 폭이 게이트 라인(2708)의 제2 측면(2708B)에 측방향으로 인접해 있는 폭보다 더 얇다.
일 실시예에서, 게이트 라인(2708)은 하이-k 게이트 유전체 층(2722), 게이트 전극(2724), 및 유전체 캡 층(2726)을 포함한다. 일 실시예에서, 유전체 플러그(2712)는 유전체 스페이서(2716)와 동일한 재료를 포함하지만 유전체 스페이서(2716)와 별개이다. 일 실시예에서, 유전체 플러그(2712)는 유전체 스페이서(2716)와 상이한 재료를 포함한다.
트렌치 콘택트 형상이 폴리 컷 유전체 플러그에 의해 영향을 받는 제2 예에서, 도 27b는 본 개시내용의 또 다른 실시예에 따른, 게이트 라인의 유전체 스페이서들을 넘어 연장되는 유전체 플러그로 커팅된 게이트 라인을 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도를 예시하고 있다.
도 27b를 참조하면, 집적 회로 구조체(2700B)는 제1 방향(2753)을 따라 가장 긴 치수를 갖는 제1 실리콘 핀(2752)을 포함한다. 제2 실리콘 핀(2754)은 제1 방향(2753)을 따라 가장 긴 치수를 갖는다. 절연체 재료(2756)는 제1 실리콘 핀(2752)과 제2 실리콘 핀(2754) 사이에 있다. 게이트 라인(2758)은 제2 방향(2759)을 따라 제1 실리콘 핀(2752) 위에 그리고 제2 실리콘 핀(2754) 위에 있고, 제2 방향(2759)은 제1 방향(2753)에 직교이다. 게이트 라인(2758)은 제1 측면(2758A) 및 제2 측면(2758B)을 가지며, 제1 단부(2758C) 및 제2 단부(2758D)를 갖는다. 게이트 라인(2758)은 절연체 재료(2756) 위에서 게이트 라인(2758)의 제1 단부(2758C)와 제2 단부(2758D) 사이에 불연속부(2760)를 갖는다. 불연속부(2760)는 유전체 플러그(2762)에 의해 충전된다.
트렌치 콘택트(2764)는 게이트 라인(2758)의 제1 측면(2758A)에서 제2 방향(2759)을 따라 제1 실리콘 핀(2752) 위에 그리고 제2 실리콘 핀(2754) 위에 있다. 트렌치 콘택트(2764)는 유전체 플러그(2762)에 측방향으로 인접해 있는 위치(2765)에서 절연체 재료(2756) 위에서 연속적이다. 유전체 스페이서(2766)는 트렌치 콘택트(2764)와 게이트 라인(2758)의 제1 측면(2758A) 사이에 측방향으로 있다. 유전체 스페이서(2766)가 게이트 라인(2758)의 제1 측면(2758A)을 따라서는 있지만 유전체 플러그(2762)를 따라서는 있지 않아서, 불연속적인 유전체 스페이서(2766)를 초래한다. 트렌치 콘택트(2764)는 유전체 스페이서(2766)에 측방향으로 인접해 있는 폭(W2)보다 더 얇은, 유전체 플러그(2762)에 측방향으로 인접해 있는 폭(W1)을 갖는다.
일 실시예에서, 제2 트렌치 콘택트(2768)는 게이트 라인(2758)의 제2 측면(2758B)에서 제2 방향(2759)을 따라 제1 실리콘 핀(2752) 위에 그리고 제2 실리콘 핀(2754) 위에 있다. 제2 트렌치 콘택트(2768)는 유전체 플러그(2762)에 측방향으로 인접해 있는 위치(2769)에서 절연체 재료(2756) 위에서 연속적이다. 하나의 이러한 실시예에서, 제2 유전체 스페이서(2770)는 제2 트렌치 콘택트(2768)와 게이트 라인(2758)의 제2 측면(2758B) 사이에 측방향으로 있다. 제2 유전체 스페이서(2770)가 게이트 라인(2758)의 제2 측면(2508B)을 따라서는 있지만 유전체 플러그(2762)를 따라서는 있지 않아서, 불연속적인 유전체 스페이서(2770)를 초래한다. 제2 트렌치 콘택트(2768)는 제2 유전체 스페이서(2770)에 측방향으로 인접해 있는 폭보다 더 얇은, 유전체 플러그(2762)에 측방향으로 인접해 있는 폭을 갖는다.
일 실시예에서, 게이트 라인(2758)은 하이-k 게이트 유전체 층(2772), 게이트 전극(2774), 및 유전체 캡 층(2776)을 포함한다. 일 실시예에서, 유전체 플러그(2762)는 유전체 스페이서(2766)와 동일한 재료를 포함하지만 유전체 스페이서(2766)와 별개이다. 일 실시예에서, 유전체 플러그(2762)는 유전체 스페이서(2766)와 상이한 재료를 포함한다.
폴리 컷 위치에 대한 유전체 플러그가 플러그의 상단으로부터 플러그의 하단으로 테이퍼링되는 제3 예에서, 도 28a 내지 도 28f는 본 개시내용의 또 다른 실시예에 따른, 게이트 라인의 유전체 스페이서들을 넘어 연장되는 상부 부분 및 게이트 라인의 유전체 스페이서들 내로 연장되는 하부 부분을 갖는 유전체 플러그로 커팅된 게이트 라인을 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 단면도들을 예시하고 있다.
도 28a를 참조하면, 반도체 핀들 사이의 트렌치 격리 구조체 위에서와 같은, 구조체(2804) 위에 복수의 게이트 라인(2802)이 형성된다. 일 실시예에서, 게이트 라인들(2802) 각각은, 예컨대, 더미 게이트 전극(2806) 및 유전체 캡(2808)을 갖는 희생 또는 더미 게이트 라인이다. 이러한 희생 또는 더미 게이트 라인들의 부분들은, 예컨대, 이하에서 기술되는 유전체 플러그 형성 이후에, 대체 게이트 공정에서 나중에 대체될 수 있다. 유전체 스페이서들(2810)은 게이트 라인들(2802)의 측벽들을 따라 있다. 층간 유전체 층과 같은 유전체 재료(2812)가 게이트 라인들(2802) 사이에 있다. 마스크(2814)가 형성되고 게이트 라인들(2802) 중 하나의 게이트 라인의 한 부분을 노출시키도록 리소그래피적으로 패터닝된다.
도 28b를 참조하면, 마스크(2814)가 준비된(in place) 상태에서, 중앙 게이트 라인(2802)이 에칭 공정을 이용해 제거된다. 마스크(2814)가 이어서 제거된다. 실시예에서, 에칭 공정은 제거된 게이트 라인(2802)의 유전체 스페이서들(2810)의 부분들을 침식시켜, 감소된 유전체 스페이서들(2816)을 형성한다. 그에 부가하여, 마스크(2814)에 의해 노출된 유전체 재료(2812)의 상부 부분들이 에칭 공정에서 침식되어, 침식된 유전체 재료 부분들(2818)을 형성한다. 특정의 실시예에서, 잔여 다결정질 실리콘과 같은, 잔여 더미 게이트 재료(2820)가, 불완전한 에칭 공정의 아티팩트로서, 구조체에 남아 있다.
도 28c를 참조하면, 하드마스크(2822)가 도 28b의 구조 위에 형성된다. 하드마스크(2822)는 도 2b의 구조체의 상부 부분과 그리고, 특히, 침식된 유전체 재료 부분들(2818)과 등각일 수 있다.
도 28d를 참조하면, 잔여 더미 게이트 재료(2820)는, 예컨대, 게이트 라인들(2802) 중 중앙 게이트 라인을 제거하기 위해 사용되는 에칭 공정과 화학반응(chemistry)이 유사할 수 있는, 에칭 공정을 이용해 제거된다. 실시예에서, 하드마스크(2822)는 침식된 유전체 재료 부분들(2818)을 잔여 더미 게이트 재료(2820)의 제거 동안의 추가의 침식으로부터 보호한다.
도 28e를 참조하면, 하드마스크(2822)가 제거된다. 일 실시예에서, 하드마스크(2822)는 침식된 유전체 재료 부분들(2818)의 추가의 침식 없이 또는 본질적으로 추가의 침식 없이 제거된다.
도 28f를 참조하면, 도 28e의 구조체의 개구에 유전체 플러그(2830)가 형성된다. 유전체 플러그(2830)의 상부 부분은 침식된 유전체 재료 부분들(2818) 위에, 예컨대, 사실상 원래의 스페이서들(2810)을 넘어가 있다. 유전체 플러그(2830)의 하부 부분은, 예컨대, 사실상 원래의 스페이서들(2810) 내로 그러나 그를 넘어가지 않게, 감소된 유전체 스페이서들(2816)에 인접해 있다. 그 결과, 유전체 플러그(2830)는 도 28f에 묘사된 바와 같이 테이퍼링된 프로파일을 갖는다. 유전체 플러그(2830)가 다른 폴리 컷 또는 FTI 플러그들 또는 핀 단부 스트레서들에 대해 앞서 기술된 재료들 및 공정으로부터 제조될 수 있다는 점을 알아야 한다.
또 다른 양태에서, 플레이스홀더 게이트 구조체 또는 더미 게이트 구조체의 부분들이 대체 게이트 공정 동안 트렌치 격리 영역들의 침식에 대한 보호물로서 영구 게이트 구조체 아래의 트렌치 격리 영역들 위에 유지될 수 있다. 예를 들어, 도 29a 내지 도 29c는 본 개시내용의 실시예에 따른, 영구 게이트 스택의 하단의 부분들에 잔여 더미 게이트 재료를 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도들을 예시하고 있다.
도 29a 내지 도 29c를 참조하면, 집적 회로 구조체는 반도체 기판(2904)으로부터 돌출하는, 실리콘 핀과 같은 핀(2902)을 포함한다. 핀(2902)은 하부 핀 부분(2902B) 및 상부 핀 부분(2902A)을 갖는다. 상부 핀 부분(2902A)은 상단(2902C) 및 측벽들(2902D)을 갖는다. 격리 구조체(2906)가 하부 핀 부분(2902B)을 둘러싼다. 격리 구조체(2906)는 상단 표면(2907)을 갖는 절연 재료(2906C)를 포함한다. 반도체 재료(2908)가 절연 재료(2906C)의 상단 표면(2907)의 한 부분 상에 있다. 반도체 재료(2908)가 핀(2902)으로부터 분리되어 있다.
게이트 유전체 층(2910)은 상부 핀 부분(2902A)의 상단(2902C) 위에 그리고 상부 핀 부분(2902A)의 측벽들(2902D)에 측방향으로 인접해 있다. 게이트 유전체 층(2910)이 절연 재료(2906C)의 상단 표면(2907)의 부분 상의 반도체 재료(2908) 상에 추가로 있다. 핀(2902)의 산화된 부분과 같은, 개재하는 부가의 게이트 유전체 층(2911)은 상부 핀 부분(2902A)의 상단(2902C) 위에 그리고 상부 핀 부분(2902A)의 측벽들(2902D)에 측방향으로 인접하게 게이트 유전체 층(2910)과의 사이에 있을 수 있다. 게이트 전극(2912)은 상부 핀 부분(2902A)의 상단(2902C) 위에 그리고 상부 핀 부분(2902A)의 측벽들(2902D)에 측방향으로 인접해 있는 게이트 유전체 층(2910) 위에 있다. 게이트 전극(2912)이 절연 재료(2906C)의 상단 표면(2907)의 부분 상의 반도체 재료(2908) 상의 게이트 유전체 층(2910) 위에 추가로 있다. 제1 소스 또는 드레인 영역(2916)은 게이트 전극(2912)의 제1 측면에 인접해 있고, 제2 소스 또는 드레인 영역(2918)은 게이트 전극(2912)의 제2 측면에 인접해 있으며, 제2 측면은 제1 측면의 반대쪽에 있다. 실시예 - 그의 예들이 앞서 기술됨 - 에서, 격리 구조체(2906)는 제1 절연 층(2906A), 제2 절연 층(2906B), 및 절연 재료(2906C)를 포함한다.
일 실시예에서, 절연 재료(2906C)의 상단 표면(2907)의 부분 상의 반도체 재료(2908)는 다결정질 실리콘이거나 다결정질 실리콘을 포함한다. 일 실시예에서, 절연 재료(2906C)의 상단 표면(2907)은 오목한 함몰부(concave depression)를 갖고, 묘사되어 있으며, 반도체 재료(2908)는 오목한 함몰부에 있다. 일 실시예에서, 격리 구조체(2906)는 절연 재료(2906C)의 하단 및 측벽들을 따라 제2 절연 재료(2906A 또는 2906B 또는 2906A/2906B 둘 다)를 포함한다. 하나의 이러한 실시예에서, 묘사된 바와 같이, 절연 재료(2906C)의 측벽들을 따라 있는 제2 절연 재료(2906A 또는 2906B 또는 2906A/2906B 둘 다)의 부분은 절연 재료(2906C)의 최상부 표면보다 위쪽에 상단 표면을 갖는다. 일 실시예에서, 제2 절연 재료(2906A 또는 2906B 또는 2906A/2906B 둘 다)의 상단 표면은 반도체 재료(2908)의 최상부 표면 위쪽에 있거나 그와 동일 평면이다.
일 실시예에서, 절연 재료(2906C)의 상단 표면(2907) 부분 상의 반도체 재료(2908)는 게이트 유전체 층(2910)을 넘어 연장되지 않는다. 즉, 평면도 관점에서, 반도체 재료(2908)의 위치는 게이트 스택(2912/2910)에 의해 커버된 영역으로 제한된다. 일 실시예에서, 제1 유전체 스페이서(2920)는 게이트 전극(2912)의 제1 측면을 따라 있다. 제2 유전체 스페이서(2922)는 게이트 전극(2912)의 제2 측면을 따라 있다. 하나의 이러한 실시예에서, 도 29b에 묘사된 바와 같이, 게이트 유전체 층(2910)이 제1 유전체 스페이서(2920) 및 제2 유전체 스페이서(2922)의 측벽들을 따라 추가로 연장된다.
일 실시예에서, 게이트 전극(2912)은 등각 전도성 층(2912A)(예컨대, 일함수 층)을 포함한다. 하나의 이러한 실시예에서, 일함수 층(2912A)은 티타늄 및 질소를 포함한다. 또 다른 실시예에서, 일함수 층(2912A)은 티타늄, 알루미늄, 탄소 및 질소를 포함한다. 일 실시예에서, 게이트 전극(2912)은 일함수 층(2912A) 위에 전도성 충전 금속 층(2912B)을 추가로 포함한다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(2912B)은 텅스텐을 포함한다. 특정의 실시예에서, 전도성 충전 금속 층(2912B)은 95 이상 원자%의 텅스텐 및 0.1 내지 2 원자%의 불소(fluorine)를 포함한다. 일 실시예에서, 도 29b에 묘사된 바와 같이, 절연 캡(2924)이 게이트 전극(2912) 상에 있고 게이트 유전체 층(2910) 위에 연장될 수 있다.
도 30a 내지 도 30d는 본 개시내용의 또 다른 실시예에 따른, 영구 게이트 스택의 하단 부분들에 잔여 더미 게이트 재료를 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다. 도 29c의 구조체의 a-a' 축의 한 부분을 따른 관점이 도시되어 있다.
도 30a를 참조하면, 집적 회로 구조체를 제조하는 방법은 반도체 기판(3002)으로부터 핀(3000)을 형성하는 단계를 포함한다. 핀(3000)은 하부 핀 부분(3000A) 및 상부 핀 부분(3000B)을 갖는다. 상부 핀 부분(3000B)은 상단(3000C) 및 측벽들(3000D)을 갖는다. 격리 구조체(3004)는 하부 핀 부분(3000A)을 둘러싼다. 격리 구조체(3004)는 상단 표면(3005)을 갖는 절연 재료(3004C)를 포함한다. 플레이스홀더 게이트 전극(3006)은 상부 핀 부분(3000B)의 상단(3000C) 위에 그리고 상부 핀 부분(3000B)의 측벽들(3000D)에 측방향으로 인접해 있다. 플레이스홀더 게이트 전극(3006)은 반도체 재료를 포함한다.
비록 도 30a의 관점에서 묘사되지는 않았지만(그러나, 그에 대한 위치들은 도 29c에 도시되어 있음), 제1 소스 또는 드레인 영역은 플레이스홀더 게이트 전극(3006)의 제1 측면에 인접하여 형성될 수 있고, 제2 소스 또는 드레인 영역은 플레이스홀더 게이트 전극(3006)의 제2 측면에 인접하여 형성될 수 있으며, 제2 측면은 제1 측면의 반대쪽에 있다. 그에 부가하여, 게이트 유전체 스페이서들은 플레이스홀더 게이트 전극(3006)의 측벽들을 따라 형성될 수 있고, 층간 유전체(ILD) 층은 플레이스홀더 게이트 전극(3006)에 측방향으로 인접하게 형성될 수 있다.
일 실시예에서, 플레이스홀더 게이트 전극(3006)은 다결정질 실리콘이거나 다결정질 실리콘을 포함한다. 일 실시예에서, 묘사된 바와 같이, 격리 구조체(3004)의 절연 재료(3004C)의 상단 표면(3005)은 오목한 함몰부를 갖는다. 플레이스홀더 게이트 전극(3006)의 한 부분은 오목한 함몰부에 있다. 일 실시예에서, 묘사된 바와 같이, 격리 구조체(3004)는 절연 재료(3004C)의 하단 및 측벽들을 따라 제2 절연 재료(3004A 또는 3004B 또는 3004A 및 3004B 둘 다)를 포함한다. 하나의 이러한 실시예에서, 절연 재료(3004C)의 측벽들을 따라 있는 제2 절연 재료(3004A 또는 3004B 또는 3004A 및 3004B 둘 다)의 부분은 절연 재료(3004C)의 상단 표면(3005)의 적어도 한 부분보다 위쪽에 상단 표면을 갖는다. 일 실시예에서, 제2 절연 재료(3004A 또는 3004B 또는 3004A 및 3004B 둘 다)의 상단 표면은 플레이스홀더 게이트 전극(3006)의 한 부분의 최하부 표면 위쪽에 있다.
도 30b를 참조하면, 플레이스홀더 게이트 전극(3006)은, 예컨대, 도 30a의 방향(3008)을 따라, 상부 핀 부분(3000B)의 상단(3000C) 및 측벽들(3000D) 위로부터 에칭된다. 에칭 공정은 대체 게이트 공정이라고 지칭될 수 있다. 실시예에서, 에칭 또는 대체 게이트 공정은 불완전하고, 격리 구조체(3004)의 절연 재료(3004C)의 상단 표면(3005)의 적어도 한 부분 상에 플레이스홀더 게이트 전극(3006)의 한 부분(3012)을 남긴다.
도 30a 및 도 30b 둘 다를 참조하면, 실시예에서, 묘사된 바와 같이, 플레이스홀더 게이트 전극(3006)을 형성하는 것보다 앞서 형성된 상부 핀 부분(3000B)의 산화된 부분(3010)이 에칭 공정 동안 유지된다. 그렇지만, 또 다른 실시예에서, 플레이스홀더 게이트 유전체 층은 플레이스홀더 게이트 전극(3006)을 형성하는 것보다 앞서 형성되고, 플레이스홀더 게이트 유전체 층은 플레이스홀더 게이트 전극을 에칭한 것에 후속하여 제거된다.
도 30c를 참조하면, 게이트 유전체 층(3014)은 상부 핀 부분(3000B)의 상단(3000C) 위에 그리고 상부 핀 부분(3000B)의 측벽들(3000D)에 측방향으로 인접하게 형성된다. 일 실시예에서, 묘사된 바와 같이, 게이트 유전체 층(3014)은 상부 핀 부분(3000B)의 상단(3000C) 위에 그리고 상부 핀 부분(3000B)의 측벽들(3000D)에 측방향으로 인접하게 상부 핀 부분(3000B)의 산화된 부분(3010) 상에 형성된다. 또 다른 실시예에서, 상부 핀 부분(3000B)의 산화된 부분(3010)이 플레이스홀더 게이트 전극을 에칭하는 것에 후속하여 제거되는 경우에 게이트 유전체 층(3014)은 상부 핀 부분(3000B)의 상단(3000C) 위에 그리고 상부 핀 부분(3000B)의 측벽들(3000D)에 측방향으로 인접하게 상부 핀 부분(3000B) 상에 바로 다음에 형성된다. 어느 경우든지, 실시예에서, 게이트 유전체 층(3014)이 격리 구조체(3004)의 절연 재료(3004C)의 상단 표면(3005)의 부분 상의 플레이스홀더 게이트 전극(3006)의 부분(3012) 상에 추가로 형성된다.
도 30d를 참조하면, 영구 게이트 전극(3016)은 상부 핀 부분(3000B)의 상단(3000C) 위에 그리고 상부 핀 부분(3000B)의 측벽들(3000D)에 측방향으로 인접해 있는 게이트 유전체 층(3014) 위에 형성된다. 영구 게이트 전극(3016)이 절연 재료(3004C)의 상단 표면(3005)의 부분 상의 플레이스홀더 게이트 전극(3006)의 부분(3012) 상의 게이트 유전체 층(3014) 위에 추가로 있다.
일 실시예에서, 영구 게이트 전극(3016)을 형성하는 것은 일함수 층(3016A)을 형성하는 것을 포함한다. 하나의 이러한 실시예에서, 일함수 층(3016A)은 티타늄 및 질소를 포함한다. 또 다른 이러한 실시예에서, 일함수 층(3016A)은 티타늄, 알루미늄, 탄소 및 질소를 포함한다. 일 실시예에서, 영구 게이트 전극(3016)을 형성하는 것은 일함수 층(3016A) 위에 형성된 전도성 충전 금속 층(3016B)을 형성하는 것을 추가로 포함한다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(3016B)을 형성하는 것은 원자 층 퇴적(atomic layer deposition, ALD)을 사용하여 텅스텐 헥사플루오라이드(WF6) 전구체로 텅스텐 함유 막을 형성하는 것을 포함한다. 실시예에서, 절연 게이트 캡 층(3018)이 영구 게이트 전극(3016) 상에 형성된다.
또 다른 양태에서, 본 개시내용의 일부 실시예들은 게이트 전극에 대한 게이트 유전체 구조체에 비정질 하이-k 층을 포함한다. 다른 실시예들에서, 부분적으로 또는 전체적으로 결정질인 하이-k 층이 게이트 전극에 대한 게이트 유전체 구조체에 포함된다. 부분적으로 또는 전체적으로 결정질인 하이-k 층이 포함되는 일 실시예에서, 게이트 유전체 구조체는 강유전성(ferroelectric, FE) 게이트 유전체 구조체이다. 부분적으로 또는 전체적으로 결정질인 하이-k 층이 포함되는 또 다른 실시예에서, 게이트 유전체 구조체는 반강유전성(antiferroelectric, AFE) 게이트 유전체 구조체이다.
실시예에서, 강유전성 또는 반강유전성 게이트 산화물들을 채택함으로써 디바이스 채널에서의 전하를 증가시키고 문턱전압 이하(sub-threshold) 거동을 개선시키기 위한 접근법들이 본 명세서에 설명된다. 강유전성 및 반강유전성 게이트 산화물은 보다 높은 전류를 위해 채널 전하를 증가시킬 수 있고 또한 보다 급격한 턴온 거동을 할 수 있다.
맥락을 제공하기 위해, 하프늄 또는 지르코늄(Hf 또는 Zr)계 강유전성 및 반강유전성(FE 또는 AFE) 재료들은 전형적으로 납 지르코늄 티타네이트(PZT)와 같은 강유전성 재료보다 훨씬 더 얇으며, 이에 따라, 고도로 스케일링된 로직 기술과 양립가능할 수 있다. 로직 트랜지스터들의 성능을 개선시킬 수 있는 FE 또는 AFE 재료들의 두 가지 특징이 있다: (1) FE 또는 AFE 분극(polarization)에 의해 달성되는 채널에서의 보다 높은 전하 및 (2) 급속한 FE 또는 AFE 전이(transition)로 인한 보다 급격한 턴온 거동. 이러한 특성들은 전류를 증가시키고 문턱전압 이하 스윙(subthreshold swing, SS)을 감소시킴으로써 트랜지스터 성능을 개선시킬 수 있다.
도 31a는 본 개시내용의 실시예에 따른, 강유전성(ferroelectric) 또는 반강유전성(antiferroelectric) 게이트 유전체 구조체를 갖는 반도체 디바이스의 단면도를 예시한다.
도 31a를 참조하면, 집적 회로 구조체(3100)는 기판(3104) 위쪽에 게이트 구조체(3102)를 포함한다. 일 실시예에서, 게이트 구조체(3102)는, 단결정질 실리콘과 같은, 단결정질 재료를 포함하는 반도체 채널 구조체(3106) 위쪽에 또는 위에 있다. 게이트 구조체(3102)는 반도체 채널 구조체(3106) 위의 게이트 유전체 및 게이트 유전체 구조체 위의 게이트 전극을 포함한다. 게이트 유전체는 강유전성 또는 반강유전성 다결정질 재료 층(3102A)을 포함한다. 게이트 전극은 강유전성 또는 반강유전성 다결정질 재료 층(3102A) 상에 전도성 층(3102B)을 갖는다. 전도성 층(3102B)은 금속을 포함하고, 장벽 층, 일함수 층, 또는 FE 또는 AFE 층들의 결정화를 향상시키는 템플레이팅 층(templating layer)일 수 있다. 게이트 충전 층 또는 층(들)(3102C)은 전도성 층(3102B) 상에 또는 그 위쪽에 있다. 소스 영역(3108)과 드레인 영역(3110)은 게이트 구조체(3102)의 양측(opposite sides)에 있다. 소스 또는 드레인 콘택트들(3112)은 위치들(3149)에서 소스 영역(3108) 및 드레인 영역(3110)에 전기적으로 연결되고, 층간 유전체 층(3114) 또는 게이트 유전체 스페이서들(3116) 중 하나 또는 둘 다에 의해 게이트 구조체(3102)로부터 이격되어 있다. 도 31a의 예에서, 소스 영역(3108) 및 드레인 영역(3110)은 기판(3104)의 영역들이다. 실시예에서, 소스 또는 드레인 콘택트들(3112)은 장벽 층(3112A) 및 전도성 트렌치 충전 재료(3112B)를 포함한다. 일 실시예에서, 도 31a에 묘사된 바와 같이, 강유전성 또는 반강유전성 다결정질 재료 층(3102A)은 유전체 스페이서들(3116)을 따라 연장된다.
실시예에서, 그리고 본 개시내용 전반에 걸쳐 적용가능한 바와 같이, 강유전성 또는 반강유전성 다결정질 재료 층(3102A)은 강유전성 다결정질 재료 층이다. 일 실시예에서, 강유전성 다결정질 재료 층은 Zr이 50:50 이상인 Zr:Hf 비로 Zr 및 Hf를 포함하는 산화물이다. 사방정계 결정도(orthorhombic crystallinity)가 증가함에 따라 강유전성 효과가 증가할 수 있다. 일 실시예에서, 강유전성 다결정질 재료 층은 적어도 80%의 사방정계 결정도를 갖는다.
실시예에서, 그리고 본 개시내용 전반에 걸쳐 적용가능한 바와 같이, 강유전성 또는 반강유전성 다결정질 재료 층(3102A)은 반강유전성 다결정질 재료 층이다. 일 실시예에서, 반강유전성 다결정질 재료 층은 Zr이 80:20 이상이며 그리고 심지어 Zr이 100%(ZrO2)까지인 Zr:Hf 비로 Zr 및 Hf를 포함하는 산화물이다. 일 실시예에서, 반강유전성 다결정질 재료 층은 적어도 80%의 정방정계 결정도(tetragonal crystallinity)를 갖는다.
실시예에서, 그리고 본 개시내용 전반에 걸쳐 적용가능한 바와 같이, 게이트 스택(3102)의 게이트 유전체는 강유전성 또는 반강유전성 다결정질 재료 층(3102A)과 반도체 채널 구조체(3106) 사이에, 자연 실리콘 산화물 층(native silicon oxide layer), 하이 K 유전체(HfOx, Al2O3 등), 또는 산화물과 하이 K의 조합과 같은 비정질 유전체 층(3103)을 추가로 포함한다. 실시예에서, 그리고 본 개시내용 전반에 걸쳐 적용가능한 바와 같이, 강유전성 또는 반강유전성 다결정질 재료 층(3102A)은 1 나노미터 내지 8 나노미터 범위의 두께를 갖는다. 실시예에서, 그리고 본 개시내용 전반에 걸쳐 적용가능한 바와 같이, 강유전성 또는 반강유전성 다결정질 재료 층(3102A)은 대략 20 나노미터 이상의 범위의 결정립 크기(crystal grain size)를 갖는다.
실시예에서, 예컨대, 원자 층 퇴적(ALD)에 의한 강유전성 또는 반강유전성 다결정질 재료 층(3102A)의 퇴적 이후에, 금속을 포함하는 층(예컨대, 5 내지 10 나노미터 티타늄 질화물 또는 탄탈륨 질화물 또는 텅스텐과 같은, 층(3102B))이 강유전성 또는 반강유전성 다결정질 재료 층(3102A) 상에 형성된다. 어닐링(anneal)이 이어서 수행된다. 일 실시예에서, 어닐링은 1 밀리초 내지 30분 범위의 지속기간 동안 수행된다. 일 실시예에서, 어닐링은 500 내지 1100 ℃ 범위의 온도에서 수행된다.
도 31b는 본 개시내용의 또 다른 실시예에 따른, 강유전성 또는 반강유전성 게이트 유전체 구조체를 갖는 또 다른 반도체 디바이스의 단면도를 예시한다.
도 31b를 참조하면, 집적 회로 구조체(3150)는 기판(3154) 위쪽에 게이트 구조체(3152)를 포함한다. 일 실시예에서, 게이트 구조체(3152)는, 단결정질 실리콘과 같은, 단결정질 재료를 포함하는 반도체 채널 구조체(3156) 위쪽에 또는 위에 있다. 게이트 구조체(3152)는 반도체 채널 구조체(3156) 위의 게이트 유전체 및 게이트 유전체 구조체 위의 게이트 전극을 포함한다. 게이트 유전체는 강유전성 또는 반강유전성 다결정질 재료 층(3152A)을 포함하고, 비정질 산화물 층(3153)을 추가로 포함할 수 있다. 게이트 전극은 강유전성 또는 반강유전성 다결정질 재료 층(3152A) 상에 전도성 층(3152B)을 갖는다. 전도성 층(3152B)은 금속을 포함하고 장벽 층 또는 일함수 층일 수 있다. 게이트 충전 층 또는 층(들)(3152C)이 전도성 층(3152B) 상에 또는 그 위쪽에 있다. 반도체 채널 구조체(3156)와 상이한 반도체 재료의 영역들과 같은, 융기된(raised) 소스 영역(3158) 및 융기된 드레인 영역(3160)이 게이트 구조체(3152)의 양측에 있다. 소스 또는 드레인 콘택트들(3162)이 위치들(3199)에서 소스 영역(3158) 및 드레인 영역(3160)에 전기적으로 연결되고, 층간 유전체 층(3164) 또는 게이트 유전체 스페이서들(3166) 중 하나 또는 둘 다에 의해 게이트 구조체(3152)로부터 이격되어 있다. 실시예에서, 소스 또는 드레인 콘택트들(3162)은 장벽 층(3162A) 및 전도성 트렌치 충전 재료(3162B)를 포함한다. 일 실시예에서, 도 31b에 묘사된 바와 같이, 강유전성 또는 반강유전성 다결정질 재료 층(3152A)이 유전체 스페이서들(3166)을 따라 연장된다.
도 32a는 본 개시내용의 또 다른 실시예에 따른, 한 쌍의 반도체 핀 위의 복수의 게이트 라인의 평면도를 예시하고 있다.
도 32a를 참조하면, 복수의 반도체 핀(3200) 위에 복수의 활성 게이트 라인(3204)이 형성된다. 더미 게이트 라인들(3206)이 복수의 반도체 핀(3200)의 단부들에 있다. 게이트 라인들(3204/3206) 사이의 간격들(3208)은, 소스 또는 드레인 영역들(3251, 3252, 3253, 및 3254)과 같은 소스 또는 드레인 영역들에 전도성 콘택트들을 제공하기 위해 트렌치 콘택트들이 위치될 수 있는 위치들이다. 실시예에서, 복수의 게이트 라인(3204/3206)의 패턴 또는 복수의 반도체 핀(3200)의 패턴은 그레이팅 구조체로서 기술된다. 일 실시예에서, 그레이팅 유사 패턴은 복수의 게이트 라인(3204/3206) 또는 일정한 피치로 이격되고 일정한 폭을 갖는 복수의 반도체 핀(3200)의 패턴, 또는 둘 다를 포함한다.
도 32b는 본 개시내용의 실시예에 따른, 도 32a의 a-a' 축을 따라 절취된 단면도를 예시한다.
도 32b를 참조하면, 복수의 활성 게이트 라인(3264)이 기판(3260) 위에 형성된 반도체 핀(3262) 위에 형성된다. 더미 게이트 라인들(3266)은 반도체 핀(3262)의 단부들에 있다. 유전체 층(3270)은 더미 게이트 라인들(3266)의 외측에 있다. 트렌치 콘택트 재료(3297)가 활성 게이트 라인들(3264) 사이에, 그리고 더미 게이트 라인들(3266)과 활성 게이트 라인들(3264) 사이에 있다. 임베디드 소스 또는 드레인 구조체들(3268)은 반도체 핀(3262)에서 활성 게이트 라인들(3264) 사이에 그리고 더미 게이트 라인들(3266)과 활성 게이트 라인들(3264) 사이에 있다.
활성 게이트 라인들(3264)은 게이트 유전체 구조체(3272), 일함수 게이트 전극 부분(3274) 및 충전 게이트 전극 부분(3276), 및 유전체 캐핑 층(3278)을 포함한다. 유전체 스페이서들(3280)은 활성 게이트 라인들(3264) 및 더미 게이트 라인들(3266)의 측벽들을 라이닝(line)한다. 실시예에서, 게이트 유전체 구조체(3272)는 강유전성 또는 반강유전성 다결정질 재료 층(3298)을 포함한다. 일 실시예에서, 게이트 유전체 구조체(3272)는 비정질 산화물 층(3299)을 추가로 포함한다.
또 다른 양태에서, 동일한 전도성 유형(conductivity type), 예컨대, N-형 또는 P-형의 디바이스들은 동일한 전도성 유형에 대해 차별화된 게이트 전극 스택들을 가질 수 있다. 그렇지만, 비교 목적을 위해, 동일한 전도성 유형을 갖는 디바이스들이 변조된 도핑에 기초한 차별화된 전압 문턱값(VT)을 가질 수 있다.
도 33a는 본 개시내용의 실시예에 따른, 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 NMOS 디바이스 및 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 PMOS 디바이스의 단면도들을 예시한다.
도 33a를 참조하면, 제1 NMOS 디바이스(3302)는, 실리콘 핀 또는 기판 위에서와 같은, 반도체 활성 영역(3300) 위에서 제2 NMOS 디바이스(3304)에 인접해 있다. 제1 NMOS 디바이스(3302) 및 제2 NMOS 디바이스(3304) 둘 다는 게이트 유전체 층(3306), 일함수 층과 같은 제1 게이트 전극 전도성 층(3308), 및 게이트 전극 전도성 충전물(3310)을 포함한다. 실시예에서, 제1 NMOS 디바이스(3302) 및 제2 NMOS 디바이스(3304)의 제1 게이트 전극 전도성 층(3308)은 동일한 재료 및 동일한 두께를 가지며, 이에 따라, 동일한 일함수를 갖는다. 그러나, 제1 NMOS 디바이스(3302)는 제2 NMOS 디바이스(3304)보다 더 낮은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 NMOS 디바이스(3302)는 "표준 VT" 디바이스라고 지칭되고, 제2 NMOS 디바이스(3304)는 "하이 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 제1 NMOS 디바이스(3302) 및 제2 NMOS 디바이스(3304)의 영역들(3312)에서 변조된 또는 차별화된 주입 도핑을 사용함으로써 달성된다.
도 33a를 다시 참조하면, 제1 PMOS 디바이스(3322)는, 실리콘 핀 또는 기판 위에서와 같은 반도체 활성 영역(3320) 위에서 제2 PMOS 디바이스(3324)에 인접해 있다. 제1 PMOS 디바이스(3322) 및 제2 PMOS 디바이스(3324) 둘 다는 게이트 유전체 층(3326), 일함수 층과 같은 제1 게이트 전극 전도성 층(3328), 및 게이트 전극 전도성 충전물(3330)을 포함한다. 실시예에서, 제1 PMOS 디바이스(3322) 및 제2 PMOS 디바이스(3324)의 제1 게이트 전극 전도성 층(3328)은 동일한 재료 및 동일한 두께를 가지며, 이에 따라, 동일한 일함수를 갖는다. 그렇지만, 제1 PMOS 디바이스(3322)는 제2 PMOS 디바이스(3324)보다 더 높은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 PMOS 디바이스(3322)는 "표준 VT" 디바이스라고 지칭되고, 제2 PMOS 디바이스(3324)는 "로우 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 제1 PMOS 디바이스(3322) 및 제2 PMOS 디바이스(3324)의 영역들(3332)에서 변조된 또는 차별화된 주입 도핑을 사용함으로써 달성된다.
도 33a와는 대조적으로, 도 33b는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 NMOS 디바이스 및 차별화된 게이트 전극 구조에 기초한 차별화된 전압 문턱값을 갖는 한 쌍의 PMOS 디바이스의 단면도들을 예시하고 있다.
도 33b를 참조하면, 제1 NMOS 디바이스(3352)는, 실리콘 핀 또는 기판 위에서와 같은 반도체 활성 영역(3350) 위에서 제2 NMOS 디바이스(3354)에 인접해 있다. 제1 NMOS 디바이스(3352) 및 제2 NMOS 디바이스(3354) 둘 다는 게이트 유전체 층(3356)을 포함한다. 그렇지만, 제1 NMOS 디바이스(3352) 및 제2 NMOS 디바이스(3354)는 구조적으로 상이한 게이트 전극 스택들을 갖는다. 특히, 제1 NMOS 디바이스(3352)는, 제1 일함수 층과 같은 제1 게이트 전극 전도성 층(3358), 및 게이트 전극 전도성 충전물(3360)을 포함한다. 제2 NMOS 디바이스(3354)는 제2 일함수 층과 같은 제2 게이트 전극 전도성 층(3359), 제1 게이트 전극 전도성 층(3358) 및 게이트 전극 전도성 충전물(3360)을 포함한다. 제1 NMOS 디바이스(3352)는 제2 NMOS 디바이스(3354)보다 더 낮은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 NMOS 디바이스(3352)는 "표준 VT" 디바이스라고 지칭되고, 제2 NMOS 디바이스(3354)는 "하이 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 동일한 전도성 유형 디바이스들에 대해 차별화된 게이트 스택들을 사용함으로써 달성된다.
도 33b를 다시 참조하면, 제1 PMOS 디바이스(3372)는, 실리콘 핀 또는 기판 위에서와 같은 반도체 활성 영역(3370) 위에서 제2 PMOS 디바이스(3374)에 인접해 있다. 제1 PMOS 디바이스(3372) 및 제2 PMOS 디바이스(3374) 둘 다는 게이트 유전체 층(3376)을 포함한다. 그렇지만, 제1 PMOS 디바이스(3372) 및 제2 PMOS 디바이스(3374)는 구조적으로 상이한 게이트 전극 스택들을 갖는다. 특히, 제1 PMOS 디바이스(3372)는, 일함수 층과 같은, 제1 두께를 갖는 게이트 전극 전도성 층(3378A), 및 게이트 전극 전도성 충전물(3380)을 포함한다. 제2 PMOS 디바이스(3374)는 제2 두께를 갖는 게이트 전극 전도성 층(3378B), 및 게이트 전극 전도성 충전물(3380)을 포함한다. 일 실시예에서, 게이트 전극 전도성 층(3378A)과 게이트 전극 전도성 층(3378B)은 동일한 조성을 갖지만, 게이트 전극 전도성 층(3378B)의 두께(제2 두께)는 게이트 전극 전도성 층(3378A)의 두께(제1 두께)보다 더 크다. 제1 PMOS 디바이스(3372)는 제2 PMOS 디바이스(3374)보다 더 높은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 PMOS 디바이스(3372)는 "표준 VT" 디바이스라고 지칭되고, 제2 PMOS 디바이스(3374)는 "로우 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 동일한 전도성 유형 디바이스들에 대해 차별화된 게이트 스택들을 사용함으로써 달성된다.
도 33b를 다시 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 핀(예컨대, 3350과 같은 실리콘 핀)을 포함한다. 핀이 (도시된 바와 같은) 상단 및 (지면으로 들어가고 지면으로부터 나온) 측벽들을 갖는다는 점을 알아야 한다. 게이트 유전체 층(3356)이 핀의 상단 위에 그리고 핀의 측벽들에 측방향으로 인접해 있다. 디바이스(3354)의 N-형 게이트 전극은 핀의 상단 위에 그리고 핀의 측벽들에 측방향으로 인접해 있는 게이트 유전체 층(3356) 위에 있다. N-형 게이트 전극은 게이트 유전체 층(3356) 상의 P-형 금속 층(3359), 및 P-형 금속 층(3359) 상의 N-형 금속 층(3358)을 포함한다. 알 수 있는 바와 같이, 제1 N-형 소스 또는 드레인 영역은 게이트 전극의 제1 측면(예컨대, 지면으로 들어가 있음)에 인접해 있을 수 있고, 제2 N-형 소스 또는 드레인 영역은 게이트 전극의 제2 측면(예컨대, 지면으로부터 나와 있음)에 인접해 있을 수 있으며, 제2 측면은 제1 측면의 반대쪽에 있다.
일 실시예에서, P-형 금속 층(3359)은 티타늄 및 질소를 포함하고, N-형 금속 층(3358)은 티타늄, 알루미늄, 탄소 및 질소를 포함한다. 일 실시예에서, P-형 금속 층(3359)은 2 내지 12 옹스트롬 범위의 두께를 가지며, 특정 실시예에서, P-형 금속 층(3359)은 2 내지 4 옹스트롬 범위의 두께를 갖는다. 일 실시예에서, N-형 게이트 전극은 N-형 금속 층(3358) 상에 전도성 충전 금속 층(3360)을 추가로 포함한다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(3360)은 텅스텐을 포함한다. 특정의 실시예에서, 전도성 충전 금속 층(3360)은 95 이상 원자%의 텅스텐 및 0.1 내지 2 원자%의 불소를 포함한다.
도 33b를 다시 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는 전압 문턱값(VT)을 갖는 제1 N-형 디바이스(3352)를 포함하고, 제1 N-형 디바이스(3352)는 제1 게이트 유전체 층(3356), 및 제1 게이트 유전체 층(3356) 상의 제1 N-형 금속 층(3358)을 갖는다. 또한, 전압 문턱값(VT)을 갖는 제2 N-형 디바이스(3354)가 포함되고, 제2 N-형 디바이스(3354)는 제2 게이트 유전체 층(3356), 제2 게이트 유전체 층(3356) 상의 P-형 금속 층(3359), 및 P-형 금속 층(3359) 상의 제2 N-형 금속 층(3358)을 갖는다.
일 실시예에서, 제2 N-형 디바이스(3354)의 VT는 제1 N-형 디바이스(3352)의 VT보다 더 높다. 일 실시예에서, 제1 N-형 금속 층(3358)과 제2 N-형 금속 층(3358)은 동일한 조성을 갖는다. 일 실시예에서, 제1 N-형 금속 층(3358)과 제2 N-형 금속 층(3358)은 동일한 두께를 갖는다. 일 실시예에서, N-형 금속 층(3358)은 티타늄, 알루미늄, 탄소 및 질소를 포함하고, P-형 금속 층(3359)은 티타늄 및 질소를 포함한다.
도 33b를 또다시 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는 전압 문턱값(VT)을 갖는 제1 P-형 디바이스(3372)를 포함하고, 제1 P-형 디바이스(3372)는 제1 게이트 유전체 층(3376), 및 제1 게이트 유전체 층(3376) 상의 제1 P-형 금속 층(3378A)을 갖는다. 제1 P-형 금속 층(3378A)은 두께를 갖는다. 제2 P-형 디바이스(3374)가 또한 포함되고 전압 문턱값(VT)을 갖는다. 제2 P-형 디바이스(3374)는 제2 게이트 유전체 층(3376), 및 제2 게이트 유전체 층(3376) 상의 제2 P-형 금속 층(3378B)을 갖는다. 제2 P-형 금속 층(3378B)은 제1 P-형 금속 층(3378A)의 두께보다 더 큰 두께를 갖는다.
일 실시예에서, 제2 P-형 디바이스(3374)의 VT는 제1 P-형 디바이스(3372)의 VT보다 더 낮다. 일 실시예에서, 제1 P-형 금속 층(3378A)과 제2 P-형 금속 층(3378B)은 동일한 조성을 갖는다. 일 실시예에서, 제1 P-형 금속 층(3378A)과 제2 P-형 금속 층(3378B) 둘 다는 티타늄 및 질소를 포함한다. 일 실시예에서, 제1 P-형 금속 층(3378A)의 두께는 제1 P-형 금속 층(3378A)의 재료의 일함수 포화 두께(work-function saturation thickness)보다 더 작다. 일 실시예에서, 비록 묘사되지는 않았지만, 제2 P-형 금속 층(3378B)은 (예컨대, 제1 퇴적으로부터의) 제2 금속 막 상에 (예컨대, 제2 퇴적으로부터의) 제1 금속 막을 포함하고, 제1 금속 막과 제2 금속 막 사이에 심이 있다.
도 33b를 다시 참조하면, 본 개시내용의 또 다른 실시예에 따르면, 집적 회로 구조체는, 제1 게이트 유전체 층(3356), 및 제1 게이트 유전체 층(3356) 상의 제1 N-형 금속 층(3358)을 갖는, 제1 N-형 디바이스(3352)를 포함한다. 제2 N-형 디바이스(3354)는 제2 게이트 유전체 층(3356), 제2 게이트 유전체 층(3356) 상의 제1 P-형 금속 층(3359), 및 제1 P-형 금속 층(3359) 상의 제2 N-형 금속 층(3358)을 갖는다. 제1 P-형 디바이스(3372)는 제3 게이트 유전체 층(3376), 및 제3 게이트 유전체 층(3376) 상의 제2 P-형 금속 층(3378A)을 갖는다. 제2 P-형 금속 층(3378A)은 두께를 갖는다. 제2 P-형 디바이스(3374)는 제4 게이트 유전체 층(3376), 및 제4 게이트 유전체 층(3376) 상의 제3 P-형 금속 층(3378B)을 갖는다. 제3 P-형 금속 층(3378B)은 제2 P-형 금속 층(3378A)의 두께보다 더 큰 두께를 갖는다.
일 실시예에서, 제1 N-형 디바이스(3352)는 전압 문턱값(VT)을 갖고, 제2 N-형 디바이스(3354)는 전압 문턱값(VT)을 가지며, 제2 N-형 디바이스(3354)의 VT는 제1 N-형 디바이스(3352)의 VT보다 더 낮다. 일 실시예에서, 제1 P-형 디바이스(3372)는 전압 문턱값(VT)을 갖고, 제2 P-형 디바이스(3374)는 전압 문턱값(VT)을 가지며, 제2 P-형 디바이스(3374)의 VT는 제1 P-형 디바이스(3372)의 VT보다 더 낮다. 일 실시예에서, 제3 P-형 금속 층(3378B)은 제2 금속 막 상에 제1 금속 막을 포함하고, 제1 금속 막과 제2 금속 막 사이에 심이 있다.
동일한 전도성 유형에 대한 2개 초과의 유형의 VT 디바이스가, 동일한 다이 상에서와 같은, 동일한 구조체에 포함될 수 있다는 점을 알아야 한다. 제1 예에서, 도 34a는 본 개시내용의 실시예에 따른, 차별화된 게이트 전극 구조에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 NMOS 디바이스 및 차별화된 게이트 전극 구조에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 PMOS 디바이스의 단면도들을 예시하고 있다.
도 34a를 참조하면, 제1 NMOS 디바이스(3402)는, 실리콘 핀 또는 기판 위에서와 같은, 반도체 활성 영역(3400) 위에서 제2 NMOS 디바이스(3404) 및 제3 NMOS 디바이스(3403)에 인접해 있다. 제1 NMOS 디바이스(3402), 제2 NMOS 디바이스(3404), 및 제3 NMOS 디바이스(3403)는 게이트 유전체 층(3406)을 포함한다. 제1 NMOS 디바이스(3402) 및 제3 NMOS 디바이스(3403)는 구조적으로 동일하거나 유사한 게이트 전극 스택들을 갖는다. 그렇지만, 제2 NMOS 디바이스(3404)는 제1 NMOS 디바이스(3402) 및 제3 NMOS 디바이스(3403)와 구조적으로 상이한 게이트 전극 스택을 갖는다. 특히, 제1 NMOS 디바이스(3402) 및 제3 NMOS 디바이스(3403)는, 제1 일함수 층과 같은 제1 게이트 전극 전도성 층(3408), 및 게이트 전극 전도성 충전물(3410)을 포함한다. 제2 NMOS 디바이스(3404)는 제2 일함수 층과 같은 제2 게이트 전극 전도성 층(3409), 제1 게이트 전극 전도성 층(3408) 및 게이트 전극 전도성 충전물(3410)을 포함한다. 제1 NMOS 디바이스(3402)는 제2 NMOS 디바이스(3404)보다 더 낮은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 NMOS 디바이스(3402)는 "표준 VT" 디바이스라고 지칭되고, 제2 NMOS 디바이스(3404)는 "하이 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 동일한 전도성 유형 디바이스들에 대해 차별화된 게이트 스택들을 사용함으로써 달성된다. 실시예에서, 제3 NMOS 디바이스(3403)의 게이트 전극 구조가 제1 NMOS 디바이스(3402)의 게이트 전극 구조와 동일할지라도, 제3 NMOS 디바이스(3403)는 제1 NMOS 디바이스(3402) 및 제2 NMOS 디바이스(3404)의 VT와 상이한 VT를 갖는다. 일 실시예에서, 제3 NMOS 디바이스(3403)의 VT는 제1 NMOS 디바이스(3402)와 제2 NMOS 디바이스(3404)의 VT 사이에 있다. 실시예에서, 제3 NMOS 디바이스(3403)와 제1 NMOS 디바이스(3402) 사이의 차별화된 VT는 제3 NMOS 디바이스(3403)의 영역(3412)에서의 변조된 또는 차별화된 주입 도핑을 사용함으로써 달성된다. 하나의 이러한 실시예에서, 제3 N-형 디바이스(3403)는 제1 N-형 디바이스(3402)의 채널 영역의 도펀트 농도와 상이한 도펀트 농도를 갖는 채널 영역을 갖는다.
도 34a를 다시 참조하면, 제1 PMOS 디바이스(3422)는, 실리콘 핀 또는 기판 위에서와 같은, 반도체 활성 영역(3420) 위에서 제2 PMOS 디바이스(3424) 및 제3 PMOS 디바이스(3423)에 인접해 있다. 제1 PMOS 디바이스(3422), 제2 PMOS 디바이스(3424), 및 제3 PMOS 디바이스(3423)는 게이트 유전체 층(3426)을 포함한다. 제1 PMOS 디바이스(3422) 및 제3 PMOS 디바이스(3423)는 구조적으로 동일하거나 유사한 게이트 전극 스택들을 갖는다. 그렇지만, 제2 PMOS 디바이스(3424)는 제1 PMOS 디바이스(3422) 및 제3 PMOS 디바이스(3423)와 구조적으로 상이한 게이트 전극 스택을 갖는다. 특히, 제1 PMOS 디바이스(3422) 및 제3 PMOS 디바이스(3423)는, 일함수 층과 같은, 제1 두께를 갖는 게이트 전극 전도성 층(3428A), 및 게이트 전극 전도성 충전물(3430)을 포함한다. 제2 PMOS 디바이스(3424)는 제2 두께를 갖는 게이트 전극 전도성 층(3428B), 및 게이트 전극 전도성 충전물(3430)을 포함한다. 일 실시예에서, 게이트 전극 전도성 층(3428A)과 게이트 전극 전도성 층(3428B)은 동일한 조성을 갖지만, 게이트 전극 전도성 층(3428B)의 두께(제2 두께)는 게이트 전극 전도성 층(3428A)의 두께(제1 두께)보다 더 크다. 실시예에서, 제1 PMOS 디바이스(3422)는 제2 PMOS 디바이스(3424)보다 더 높은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 PMOS 디바이스(3422)는 "표준 VT" 디바이스라고 지칭되고, 제2 PMOS 디바이스(3424)는 "로우 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 동일한 전도성 유형 디바이스들에 대해 차별화된 게이트 스택들을 사용함으로써 달성된다. 실시예에서, 제3 PMOS 디바이스(3423)의 게이트 전극 구조가 제1 PMOS 디바이스(3422)의 게이트 전극 구조와 동일할지라도, 제3 PMOS 디바이스(3423)는 제1 PMOS 디바이스(3422) 및 제2 PMOS 디바이스(3424)의 VT와 상이한 VT를 갖는다. 일 실시예에서, 제3 PMOS 디바이스(3423)의 VT는 제1 PMOS 디바이스(3422)와 제2 PMOS 디바이스(3424)의 VT 사이에 있다. 실시예에서, 제3 PMOS 디바이스(3423)와 제1 PMOS 디바이스(3422) 사이의 차별화된 VT는 제3 PMOS 디바이스(3423)의 영역(3432)에서의 변조된 또는 차별화된 주입 도핑을 사용함으로써 달성된다. 하나의 이러한 실시예에서, 제3 P-형 디바이스(3423)는 제1 P-형 디바이스(3422)의 채널 영역의 도펀트 농도와 상이한 도펀트 농도를 갖는 채널 영역을 갖는다.
제2 예에서, 도 34b는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 NMOS 디바이스 및 차별화된 게이트 전극 구조에 그리고 변조된 도핑에 기초한 차별화된 전압 문턱값을 갖는 한 트리플렛의 PMOS 디바이스의 단면도들을 예시하고 있다.
도 34b를 참조하면, 제1 NMOS 디바이스(3452)는, 실리콘 핀 또는 기판 위에서와 같은 반도체 활성 영역(3450) 위에서 제2 NMOS 디바이스(3454) 및 제3 NMOS 디바이스(3453)에 인접해 있다. 제1 NMOS 디바이스(3452), 제2 NMOS 디바이스(3454), 및 제3 NMOS 디바이스(3453)는 게이트 유전체 층(3456)을 포함한다. 제2 NMOS 디바이스(3454) 및 제3 NMOS 디바이스(3453)는 구조적으로 동일하거나 유사한 게이트 전극 스택들을 갖는다. 그렇지만, 제1 NMOS 디바이스(3452)는 제2 NMOS 디바이스(3454) 및 제3 NMOS 디바이스(3453)와 구조적으로 상이한 게이트 전극 스택을 갖는다. 특히, 제1 NMOS 디바이스(3452)는, 제1 일함수 층과 같은 제1 게이트 전극 전도성 층(3458), 및 게이트 전극 전도성 충전물(3460)을 포함한다. 제2 NMOS 디바이스(3454) 및 제3 NMOS 디바이스(3453)는, 제2 일함수 층과 같은 제2 게이트 전극 전도성 층(3459), 제1 게이트 전극 전도성 층(3458) 및 게이트 전극 전도성 충전물(3460)을 포함한다. 제1 NMOS 디바이스(3452)는 제2 NMOS 디바이스(3454)보다 더 낮은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 NMOS 디바이스(3452)는 "표준 VT" 디바이스라고 지칭되고, 제2 NMOS 디바이스(3454)는 "하이 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 동일한 전도성 유형 디바이스들에 대해 차별화된 게이트 스택들을 사용함으로써 달성된다. 실시예에서, 제3 NMOS 디바이스(3453)의 게이트 전극 구조가 제2 NMOS 디바이스(3454)의 게이트 전극 구조와 동일할지라도, 제3 NMOS 디바이스(3453)는 제1 NMOS 디바이스(3452) 및 제2 NMOS 디바이스(3454)의 VT와 상이한 VT를 갖는다. 일 실시예에서, 제3 NMOS 디바이스(3453)의 VT는 제1 NMOS 디바이스(3452)와 제2 NMOS 디바이스(3454)의 VT 사이에 있다. 실시예에서, 제3 NMOS 디바이스(3453)와 제2 NMOS 디바이스(3454) 사이의 차별화된 VT는 제3 NMOS 디바이스(3453)의 영역(3462)에서의 변조된 또는 차별화된 주입 도핑을 사용함으로써 달성된다. 하나의 이러한 실시예에서, 제3 N-형 디바이스(3453)는 제2 N-형 디바이스(3454)의 채널 영역의 도펀트 농도와 상이한 도펀트 농도를 갖는 채널 영역을 갖는다.
도 34b를 또다시 참조하면, 제1 PMOS 디바이스(3472)는, 실리콘 핀 또는 기판 위에서와 같은 반도체 활성 영역(3470) 위에서 제2 PMOS 디바이스(3474) 및 제3 PMOS 디바이스(3473)에 인접해 있다. 제1 PMOS 디바이스(3472), 제2 PMOS 디바이스(3474), 및 제3 PMOS 디바이스(3473)는 게이트 유전체 층(3476)을 포함한다. 제2 PMOS 디바이스(3474) 및 제3 PMOS 디바이스(3473)는 구조적으로 동일하거나 유사한 게이트 전극 스택들을 갖는다. 그렇지만, 제1 PMOS 디바이스(3472)는 제2 PMOS 디바이스(3474) 및 제3 PMOS 디바이스(3473)와 구조적으로 상이한 게이트 전극 스택을 갖는다. 특히, 제1 PMOS 디바이스(3472)는, 일함수 층과 같은, 제1 두께를 갖는 게이트 전극 전도성 층(3478A), 및 게이트 전극 전도성 충전물(3480)을 포함한다. 제2 PMOS 디바이스(3474) 및 제3 PMOS 디바이스(3473)는 제2 두께를 갖는 게이트 전극 전도성 층(3478B), 및 게이트 전극 전도성 충전물(3480)을 포함한다. 일 실시예에서, 게이트 전극 전도성 층(3478A)과 게이트 전극 전도성 층(3478B)은 동일한 조성을 갖지만, 게이트 전극 전도성 층(3478B)의 두께(제2 두께)는 게이트 전극 전도성 층(3478A)의 두께(제1 두께)보다 더 크다. 실시예에서, 제1 PMOS 디바이스(3472)는 제2 PMOS 디바이스(3474)보다 더 높은 VT를 갖는다. 하나의 이러한 실시예에서, 제1 PMOS 디바이스(3472)는 "표준 VT" 디바이스라고 지칭되고, 제2 PMOS 디바이스(3474)는 "로우 VT" 디바이스라고 지칭된다. 실시예에서, 차별화된 VT는 동일한 전도성 유형 디바이스들에 대해 차별화된 게이트 스택들을 사용함으로써 달성된다. 실시예에서, 제3 PMOS 디바이스(3473)의 게이트 전극 구조가 제2 PMOS 디바이스(3474)의 게이트 전극 구조와 동일할지라도, 제3 PMOS 디바이스(3473)는 제1 PMOS 디바이스(3472) 및 제2 PMOS 디바이스(3474)의 VT와 상이한 VT를 갖는다. 일 실시예에서, 제3 PMOS 디바이스(3473)의 VT는 제1 PMOS 디바이스(3472)와 제2 PMOS 디바이스(3474)의 VT 사이에 있다. 실시예에서, 제3 PMOS 디바이스(3473)와 제1 PMOS 디바이스(3472) 사이의 차별화된 VT는 제3 PMOS 디바이스(3473)의 영역(3482)에서의 변조된 또는 차별화된 주입 도핑을 사용함으로써 달성된다. 하나의 이러한 실시예에서, 제3 P-형 디바이스(3473)는 제2 P-형 디바이스(3474)의 채널 영역의 도펀트 농도와 상이한 도펀트 농도를 갖는 채널 영역을 갖는다.
도 35a 내지 도 35d는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 NMOS 디바이스들을 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
"표준 VT NMOS" 영역(STD VT NMOS)과 "하이 VT NMOS" 영역(HIGH VT NMOS)이 공통 기판 상에 양분(bifurcate)되어 있는 것으로 도시되는 도 35a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 제1 및 제2 실리콘 핀들 위에서와 같은, 제1 반도체 핀(3502) 위에 그리고 제2 반도체 핀(3504) 위에 게이트 유전체 층(3506)을 형성하는 단계를 포함한다. P-형 금속 층(3508)이 제1 반도체 핀(3502) 위에서 그리고 제2 반도체 핀(3504) 위에서 게이트 유전체 층(3506) 상에 형성된다.
도 35b를 참조하면, P-형 금속 층(3508)의 한 부분이 제1 반도체 핀(3502) 위의 게이트 유전체 층(3506)으로부터는 제거되지만, P-형 금속 층(3508)의 한 부분(3509)이 제2 반도체 핀(3504) 위의 게이트 유전체 층(3506) 상에는 유지된다.
도 35c를 참조하면, N-형 금속 층(3510)이 제1 반도체 핀(3502) 위의 게이트 유전체 층(3506) 상에 그리고 제2 반도체 핀(3504) 위의 게이트 유전체 층(3506) 상의 P-형 금속 층의 부분(3509) 상에 형성된다. 실시예에서, 후속 처리는 제1 반도체 핀(3502) 위에 전압 문턱값(VT)을 갖는 제1 N-형 디바이스를 형성하는 단계, 및 제2 반도체 핀(3504) 위에 전압 문턱값(VT)을 갖는 제2 N-형 디바이스를 형성하는 단계를 포함하며, 여기서 제2 N-형 디바이스의 VT는 제1 N-형 디바이스의 VT보다 더 높다.
도 35d를 참조하면, 실시예에서, 전도성 충전 금속 층(3512)이 N-형 금속 층(3510) 상에 형성된다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(3512)을 형성하는 단계는 원자 층 퇴적(ALD)을 사용하여 텅스텐 헥사플루오라이드(WF6) 전구체로 텅스텐 함유 막을 형성하는 단계를 포함한다.
도 36a 내지 도 36d는 본 개시내용의 또 다른 실시예에 따른, 차별화된 게이트 전극 구조체에 기초한 차별화된 전압 문턱값을 갖는 PMOS 디바이스들을 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
"표준 VT PMOS" 영역(STD VT PMOS)과 "로우 VT PMOS" 영역(LOW VT PMOS)이 공통 기판 상에 양분되어 있는 것으로 도시되는 도 36a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 제1 및 제2 실리콘 핀들 위에서와 같은, 제1 반도체 핀(3602) 위에 그리고 제2 반도체 핀(3604) 위에 게이트 유전체 층(3606)을 형성하는 단계를 포함한다. 제1 P-형 금속 층(3608)이 제1 반도체 핀(3602) 위의 그리고 제2 반도체 핀(3604) 위의 게이트 유전체 층(3606) 상에 형성된다.
도 36b를 참조하면, 제1 P-형 금속 층(3608)의 한 부분이 제1 반도체 핀(3602) 위의 게이트 유전체 층(3606)으로부터는 제거되지만, 제1 P-형 금속 층(3608)의 한 부분(3609)이 제2 반도체 핀(3604) 위의 게이트 유전체 층(3606) 상에는 유지된다.
도 36c를 참조하면, 제2 P-형 금속 층(3610)이 제1 반도체 핀(3602) 위의 게이트 유전체 층(3606) 상에 그리고 제2 반도체 핀(3604) 위의 게이트 유전체 층(3606) 상의 제1 P-형 금속 층의 부분(3609) 상에 형성된다. 실시예에서, 후속 처리는 제1 반도체 핀(3602) 위에 전압 문턱값(VT)을 갖는 제1 P-형 디바이스를 형성하는 단계, 및 제2 반도체 핀(3604) 위에 전압 문턱값(VT)을 갖는 제2 P-형 디바이스를 형성하는 단계를 포함하며, 여기서 제2 P-형 디바이스의 VT는 제1 P-형 디바이스의 VT보다 더 낮다.
일 실시예에서, 제1 P-형 금속 층(3608)과 제2 P-형 금속 층(3610)은 동일한 조성을 갖는다. 일 실시예에서, 제1 P-형 금속 층(3608)과 제2 P-형 금속 층(3610)은 동일한 두께를 갖는다. 일 실시예에서, 제1 P-형 금속 층(3608)과 제2 P-형 금속 층(3610)은 동일한 두께 및 동일한 조성을 갖는다. 일 실시예에서, 묘사된 바와 같이, 제1 P-형 금속 층(3608)과 제2 P-형 금속 층(3610) 사이에 심(3611)이 있다.
도 36d를 참조하면, 실시예에서, 전도성 충전 금속 층(3612)이 P-형 금속 층(3610) 위에 형성된다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(3612)을 형성하는 단계는 원자 층 퇴적(ALD)을 사용하여 텅스텐 헥사플루오라이드(WF6) 전구체로 텅스텐 함유 막을 형성하는 단계를 포함한다. 일 실시예에서, 묘사된 바와 같이, N-형 금속 층(3614)이 전도성 충전 금속 층(3612)을 형성하는 것보다 앞서 P-형 금속 층(3610) 상에 형성된다. 하나의 이러한 실시예에서, N-형 금속 층(3614)은 듀얼 금속 게이트 대체 처리 스킴의 아티팩트이다.
또 다른 양태에서, CMOS(complementary metal oxide semiconductor) 반도체 디바이스들에 대한 금속 게이트 구조체들이 기술된다. 일 예에서, 도 37은 본 개시내용의 실시예에 따른, P/N 접합을 갖는 집적 회로 구조체의 단면도를 예시하고 있다.
도 37을 참조하면, 집적 회로 구조체(3700)는 N 웰 영역(3704) - 그로부터 돌출하는 제1 반도체 핀(3706)을 가짐 - 및 P 웰 영역(3708) - 그로부터 돌출하는 제2 반도체 핀(3710)을 가짐 - 을 갖는 반도체 기판(3702)을 포함한다. 제1 반도체 핀(3706)은 제2 반도체 핀(3710)으로부터 이격되어 있다. N 웰 영역(3704)은 반도체 기판(3702)에서 P 웰 영역(3708)에 바로 인접해 있다. 반도체 기판(3702) 상에서 제1 반도체 핀(3706) 및 제2 반도체 핀(3210)의 외측에 그리고 이들 사이에 트렌치 격리 구조체(3712)가 있다. 제1 반도체 핀(3706) 및 제2 반도체 핀(3210)은 트렌치 격리 구조체(3712) 위쪽으로 연장된다.
게이트 유전체 층(3714)이 제1 반도체 핀(3706) 및 제2 반도체 핀(3710) 상에 그리고 트렌치 격리 구조체(3712) 상에 있다. 게이트 유전체 층(3714)은 제1 반도체 핀(3706)과 제2 반도체 핀(3710) 사이에서 연속적이다. 전도성 층(3716)이 제1 반도체 핀(3706) 위의 게이트 유전체 층(3714) 위에는 있지만 제2 반도체 핀(3710) 위에는 있지 않다. 일 실시예에서, 전도성 층(3716)은 티타늄, 질소 및 산소를 포함한다. p 형 금속 게이트 층(3718)이 제1 반도체 핀(3706) 위의 전도성 층(3716) 위에는 있지만 제2 반도체 핀(3710) 위에는 있지 않다. p 형 금속 게이트 층(3718)이 제1 반도체 핀(3706)과 제2 반도체 핀(3710) 사이에서 트렌치 격리 구조체(3712)의 전부가 아니라 한 부분 상에 추가로 있다. n 형 금속 게이트 층(3720)이 제2 반도체 핀(3710) 위에, 제1 반도체 핀(3706)과 제2 반도체 핀(3710) 사이의 트렌치 격리 구조체(3712) 위에, 그리고 p 형 금속 게이트 층(3718) 위에 있다.
일 실시예에서, 층간 유전체(ILD) 층(3722)이 제1 반도체 핀(3706) 및 제2 반도체 핀(3710)의 외측들 상에서 트렌치 격리 구조체(3712) 위쪽에 있다. ILD 층(3722)은 개구(3724)를 가지며, 개구(3724)는 제1(3706) 및 제2(3710) 반도체 핀들을 노출시킨다. 하나의 이러한 실시예에서, 묘사된 바와 같이, 전도성 층(3716), p 형 금속 게이트 층(3718), 및 n 형 금속 게이트 층(3720)이 개구(3724)의 측벽(3726)을 따라 추가로 형성된다. 특정의 실시예에서, 묘사된 바와 같이, 전도성 층(3716)은 개구(3724)의 측벽(3726)을 따라 있는 n 형 금속 게이트 층(3720)의 상단 표면(3721) 및 p 형 금속 게이트 층(3718)의 상단 표면(3719) 아래에 개구(3724)의 측벽(3726)을 따라 있는 상단 표면(3717)을 갖는다.
일 실시예에서, p 형 금속 게이트 층(3718)은 티타늄 및 질소를 포함한다. 일 실시예에서, n 형 금속 게이트 층(3720)은 티타늄 및 알루미늄을 포함한다. 일 실시예에서, 묘사된 바와 같이, n 형 금속 게이트 층(3720) 위에 전도성 충전 금속 층(3730)이 있다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(3730)은 텅스텐을 포함한다. 특정의 실시예에서, 전도성 충전 금속 층(3730)은 95 이상 원자%의 텅스텐 및 0.1 내지 2 원자%의 불소를 포함한다. 일 실시예에서, 게이트 유전체 층(3714)은 하프늄 및 산소를 포함하는 층을 갖는다. 일 실시예에서, 묘사된 바와 같이, 열적 또는 화학적 산화물 층(3732)이 제1 반도체 핀(3706)과 제2 반도체 핀(3710)의 상부 부분들 사이에 있다. 일 실시예에서, 반도체 기판(3702)은 벌크 실리콘 반도체 기판이다.
이제 도 37의 우측만을 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 N 웰 영역(3704) - 그로부터 돌출하는 반도체 핀(3706)을 가짐 - 을 포함하는 반도체 기판(3702)을 포함한다. 반도체 기판(3702) 상에서 반도체 핀(3706) 주위에 트렌치 격리 구조체(3712)가 있다. 반도체 핀(3706)은 트렌치 격리 구조체(3712) 위쪽으로 연장된다. 반도체 핀(3706) 위에 게이트 유전체 층(3714)이 있다. 반도체 핀(3706) 위의 게이트 유전체 층(3714) 위에 전도성 층(3716)이 있다. 일 실시예에서, 전도성 층(3716)은 티타늄, 질소 및 산소를 포함한다. 반도체 핀(3706) 위의 전도성 층(3716) 위에 P-형 금속 게이트 층(3718)이 있다.
일 실시예에서, 트렌치 격리 구조체(3712) 위쪽에 층간 유전체(ILD) 층(3722)이 있다. ILD 층은 개구를 가지며, 개구는 반도체 핀(3706)을 노출시킨다. 전도성 층(3716) 및 P-형 금속 게이트 층(3718)이 개구의 측벽을 따라 추가로 형성된다. 하나의 이러한 실시예에서, 전도성 층(3716)은 개구의 측벽을 따라 있는 P-형 금속 게이트 층(3718)의 상단 표면 아래에 개구의 측벽을 따라 있는 상단 표면을 갖는다. 일 실시예에서, 전도성 층(3716) 상에 P-형 금속 게이트 층(3718)이 있다. 일 실시예에서, P-형 금속 게이트 층(3718)은 티타늄 및 질소를 포함한다. 일 실시예에서, P-형 금속 게이트 층(3718) 위에 전도성 충전 금속 층(3730)이 있다. 하나의 이러한 실시예에서, 전도성 충전 금속 층(3730)은 텅스텐을 포함한다. 특정의 이러한 실시예에서, 전도성 충전 금속 층(3730)은 95 이상 원자%의 텅스텐 및 0.1 내지 2 원자%의 불소로 이루어져 있다. 일 실시예에서, 게이트 유전체 층(3714)은 하프늄 및 산소를 갖는 층을 포함한다.
도 38a 내지 도 38h는 본 개시내용의 실시예에 따른, 듀얼 금속 게이트 대체 게이트 공정 흐름을 사용하여 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들의 단면도들을 예시한다.
NMOS(N-형) 영역 및 PMOS(P-형) 영역을 보여주는 도 38a를 참조하면, 집적 회로 구조체를 제조하는 방법은 기판(3800) 위쪽의 제1 및 제2 반도체 핀들(3804 및 3806) 위쪽에 층간 유전체(ILD) 층(3802)을 형성하는 단계를 포함한다. 개구(3808)가 ILD 층(3802)에 형성되고, 개구(3808)는 제1(3804) 및 제2(3806) 반도체 핀들을 노출시킨다. 일 실시예에서, 개구(3808)는 제1(3804) 및 제2(3806) 반도체 핀들 위에 당초에 있었던 게이트 플레이스홀더 또는 더미 게이트 구조체를 제거함으로써 형성된다.
게이트 유전체 층(3810)이 개구(3808)에 그리고 제1(3804) 및 제2(3806) 반도체 핀들 위에 그리고 제1 반도체 핀(3804)과 제2 반도체 핀(3806) 사이의 트렌치 격리 구조체(3812)의 한 부분 상에 형성된다. 일 실시예에서, 묘사된 바와 같이, 게이트 유전체 층(3810)이 제1(3804) 및 제2(3806) 반도체 핀들 상에 형성된, 실리콘 산화물 또는 실리콘 이산화물 층과 같은, 열적 또는 화학적 산화물 층(3811) 상에 형성된다. 또 다른 실시예에서, 게이트 유전체 층(3810)이 제1 반도체 핀(3804) 및 제2 반도체 핀(3806) 상에 바로 다음에 형성된다.
전도성 층(3814)은 제1(3804) 및 제2(3806) 반도체 핀들 위에 형성된 게이트 유전체 층(3810) 위에 형성된다. 일 실시예에서, 전도성 층(3814)은 티타늄, 질소 및 산소를 포함한다. p 형 금속 게이트 층(3816)이 제1 반도체 핀(3804) 위에 그리고 제2 반도체 핀(3806) 위에 형성된 전도성 층(3814) 위에 형성된다.
도 38b를 참조하면, 유전체 에칭 정지 층(dielectric etch stop layer)(3818)이 p 형 금속 게이트 층(3816) 상에 형성된다. 일 실시예에서, 유전체 에칭 정지 층(3818)은 실리콘 산화물(예컨대, SiO2)의 제1 층, 실리콘 산화물의 제1 층 상의 알루미늄 산화물(Al2O3)의 층, 및 알루미늄 산화물의 층 상의 실리콘 산화물(예컨대, SiO2)의 제2 층을 포함한다.
도 38c를 참조하면, 마스크(3820)가 도 38b의 구조 위에 형성된다. 마스크(3820)가 PMOS 영역은 커버하고 NMOS 영역은 노출시킨다.
도 38d를 참조하면, 유전체 에칭 정지 층(3818), p 형 금속 게이트 층(3816) 및 전도성 층(3814)이 제2 반도체 핀(3806) 위가 아니라 제1 반도체 핀(3804) 위에 패터닝된 유전체 에칭 정지 층(3819), 패터닝된 p 형 금속 게이트 층(3817) 및 패터닝된 전도성 층(3815)을 제공하도록 패터닝된다. 실시예에서, 전도성 층(3814)은 패터닝 동안 제2 반도체 핀(3806)을 보호한다.
도 38e를 참조하면, 마스크(3820)는 도 38d의 구조로부터 제거된다. 도 38f를 참조하면, 패터닝된 유전체 에칭 정지 층(3819)이 도 38e의 구조체로부터 제거된다.
도 38g를 참조하면, n 형 금속 게이트 층(3822)이 제2 반도체 핀(3806) 위에, 제1 반도체 핀(3804)과 제2 반도체 핀(3806) 사이의 트렌치 격리 구조체(3812)의 부분 위에, 그리고 패터닝된 p 형 금속 게이트 층(3817) 위에 형성된다. 실시예에서, 패터닝된 전도성 층(3815), 패터닝된 p 형 금속 게이트 층(3817), 및 n 형 금속 게이트 층(3822)이 개구(3808)의 측벽(3824)을 따라 추가로 형성된다. 하나의 이러한 실시예에서, 패터닝된 전도성 층(3815)은 개구(3808)의 측벽(3824)을 따라 있는 패터닝된 p 형 금속 게이트 층(3817)의 상단 표면 및 n 형 금속 게이트 층(3822)의 상단 표면 아래에 개구(3808)의 측벽(3824)을 따라 있는 상단 표면을 갖는다.
도 38h를 참조하면, 전도성 충전 금속 층(3826)이 n 형 금속 게이트 층(3822) 위에 형성된다. 일 실시예에서, 전도성 충전 금속 층(3826)이 원자 층 퇴적(ALD)을 사용하여 텅스텐 헥사플루오라이드(WF6) 전구체로 텅스텐 함유 막을 퇴적시키는 것에 의해 형성된다.
또 다른 양태에서, CMOS(complementary metal oxide semiconductor) 반도체 디바이스들에 대한 듀얼 실리사이드 구조체들이 기술된다. 예시적인 공정 흐름으로서, 도 39a 내지 도 39h는 본 개시내용의 실시예에 따른, 듀얼 실리사이드 기반 집적 회로를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시하고 있다.
NMOS 영역과 PMOS 영역이 공통 기판 상에 양분되어 있는 것으로 도시되는 도 39a를 참조하면, 집적 회로 구조체를 제조하는 방법은, 제1 실리콘 핀과 같은 제1 핀(3904) 위에, 유전체 측벽 스페이서들(3903)을 포함할 수 있는 제1 게이트 구조체(3902)를 형성하는 단계를 포함한다. 유전체 측벽 스페이서들(3953)을 포함할 수 있는 제2 게이트 구조체(3952)는 제2 실리콘 핀과 같은 제2 핀(3954) 위에 형성된다. 절연 재료(3906)가 제1 핀(3904) 위의 제1 게이트 구조체(3902)에 인접하게 그리고 제2 핀(3954) 위의 제2 게이트 구조체(3952)에 인접하게 형성된다. 일 실시예에서, 절연 재료(3906)는 희생 재료이며 듀얼 실리사이드 공정에서 마스크로서 사용된다.
도 39b를 참조하면, 절연 재료(3906)의 제1 부분은 제1 게이트 구조체(3902)에 인접해 있는 제1 핀(3904)의 제1(3908) 및 제2(3910) 소스 또는 드레인 영역들을 노출시키도록 제1 핀(3904) 위로부터는 제거되지만 제2 핀(3954) 위로부터는 제거되지 않는다. 실시예에서, 묘사된 바와 같이, 제1(3908) 및 제2(3910) 소스 또는 드레인 영역들은 제1 핀(3904)의 리세싱된 부분들 내에 형성된 에피택셜 영역들이다. 하나의 이러한 실시예에서, 제1(3908) 및 제2(3910) 소스 또는 드레인 영역들은 실리콘 및 게르마늄을 포함한다.
도 39c를 참조하면, 제1 금속 실리사이드 층(3912)이 제1 핀(3904)의 제1(3908) 및 제2(3910) 소스 또는 드레인 영역들 상에 형성된다. 일 실시예에서, 제1 금속 실리사이드 층(3912)은 도 39b의 구조체 상에 니켈 및 백금을 포함하는 층을 퇴적시키는 것, 니켈 및 백금을 포함하는 층을 어닐링하는 것, 및 니켈 및 백금을 포함하는 층의 반응되지 않은 부분들을 제거하는 것에 의해 형성된다.
도 39d를 참조하면, 제1 금속 실리사이드 층(3912)을 형성한 것에 후속하여, 절연 재료(3906)의 제2 부분이 제2 게이트 구조체(3952)에 인접해 있는 제2 핀(3954)의 제3(3958) 및 제4(3960) 소스 또는 드레인 영역들을 노출시키도록 제2 핀(3954) 위로부터 제거된다. 실시예에서, 묘사된 바와 같이, 제2(3958) 및 제3(3960) 소스 또는 드레인 영역들이, 제2 실리콘 핀 내에서와 같은 제2 핀(3954) 내에 형성된다. 그렇지만, 또 다른 실시예에서, 제3(3958) 및 제4(3960) 소스 또는 드레인 영역들은 제2 핀(3954)의 리세싱된 부분들 내에 형성된 에피택셜 영역들이다. 하나의 이러한 실시예에서, 제3(3958) 및 제4(3960) 소스 또는 드레인 영역들은 실리콘을 포함한다.
도 39e를 참조하면, 제1 금속 층(3914)이 도 39d의 구조체 상에, 즉 제1(3908), 제2(3910), 제3(3958) 및 제4(3960) 소스 또는 드레인 영역들 상에 형성된다. 제2 금속 실리사이드 층(3962)이 이어서 제2 핀(3954)의 제3(3958) 및 제4(3960) 소스 또는 드레인 영역들 상에 형성된다. 제2 금속 실리사이드 층(3962)이, 예컨대, 어닐링 공정을 사용하여, 제1 금속 층(3914)으로부터 형성된다. 실시예에서, 제2 금속 실리사이드 층(3962)은 제1 금속 실리사이드 층(3912)과 조성이 상이하다. 일 실시예에서, 제1 금속 층(3914)은 티타늄 층이거나 티타늄 층을 포함한다. 일 실시예에서, 묘사된 바와 같이, 제1 금속 층(3914)은 등각 금속 층으로서, 예컨대, 도 39d의 개방 트렌치(open trench)들과 등각으로 형성된다.
도 39f를 참조하면, 실시예에서, 제1 금속 층(3914)이 제1(3908), 제2(3910), 제3(3958) 및 제4(3960) 소스 또는 드레인 영역들 각각 위쪽에 U자 형상의 금속 층(3916)을 형성하도록 리세싱된다.
도 39g를 참조하면, 실시예에서, 제2 금속 층(3918)이 도 39f의 구조체의 U자 형상의 금속 층(3916) 상에 형성된다. 실시예에서, 제2 금속 층(3918)은 U자 형상의 금속 층(3916)과 조성이 상이하다.
도 39h를 참조하면, 실시예에서, 제3 금속 층(3920)이 도 39g의 구조체의 제2 금속 층(3918) 상에 형성된다. 실시예에서, 제3 금속 층(3920)은 U자 형상의 금속 층(3916)과 동일한 조성을 갖는다.
도 39h를 다시 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체(3900)는 기판 위쪽에 P-형 반도체 디바이스(PMOS)를 포함한다. P-형 반도체 디바이스는, 제1 실리콘 핀과 같은 제1 핀(3904)을 포함한다. 제1 핀이 (3904A로서 도시된 바와 같은) 상단 및 (예컨대, 지면으로 들어가고 지면으로부터 나온) 측벽들을 갖는다는 점을 알아야 한다. 제1 게이트 전극(3902)은 제1 핀(3904)의 상단(3904A) 위에 그리고 제1 핀(3904)의 측벽들에 측방향으로 인접해 있는 제1 게이트 유전체 층을 포함하고, 제1 핀(3904)의 상단(3904A) 위에 그리고 제1 핀(3904)의 측벽들에 측방향으로 인접해 있는 제1 게이트 유전체 층 위에 제1 게이트 전극을 포함한다. 제1 게이트 전극(3902)은 제1 측면(3902A) 및 제1 측면(3902A)의 반대쪽에 있는 제2 측면(3902B)을 갖는다.
제1(3908) 및 제2(3910) 반도체 소스 또는 드레인 영역들은, 제각기, 제1 게이트 전극(3902)의 제1(3902A) 및 제2(3902B) 측면들에 인접해 있다. 제1(3930) 및 제2(3932) 트렌치 콘택트 구조체들은, 제각기, 제1 게이트 전극(3902)의 제1(3902A) 및 제2(3902B) 측면들에 인접해 있는 제1(3908) 및 제2(3910) 반도체 소스 또는 드레인 영역들 위에 있다. 제1 금속 실리사이드 층(3912)이, 제각기, 제1(3930) 및 제2(3932) 트렌치 콘택트 구조체들과 제1(3908) 및 제2(3910) 반도체 소스 또는 드레인 영역들 사이에 바로 있다.
집적 회로 구조체(3900)는 기판 위쪽에 N-형 반도체 디바이스(NMOS)를 포함한다. N-형 반도체 디바이스는, 제2 실리콘 핀과 같은 제2 핀(3954)을 포함한다. 제2 핀이 (3954A로서 도시된 바와 같은) 상단 및 (예컨대, 지면으로 들어가고 지면으로부터 나온) 측벽들을 갖는다는 점을 알아야 한다. 제2 게이트 전극(3952)은 제2 핀(3954)의 상단(3954A) 위에 그리고 제2 핀(3954)의 측벽들에 측방향으로 인접해 있는 제2 게이트 유전체 층을 포함하고, 제2 핀(3954)의 상단(3954A) 위에 그리고 제2 핀(3954)의 측벽들에 측방향으로 인접해 있는 제2 게이트 유전체 층 위에 제2 게이트 전극을 포함한다. 제2 게이트 전극(3952)은 제1 측면(3952A) 및 제1 측면(3952A)의 반대쪽에 있는 제2 측면(3952B)을 갖는다.
제3(3958) 및 제4(3960) 반도체 소스 또는 드레인 영역들이, 제각기, 제2 게이트 전극(3952)의 제1(3952A) 및 제2(3952B) 측면들에 인접해 있다. 제3(3970) 및 제4(3972) 트렌치 콘택트 구조체들이, 제각기, 제2 게이트 전극(3952)의 제1(3952A) 및 제2(3952B) 측면들에 인접해 있는 제3(3958) 및 제4(3960) 반도체 소스 또는 드레인 영역들 위에 있다. 제2 금속 실리사이드 층(3962)이, 제각기, 제3(3970) 및 제4(3972) 트렌치 콘택트 구조체들과 제3(3958) 및 제4(3960) 반도체 소스 또는 드레인 영역들 사이에 바로 있다. 실시예에서, 제1 금속 실리사이드 층(3912)은 제2 금속 실리사이드 층(3962)에 포함되지 않은 적어도 하나의 금속 종(metal species)을 포함한다.
일 실시예에서, 제2 금속 실리사이드 층(3962)은 티타늄 및 실리콘을 포함한다. 제1 금속 실리사이드 층(3912)은 니켈, 백금 및 실리콘을 포함한다. 일 실시예에서, 제1 금속 실리사이드 층(3912)은 게르마늄을 추가로 포함한다. 일 실시예에서, 제1 금속 실리사이드 층(3912)은, 예컨대, 제1 금속 층(3914)을 이용한 제2 금속 실리사이드 층(3962)의 후속 형성 동안 제1 금속 실리사이드 층(3912)에 혼입(incorporate)되는 바와 같은 티타늄을 추가로 포함한다. 하나의 이러한 실시예에서, PMOS 소스 또는 드레인 영역 상에 이미 형성된 실리사이드 층이 NMOS 소스 또는 드레인 영역 상에 실리사이드 영역을 형성하기 위해 사용되는 어닐링 공정에 의해 추가로 개질(modify)된다. 이는 실리사이드화 금속(siliciding metal)들 전부 중 분수 퍼센트(fractional percentage)를 가지는 PMOS 소스 또는 드레인 영역 상의 실리사이드 층을 초래할 수 있다. 그렇지만, 다른 실시예들에서, PMOS 소스 또는 드레인 영역 상에 이미 형성된 이러한 실리사이드 층이 NMOS 소스 또는 드레인 영역 상에 실리사이드 영역을 형성하기 위해 사용되는 어닐링 공정에 의해 변하지 않거나 실질적으로 변하지 않는다.
일 실시예에서, 제1(3908) 및 제2(3910) 반도체 소스 또는 드레인 영역들은 실리콘 및 게르마늄을 포함하는 제1 및 제2 임베디드 반도체 소스 또는 드레인 영역들이다. 하나의 이러한 실시예에서, 제3(3958) 및 제4(3960) 반도체 소스 또는 드레인 영역들은 실리콘을 포함하는 제3 및 제4 임베디드 반도체 소스 또는 드레인 영역들이다. 또 다른 실시예에서, 제3(3958) 및 제4(3960) 반도체 소스 또는 드레인 영역들은 핀(3954)에 형성되며 임베디드 에피택셜 영역들이 아니다.
실시예에서, 제1(3930), 제2(3932), 제3(3970) 및 제4(3972) 트렌치 콘택트 구조체들 모두는 U자 형상의 금속 층(3916) 및 U자 형상의 금속 층(3916) 전체 상의 그리고 그 위의 T자 형상의 금속 층(3918)을 포함한다. 일 실시예에서, U자 형상의 금속 층(3916)은 티타늄을 포함하고, T자 형상의 금속 층(3918)은 코발트를 포함한다. 일 실시예에서, 제1(3930), 제2(3932), 제3(3970) 및 제4(3972) 트렌치 콘택트 구조체들 모두는 T자 형상의 금속 층(3918) 상의 제3 금속 층(3920)을 추가로 포함한다. 일 실시예에서, 제3 금속 층(3920)과 U자 형상의 금속 층(3916)은 동일한 조성을 갖는다. 특정의 실시예에서, 제3 금속 층(3920) 및 U자 형상의 금속 층은 티타늄을 포함하고, T자 형상의 금속 층(3918)은 코발트를 포함한다.
또 다른 양태에서, 예컨대, 소스 또는 드레인 영역들에 대한 트렌치 콘택트 구조체들이 기술된다. 일 예에서, 도 40a는 본 개시내용의 실시예에 따른, NMOS 디바이스에 대한 트렌치 콘택트들을 갖는 집적 회로 구조체의 단면도를 예시하고 있다. 도 40b는 본 개시내용의 또 다른 실시예에 따른, PMOS 디바이스에 대한 트렌치 콘택트들을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 40a를 참조하면, 집적 회로 구조체(4000)는, 실리콘 핀과 같은 핀(4002)을 포함한다. 핀(4002) 위에 게이트 유전체 층(4004)이 있다. 게이트 유전체 층(4004) 위에 게이트 전극(4006)이 있다. 실시예에서, 게이트 전극(4006)은 등각 전도성 층(4008) 및 전도성 충전물(4010)을 포함한다. 실시예에서, 게이트 전극(4006) 위에 그리고 게이트 유전체 층(4004) 위에 유전체 캡(4012)이 있다. 게이트 전극은 제1 측면(4006A) 및 제1 측면(4006A) 반대편의 제2 측면(4006B)을 갖는다. 게이트 전극(4006)의 측벽들을 따라 유전체 스페이서들(4013)이 있다. 일 실시예에서, 묘사된 바와 같이, 유전체 스페이서들(4013) 중 제1 유전체 스페이서와 게이트 전극(4006)의 제1 측면(4006A) 사이에, 그리고 유전체 스페이서들(4013) 중 제2 유전체 스페이서와 게이트 전극(4006)의 제2 측면(4006B) 사이에 게이트 유전체 층(4004)이 추가로 있다. 실시예에서, 비록 묘사되지는 않았지만, 열적 또는 화학적 실리콘 산화물 또는 실리콘 이산화물 층과 같은 얇은 산화물 층이 핀(4002)과 게이트 유전체 층(4004) 사이에 있다.
제1(4014) 및 제2(4016) 반도체 소스 또는 드레인 영역들은, 제각기, 게이트 전극(4006)의 제1(4006A) 및 제2(4006B) 측면들에 인접해 있다. 일 실시예에서, 묘사된 바와 같이, 제1(4014) 및 제2(4016) 반도체 소스 또는 드레인 영역들이 핀(4002)에 있다. 그렇지만, 또 다른 실시예에서, 제1(4014) 및 제2(4016) 반도체 소스 또는 드레인 영역들은 핀(4002)의 리세스들에 형성되는 임베디드 에피택셜 영역들이다.
제1(4018) 및 제2(4020) 트렌치 콘택트 구조체들은, 제각기, 게이트 전극(4006)의 제1(4006A) 및 제2(4006B) 측면들에 인접해 있는 제1(4014) 및 제2(4016) 반도체 소스 또는 드레인 영역들 위에 있다. 제1(4018) 및 제2(4020) 트렌치 콘택트 구조체들 둘 다는 U자 형상의 금속 층(4022) 및 U자 형상의 금속 층(4022) 전체 상의 그리고 그 위의 T자 형상의 금속 층(4024)을 포함한다. 일 실시예에서, U자 형상의 금속 층(4022)과 T자 형상의 금속 층(4024)은 조성이 상이하다. 하나의 이러한 실시예에서, U자 형상의 금속 층(4022)은 티타늄을 포함하고, T자 형상의 금속 층(4024)은 코발트를 포함한다. 일 실시예에서, 제1(4018) 및 제2(4020) 트렌치 콘택트 구조체들 둘 다는 T자 형상의 금속 층(4024) 상에 제3 금속 층(4026)을 추가로 포함한다. 하나의 이러한 실시예에서, 제3 금속 층(4026)과 U자 형상의 금속 층(4022)은 동일한 조성을 갖는다. 특정의 실시예에서, 제3 금속 층(4026) 및 U자 형상의 금속 층(4022)은 티타늄을 포함하고, T자 형상의 금속 층(4024)은 코발트를 포함한다.
제1 트렌치 콘택트 비아(4028)가 제1 트렌치 콘택트(4018)에 전기적으로 연결된다. 특정의 실시예에서, 제1 트렌치 콘택트 비아(4028)가 제1 트렌치 콘택트(4018)의 제3 금속 층(4026) 상에 있고 그에 결합된다. 제1 트렌치 콘택트 비아(4028)가 추가로 유전체 스페이서들(4013) 중 하나의 유전체 스페이서의 한 부분 위에 그리고 그와 접촉해 있고, 유전체 캡(4012)의 한 부분 위에 그리고 그와 접촉해 있다. 제2 트렌치 콘택트 비아(4030)가 제2 트렌치 콘택트(4020)에 전기적으로 연결된다. 특정의 실시예에서, 제2 트렌치 콘택트 비아(4030)가 제2 트렌치 콘택트(4020)의 제3 금속 층(4026) 상에 있고 그에 결합된다. 제2 트렌치 콘택트 비아(4030)가 추가로 유전체 스페이서들(4013) 중 또 다른 유전체 스페이서의 한 부분 위에 그리고 그와 접촉해 있고, 유전체 캡(4012)의 또 다른 부분 위에 그리고 그와 접촉해 있다.
실시예에서, 금속 실리사이드 층(4032)은, 제각기, 제1(4018) 및 제2(4020) 트렌치 콘택트 구조체들과 제1(4014) 및 제2(4016) 반도체 소스 또는 드레인 영역들 사이에 바로 있다. 일 실시예에서, 금속 실리사이드 층(4032)은 티타늄 및 실리콘을 포함한다. 특정의 이러한 실시예에서, 제1(4014) 및 제2(4016) 반도체 소스 또는 드레인 영역들은 제1 및 제2 N-형 반도체 소스 또는 드레인 영역들이다.
도 40b를 참조하면, 집적 회로 구조체(4050)는, 실리콘 핀과 같은 핀(4052)을 포함한다. 핀(4052) 위에 게이트 유전체 층(4054)이 있다. 게이트 유전체 층(4054) 위에 게이트 전극(4056)이 있다. 실시예에서, 게이트 전극(4056)은 등각 전도성 층(4058) 및 전도성 충전물(4060)을 포함한다. 실시예에서, 게이트 전극(4056) 위에 그리고 게이트 유전체 층(4054) 위에 유전체 캡(4062)이 있다. 게이트 전극은 제1 측면(4056A) 및 제1 측면(4056A)의 반대쪽에 있는 제2 측면(4056B)을 갖는다. 게이트 전극(4056)의 측벽들을 따라 유전체 스페이서들이 있다. 일 실시예에서, 묘사된 바와 같이, 게이트 유전체 층(4054)이 유전체 스페이서들 중 제1 유전체 스페이서와 게이트 전극(4056)의 제1 측면(4056A) 사이에, 그리고 유전체 스페이서들 중 제2 유전체 스페이서와 게이트 전극(4056)의 제2 측면(4056B) 사이에 추가로 있다. 실시예에서, 비록 묘사되지는 않았지만, 열적 또는 화학적 실리콘 산화물 또는 실리콘 이산화물 층과 같은 얇은 산화물 층이 핀(4052)과 게이트 유전체 층(4054) 사이에 있다.
제1(4064) 및 제2(4066) 반도체 소스 또는 드레인 영역들은, 제각기, 게이트 전극(4056)의 제1(4056A) 및 제2(4056B) 측면들에 인접해 있다. 일 실시예에서, 묘사된 바와 같이, 제1(4064) 및 제2(4066) 반도체 소스 또는 드레인 영역들은, 제각기, 핀(4052)의 리세스들(4065 및 4067)에 형성되는 임베디드 에피택셜 영역들이다. 그렇지만, 또 다른 실시예에서, 제1(4064) 및 제2(4066) 반도체 소스 또는 드레인 영역들은 핀(4052)에 있다.
제1(4068) 및 제2(4070) 트렌치 콘택트 구조체들은, 제각기, 게이트 전극(4056)의 제1(4056A) 및 제2(4056B) 측면들에 인접해 있는 제1(4064) 및 제2(4066) 반도체 소스 또는 드레인 영역들 위에 있다. 제1(4068) 및 제2(4070) 트렌치 콘택트 구조체 둘 다는 U자 형상의 금속 층(4072) 및 U자 형상의 금속 층(4072) 전체 상의 그리고 그 위의 T자 형상의 금속 층(4074)을 포함한다. 일 실시예에서, U자 형상의 금속 층(4072)과 T자 형상의 금속 층(4074)은 조성이 상이하다. 하나의 이러한 실시예에서, U자 형상의 금속 층(4072)은 티타늄을 포함하고, T자 형상의 금속 층(4074)은 코발트를 포함한다. 일 실시예에서, 제1(4068) 및 제2(4070) 트렌치 콘택트 구조체 둘 다는 T자 형상의 금속 층(4074) 상에 제3 금속 층(4076)을 추가로 포함한다. 하나의 이러한 실시예에서, 제3 금속 층(4076)과 U자 형상의 금속 층(4072)은 동일한 조성을 갖는다. 특정의 실시예에서, 제3 금속 층(4076) 및 U자 형상의 금속 층(4072)은 티타늄을 포함하고, T자 형상의 금속 층(4074)은 코발트를 포함한다.
제1 트렌치 콘택트 비아(4078)가 제1 트렌치 콘택트(4068)에 전기적으로 연결된다. 특정의 실시예에서, 제1 트렌치 콘택트 비아(4078)가 제1 트렌치 콘택트(4068)의 제3 금속 층(4076) 상에 있고 그에 결합된다. 제1 트렌치 콘택트 비아(4078)가 추가로 유전체 스페이서들 중 하나의 유전체 스페이서의 한 부분 위에 그리고 그와 접촉해 있고, 유전체 캡(4062)의 한 부분 위에 그리고 그와 접촉해 있다. 제2 트렌치 콘택트 비아(4080)는 제2 트렌치 콘택트(4070)에 전기적으로 연결된다. 특정의 실시예에서, 제2 트렌치 콘택트 비아(4080)가 제2 트렌치 콘택트(4070)의 제3 금속 층(4076) 상에 있고 그에 결합된다. 제2 트렌치 콘택트 비아(4080)가 추가로 유전체 스페이서들 중 또 다른 유전체 스페이서의 한 부분 위에 그리고 그와 접촉해 있고, 유전체 캡(4062)의 또 다른 부분 위에 그리고 그와 접촉해 있다.
실시예에서, 금속 실리사이드 층(4082)은, 제각기, 제1(4068) 및 제2(4070) 트렌치 콘택트 구조체들과 제1(4064) 및 제2(4066) 반도체 소스 또는 드레인 영역들 사이에 바로 있다. 일 실시예에서, 금속 실리사이드 층(4082)은 니켈, 백금 및 실리콘을 포함한다. 특정의 이러한 실시예에서, 제1(4064) 및 제2(4066) 반도체 소스 또는 드레인 영역들은 제1 및 제2 P-형 반도체 소스 또는 드레인 영역들이다. 일 실시예에서, 금속 실리사이드 층(4082)은 게르마늄을 추가로 포함한다. 일 실시예에서, 금속 실리사이드 층(4082)은 티타늄을 추가로 포함한다.
본 명세서에 설명된 하나 이상의 실시예는 랩 어라운드 반도체 콘택트들에 대한 금속 화학적 기상 퇴적의 사용에 관한 것이다. 실시예들은 화학적 기상 퇴적(CVD), 플라스마 강화 화학적 기상 퇴적(plasma enhanced chemical vapor deposition, PECVD), 원자 층 퇴적(ALD), 전도성 콘택트 제조, 또는 박막들 중 하나 이상에 적용가능하거나 그를 포함할 수 있다.
특정 실시예들은 등각 소스 또는 드레인 콘택트를 제공하기 위해 콘택트 금속의 저온(예컨대, 500℃ 미만, 또는 400 내지 500℃ 범위의) 화학적 기상 퇴적을 사용하여 티타늄 또는 유사 금속 층을 제조하는 것을 포함할 수 있다. 이러한 등각 소스 또는 드레인 콘택트의 구현은 3차원(3D) 트랜지스터 CMOS(complementary metal oxide semiconductor) 성능을 개선시킬 수 있다.
맥락을 제공하기 위해, 금속 대 반도체 콘택트 층들이 스퍼터링을 사용하여 퇴적될 수 있다. 스퍼터링은 가시선 공정(line of sight process)이고 3D 트랜지스터 제조에는 그렇게 적합하지 않을 수 있다. 공지된 스퍼터링 해결책들은 퇴적 입사각에 따라 디바이스 콘택트 표면들 상에 불량하거나 불완전한 금속-반도체 접합들을 갖는다.
본 개시내용의 하나 이상의 실시예에 따르면, 3차원에서 등각성(conformality)을 제공하고 금속 반도체 접합 접촉 면적을 최대화하도록 콘택트 금속의 제조를 위해 저온 화학적 기상 퇴적 공정이 구현된다. 결과적인 보다 큰 접촉 면적은 접합의 저항을 감소시킬 수 있다. 실시예들은 평평하지 않은 토포그래피를 갖는 반도체 표면들 상의 퇴적을 포함할 수 있으며, 여기서 한 영역의 토포그래피는 표면 형상들 및 피처들 자체를 지칭하고, 평평하지 않은 토포그래피는 평평하지 않은 표면 형상들 및 피처들 또는 표면 형상들 및 피처들의 부분들, 즉 완전히 평평하지는 않은 표면 형상들 및 피처들을 포함한다.
본 명세서에 설명된 실시예들은 랩 어라운드 콘택트 구조체들의 제조를 포함할 수 있다. 하나의 이러한 실시예에서, 화학적 기상 퇴적, 플라스마 강화 화학적 기상 퇴적, 원자 층 퇴적, 또는 플라스마 강화 원자 층 퇴적에 의해 트랜지스터 소스-드레인 콘택트들 상에 등각으로 퇴적되는 순수 금속의 사용이 기술된다. 이러한 등각 퇴적은 이용가능한 금속 반도체 접촉 면적을 증가시키고 저항을 감소시켜, 트랜지스터 디바이스의 성능을 개선시키기 위해 사용될 수 있다. 실시예에서, 상대적으로 낮은 퇴적 온도는 단위 면적당 접합의 최소화된 저항을 가져온다.
본 명세서에 설명된 바와 같은 금속 층 퇴적 공정을 수반하는 집적 스킴을 사용하여 각종의 집적 회로 구조체들이 제조될 수 있다는 점을 알아야 한다. 본 개시내용의 실시예에 따르면, 집적 회로 구조체를 제조하는 방법은 RF 소스를 갖는 화학적 기상 퇴적(CVD) 챔버에 기판을 제공하는 단계를 포함하며, 기판은 그 상에 피처를 갖는다. 방법은 또한 기판의 피처 상에 티타늄(Ti) 층을 형성하도록 사염화 티타늄(TiCl4)과 수소(H2)를 반응시키는 단계를 포함한다.
실시예에서, 티타늄 층은 98% 이상의 티타늄 및 0.5 내지 2%의 염소를 포함하는 총 원자 조성(total atomic composition)을 갖는다. 대안 실시예들에서, 유사한 공정이 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 또는 바나듐(V)의 고순도 금속 층을 제조하기 위해 사용된다. 실시예에서, 막 두께 변동이 비교적 거의 없으며, 예컨대, 실시예에서, 모든 커버리지가 50% 초과이고 공칭(nominal)은 70% 이상이다(즉, 30% 이하의 두께 변동). 실시예에서, 실리콘(Si) 또는 실리콘 게르마늄(SiGe) 상에서의 두께가 다른 표면들보다 측정가능할 정도로(measurably) 더 두꺼운데, 그 이유는 Si 또는 SiGe가 퇴적 동안 반응하고 Ti의 흡수(uptake)를 가속시키기 때문이다. 실시예에서, 막 조성은 대략 0.5% Cl(또는 1% 미만)을 불순물로서 포함하며, 다른 어떤 관찰된 불순물들도 본질적으로 없다. 실시예에서, 퇴적 공정은, 스퍼터 퇴적 가시선(sputter deposition line of sight)에 의해 가려진 표면들과 같은, 비-가시선 표면들 상의 금속 커버리지를 가능하게 해준다. 본 명세서에 설명된 실시예들은 소스 및 드레인 콘택트들을 통해 구동되는 전류의 외부 저항을 감소시킴으로써 트랜지스터 디바이스 구동을 개선시키도록 구현될 수 있다.
본 개시내용의 실시예에 따르면, 기판의 피처는 반도체 소스 또는 드레인 구조체를 노출시키는 소스 또는 드레인 콘택트 트렌치이다. 티타늄 층(또는 다른 고순도 금속 층)은 반도체 소스 또는 드레인 구조체에 대한 전도성 콘택트 층이다. 이러한 구현의 예시적인 실시예들이 도 41a, 도 41b, 도 42, 도 43a 내지 도 43c 및 도 44와 관련하여 이하에서 기술된다.
도 41a는 본 개시내용의 실시예에 따른, 소스 또는 드레인 영역 상에 전도성 콘택트를 갖는 반도체 디바이스의 단면도를 예시한다.
도 41a를 참조하면, 반도체 구조체(4100)는 기판(4104) 위에 게이트 구조체(4102)를 포함한다. 게이트 구조체(4102)는 게이트 유전체 층(4102A), 일함수 층(4102B), 및 게이트 충전물을 포함한다. 소스 영역(4108)과 드레인 영역(4110)이 게이트 구조체(4102)의 양측에 있다. 소스 또는 드레인 콘택트들(4112)이 소스 영역(4108) 및 드레인 영역(4110)에 전기적으로 연결되고, 층간 유전체 층(4114) 또는 게이트 유전체 스페이서들(4116) 중 하나 또는 둘 다에 의해 게이트 구조체(4102)로부터 이격되어 있다. 소스 영역(4108) 및 드레인 영역(4110)은 기판(4104)의 영역들이다.
실시예에서, 소스 또는 드레인 콘택트들(4112)은, 앞서 기술된 것과 같은, 고순도 금속 층(4112A) 및 전도성 트렌치 충전 재료(4112B)를 포함한다. 일 실시예에서, 고순도 금속 층(4112A)은 98% 이상의 티타늄을 포함하는 총 원자 조성을 갖는다. 하나의 이러한 실시예에서, 고순도 금속 층(4112A)의 총 원자 조성은 0.5 내지 2%의 염소를 추가로 포함한다. 실시예에서, 고순도 금속 층(4112A)은 30% 이하의 두께 변동을 갖는다. 실시예에서, 전도성 트렌치 충전 재료(4112B)는 Cu, Al, W, 또는 이들의 합금 - 이들로 제한되지 않음 - 과 같은 전도성 재료로 이루어져 있다.
도 41b는 본 개시내용의 실시예에 따른, 융기된 소스 또는 드레인 영역 상에 전도성을 갖는 또 다른 반도체 디바이스의 단면도를 예시한다.
도 41b를 참조하면, 반도체 구조체(4150)는 기판(4154) 위쪽에 게이트 구조체(4152)를 포함한다. 게이트 구조체(4152)는 게이트 유전체 층(4152A), 일함수 층(4152B), 및 게이트 충전물(4152C)을 포함한다. 소스 영역(4158)과 드레인 영역(4160)이 게이트 구조체(4152)의 양측에 있다. 소스 또는 드레인 콘택트들(4162)이 소스 영역(4158) 및 드레인 영역(4160)에 전기적으로 연결되고, 층간 유전체 층(4164) 또는 게이트 유전체 스페이서들(4166) 중 하나 또는 둘 다에 의해 게이트 구조체(4152)로부터 이격되어 있다. 소스 영역(4158) 및 드레인 영역(4160)은 기판(4154)의 에칭 아웃된 영역들에 형성된 에피택셜 또는 임베디드 재료 영역들이다. 묘사된 바와 같이, 실시예에서, 소스 영역(4158) 및 드레인 영역(4160)은 융기된 소스 및 드레인 영역들이다. 이러한 특정 실시예에서, 융기된 소스 및 드레인 영역들은 융기된 실리콘 소스 및 드레인 영역들 또는 융기된 실리콘 게르마늄 소스 및 드레인 영역들이다.
실시예에서, 소스 또는 드레인 콘택트들(4162)은, 앞서 기술된 것과 같은, 고순도 금속 층(4162A) 및 전도성 트렌치 충전 재료(4162B)를 포함한다. 일 실시예에서, 고순도 금속 층(4162A)은 98% 이상의 티타늄을 포함하는 총 원자 조성을 갖는다. 하나의 이러한 실시예에서, 고순도 금속 층(4162A)의 총 원자 조성은 0.5 내지 2%의 염소를 추가로 포함한다. 실시예에서, 고순도 금속 층(4162A)은 30% 이하의 두께 변동을 갖는다. 실시예에서, 전도성 트렌치 충전 재료(4162B)는 Cu, Al, W, 또는 이들의 합금 - 이들로 제한되지 않음 - 과 같은 전도성 재료로 이루어져 있다.
그에 따라, 실시예에서, 도 41a 및 도 41b를 전체적으로 참조하면, 집적 회로 구조체는 표면을 갖는 피처(반도체 소스 또는 드레인 구조체를 노출시키는 소스 또는 드레인 콘택트 트렌치)를 포함한다. 고순도 금속 층(4112A 또는 4162A)이 소스 또는 드레인 콘택트 트렌치의 표면 상에 있다. 콘택트 형성 공정들이 소스 또는 드레인 영역들의 노출된 실리콘 또는 게르마늄 또는 실리콘 게르마늄 재료의 소비를 수반할 수 있다는 점을 알아야 한다. 이러한 소비는 디바이스 성능을 열화시킬 수 있다. 대조적으로, 본 개시내용의 실시예에 따르면, 반도체 소스(4108 또는 4158) 또는 드레인(4110 또는 4160) 구조체의 표면(4149 또는 4199)이 침식되지 않거나 소비되지 않거나, 또는 소스 또는 드레인 콘택트 트렌치 아래에서 실질적으로 침식되지 않거나 소비되지 않는다. 하나의 이러한 실시예에서, 소비 또는 침식이 없는 것은 고순도 금속 콘택트 층의 저온 퇴적으로 인한 것이다.
도 42는 본 개시내용의 실시예에 따른, 한 쌍의 반도체 핀 위의 복수의 게이트 라인의 평면도를 예시한다.
도 42를 참조하면, 복수의 반도체 핀(4200) 위에 복수의 활성 게이트 라인(4204)이 형성된다. 복수의 반도체 핀(4200)의 단부들에 더미 게이트 라인들(4206)이 있다. 게이트 라인들(4204/4206) 사이의 간격들(4208)은 트렌치 콘택트들이, 소스 또는 드레인 영역들(4251, 4252, 4253, 및 4254)과 같은 소스 또는 드레인 영역들에 대한 전도성 콘택트들로서 형성될 수 있는 위치들이다.
도 43a 내지 도 43c는 본 개시내용의 실시예에 따른, 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들에 대한 도 42의 a-a' 축을 따라 절취된 단면도들을 예시한다.
도 43a를 참조하면, 기판(4300) 위쪽에 형성된 반도체 핀(4302) 위에 복수의 활성 게이트 라인(4304)이 형성된다. 반도체 핀(4302)의 단부들에 더미 게이트 라인들(4306)이 있다. 활성 게이트 라인들(4304) 사이에, 더미 게이트 라인들(4306)과 활성 게이트 라인들(4304) 사이에, 그리고 더미 게이트 라인들(4306)의 외측에 유전체 층(4310)이 있다. 임베디드 소스 또는 드레인 구조체들(4308)은 반도체 핀(4302)에서 활성 게이트 라인들(4304) 사이에 그리고 더미 게이트 라인들(4306)과 활성 게이트 라인들(4304) 사이에 있다. 활성 게이트 라인들(4304)은 게이트 유전체 층(4312), 일함수 게이트 전극 부분(4314) 및 충전 게이트 전극 부분(4316), 및 유전체 캐핑 층(4318)을 포함한다. 유전체 스페이서들(4320)이 활성 게이트 라인들(4304) 및 더미 게이트 라인들(4306)의 측벽들을 라이닝한다.
도 43b를 참조하면, 트렌치 콘택트들이 형성될 위치들에 개구들(4330)을 제공하기 위해 활성 게이트 라인들(4304) 사이의 그리고 더미 게이트 라인들(4306)과 활성 게이트 라인들(4304) 사이의 유전체 층(4310)의 부분이 제거된다. 도 43b에 묘사된 바와 같이, 활성 게이트 라인들(4304) 사이의 및 더미 게이트 라인들(4306)과 활성 게이트 라인들(4304) 사이의 유전체 층(4310)의 부분의 제거는 상부 안장 형상의 토포그래피(upper saddle-shaped topography)를 가질 수 있는 침식된 임베디드 소스 또는 드레인 구조체들(4332)을 제공하도록 임베디드 소스 또는 드레인 구조체들(4308)의 침식을 가져올 수 있다.
도 43c를 참조하면, 활성 게이트 라인들(4304) 사이의 그리고 더미 게이트 라인들(4306)과 활성 게이트 라인들(4304) 사이의 개구들(4330)에 트렌치 콘택트들(4334)이 형성된다. 트렌치 콘택트들(4334) 각각은 금속 콘택트 층(4336) 및 전도성 충전 재료(4338)를 포함할 수 있다.
도 44는 본 개시내용의 실시예에 따른, 집적 회로 구조체에 대한 도 42의 b-b' 축을 따라 절취된 단면도를 예시한다.
도 44를 참조하면, 핀들(4402)이 기판(4404) 위에 묘사되어 있다. 핀들(4402)의 하부 부분들은 트렌치 격리 재료(4404)에 의해 둘러싸여 있다. 임베디드 소스 및 드레인 구조체들(4406)의 성장을 가능하게 하기 위해 핀들(4402)의 상부 부분들이 제거되었다. 트렌치 콘택트(4408)가 유전체 층(4410)의 개구에 형성되고, 개구는 임베디드 소스 및 드레인 구조체들(4406)을 노출시킨다. 트렌치 콘택트는 금속 콘택트 층(4412) 및 전도성 충전 재료(4414)를 포함한다. 실시예에 따르면, 도 44에 묘사된 바와 같이, 금속 콘택트 층(4412)이 트렌치 콘택트(4408)의 상단까지 연장된다는 점을 알아야 한다. 그렇지만, 또 다른 실시예에서, 금속 콘택트 층(4412)이, 예컨대, 도 43c에서의 금속 콘택트 층(4336)의 묘사와 유사하게, 트렌치 콘택트(4408)의 상단까지 연장되지 않고 트렌치 콘택트(4408) 내에서 얼마간 리세싱된다.
그에 따라, 도 42, 도 43a 내지 도 43c 및 도 44를 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 기판(4300, 4400) 위쪽에 반도체 핀(4200, 4302, 4402)을 포함한다. 반도체 핀(4200, 4302, 4402)은 상단 및 측벽들을 갖는다. 게이트 전극(4204, 4304)은 반도체 핀(4200, 4302, 4402)의 한 부분의 상단 위에 그리고 그의 측벽들에 인접해 있다. 게이트 전극(4204, 4304)은 반도체 핀(4200, 4302, 4402)에서의 채널 영역을 정의한다. 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)는 게이트 전극(4204, 4304)의 제1 측면에서 채널 영역의 제1 단부에 있고, 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)는 평평하지 않은(non-flat) 토포그래피를 갖는다. 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406)는 게이트 전극(4204, 4304)의 제2 측면에서 채널 영역의 제2 단부에 있고, 제2 단부는 제1 단부의 반대쪽에 있으며, 제2 측면은 제1 측면의 반대쪽에 있다. 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406)는 평평하지 않은 토포그래피를 갖는다. 금속 콘택트 재료(4336, 4412)는 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406) 상에 바로 다음에 그리고 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406) 상에 바로 다음에 있다. 금속 콘택트 재료(4336, 4412)는 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)의 평평하지 않은 토포그래피와 등각이고 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406)의 평평하지 않은 토포그래피와 등각이다.
실시예에서, 금속 콘택트 재료(4336, 4412)는 95% 이상의 단일 금속 종을 포함하는 총 원자 조성을 갖는다. 하나의 이러한 실시예에서, 금속 콘택트 재료(4336, 4412)는 98% 이상의 티타늄을 포함하는 총 원자 조성을 갖는다. 이러한 특정 실시예에서, 금속 콘택트 재료(4336, 4412)의 총 원자 조성은 0.5 내지 2%의 염소를 추가로 포함한다. 실시예에서, 금속 콘택트 재료(4336, 4412)는 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)의 평평하지 않은 토포그래피를 따라 그리고 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406)의 평평하지 않은 토포그래피를 따라 30% 이하의 두께 변동을 갖는다.
실시예에서, 예컨대, 도 44에 묘사된 바와 같이, 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)의 평평하지 않은 토포그래피 및 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406)의 평평하지 않은 토포그래피 둘 다는 융기된 중앙 부분 및 보다 낮은 측면 부분들을 포함한다. 실시예에서, 예컨대, 도 43c에 묘사된 바와 같이, 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)의 평평하지 않은 토포그래피 및 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406)의 평평하지 않은 토포그래피 둘 다는 안장 형상의 부분들을 포함한다.
실시예에서, 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406) 및 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406) 둘 다는 실리콘을 포함한다. 실시예에서, 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406) 및 제2 반도체 소스 또는 드레인 구조체(4252, 4332, 4406) 둘 다는, 예컨대, 실리콘 게르마늄 형태로 게르마늄을 추가로 포함한다.
실시예에서, 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406) 상에 바로 다음의 금속 콘택트 재료(4336, 4412)가 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406) 위의 유전체 층(4320, 4410)에서의 트렌치의 측벽들을 따라 추가로 있으며, 트렌치는 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 4406)의 한 부분을 노출시킨다. 하나의 이러한 실시예에서, 트렌치의 측벽들을 따라 있는 금속 콘택트 재료(4336)의 두께는 제1 반도체 소스 또는 드레인 구조체(4332에 있는 4336A)로부터 제1 반도체 소스 또는 드레인 구조체(4332) 위쪽의 위치(4336B)까지 시닝되며, 그의 일 예가 도 43c에 예시되어 있다. 실시예에서, 도 43c 및 도 44에 묘사된 바와 같이, 전도성 충전 재료(4338, 4414)가 트렌치 내의 금속 콘택트 재료(4336, 4412) 상에 있다.
실시예에서, 집적 회로 구조체는 상단 및 측벽들을 갖는 제2 반도체 핀(예컨대, 도 42의 상부 핀(4200), 4302, 4402)을 추가로 포함한다. 게이트 전극(4204, 4304)이 제2 반도체 핀의 한 부분의 상단 위에 그리고 그의 측벽들에 인접하여 추가로 있으며, 게이트 전극은 제2 반도체 핀에서의 채널 영역을 정의한다. 제3 반도체 소스 또는 드레인 구조체(4253, 4332, 4406)는 게이트 전극(4204, 4304)의 제1 측면에서 제2 반도체 핀의 채널 영역의 제1 단부에 있고, 제3 반도체 소스 또는 드레인 구조체는 평평하지 않은 토포그래피를 갖는다. 제4 반도체 소스 또는 드레인 구조체(4254, 4332, 4406)는 게이트 전극(4204, 4304)의 제2 측면에서 제2 반도체 핀의 채널 영역의 제2 단부에 있고, 제2 단부는 제1 단부의 반대쪽에 있으며, 제4 반도체 소스 또는 드레인 구조체(4254, 4332, 4406)는 평평하지 않은 토포그래피를 갖는다. 금속 콘택트 재료(4336, 4412)는 제3 반도체 소스 또는 드레인 구조체(4253, 4332, 4406) 상에 바로 다음에 그리고 제4 반도체 소스 또는 드레인 구조체(4254, 4332, 4406) 상에 바로 다음에 있으며, 금속 콘택트 재료(4336, 4412)는 제3 반도체 소스 또는 드레인 구조체(4253, 4332, 4406)의 평평하지 않은 토포그래피와 등각이고 제4 반도체 소스 또는 드레인 구조체(4254, 4332, 4406)의 평평하지 않은 토포그래피와 등각이다. 실시예에서, 금속 콘택트 재료(4336, 4412)는 제1 반도체 소스 또는 드레인 구조체(4251, 4332, 좌측 4406)와 제3 반도체 소스 또는 드레인 구조체(4253, 4332, 우측 4406) 사이에서 연속적이고, 제2 반도체 소스 또는 드레인 구조체(4252)와 제4 반도체 소스 또는 드레인 구조체(4254) 사이에서 연속적이다.
또 다른 양태에서, 하드마스크 재료가 보존(침식을 저지)하기 위해 사용될 수 있고, 또한 전도성 트렌치 콘택트들이 차단(interrupt)되는 트렌치 라인 위치들에서, 예컨대, 콘택트 플러그 위치들에서 유전체 재료 위에 유지될 수 있다. 예를 들어, 도 45a 및 도 45b는, 제각기, 본 개시내용의 실시예에 따른, 트렌치 콘택트 플러그들 - 그 상에 하드마스크 재료를 가짐 - 을 포함하는 집적 회로 구조체의 평면도 및 대응하는 단면도를 예시하고 있다.
도 45a 및 도 45b를 참조하면, 실시예에서, 집적 회로 구조체(4500)는, 실리콘 핀과 같은 핀(4502A)을 포함한다. 복수의 게이트 구조체(4506)가 핀(4502A) 위에 있다. 게이트 구조체들(4506)의 개개의 것들은 핀(4502A)에 직교인 방향(4508)을 따라 있고 한 쌍의 유전체 측벽 스페이서(4510)를 갖는다. 트렌치 콘택트 구조체(4512)는 핀(4502A) 위에 그리고 게이트 구조체들(4506)의 제1 쌍(4506A/4506B)의 유전체 측벽 스페이서들(4510) 사이에 바로 있다. 콘택트 플러그(4514B)는 핀(4502A) 위에 그리고 게이트 구조체들(4506)의 제2 쌍(4506B/4506C)의 유전체 측벽 스페이서들(4510) 사이에 바로 있다. 콘택트 플러그(4514B)는 하부 유전체 재료(4516) 및 상부 하드마스크 재료(4518)를 포함한다.
실시예에서, 콘택트 플러그(4516B)의 하부 유전체 재료(4516)는, 예컨대, 실리콘 산화물 또는 실리콘 이산화물 재료와 같은 실리콘 및 산소를 포함한다. 콘택트 플러그(4516B)의 상부 하드마스크 재료(4518)는, 예컨대, 실리콘 질화물, 실리콘 풍부 질화물, 또는 실리콘 부족 질화물 재료와 같은 실리콘 및 질소를 포함한다.
실시예에서, 트렌치 콘택트 구조체(4512)는 하부 전도성 구조체(4520) 및 하부 전도성 구조체(4520) 상의 유전체 캡(4522)을 포함한다. 일 실시예에서, 묘사된 바와 같이, 트렌치 콘택트 구조체(4512)의 유전체 캡(4522)은 콘택트 플러그(4514B)의 상부 하드마스크 재료(4518)의 상부 표면과 동일 평면인 상부 표면을 갖는다.
실시예에서, 복수의 게이트 구조체(4506)의 개개의 것들은 게이트 유전체 층(4526) 상에 게이트 전극(4524)을 포함한다. 유전체 캡(4528)이 게이트 전극(4524) 상에 있다. 일 실시예에서, 묘사된 바와 같이, 복수의 게이트 구조체(4506)의 개개의 것들의 유전체 캡(4528)은 콘택트 플러그(4514B)의 상부 하드마스크 재료(4518)의 상부 표면과 동일 평면인 상부 표면을 갖는다. 실시예에서, 비록 묘사되지는 않았지만, 열적 또는 화학적 실리콘 산화물 또는 실리콘 이산화물 층과 같은 얇은 산화물 층이 핀(4502A)과 게이트 유전체 층(4526) 사이에 있다.
도 45a 및 도 45b를 다시 참조하면, 실시예에서, 집적 회로 구조체(4500)는, 복수의 실리콘 핀과 같은 복수의 핀(4502)을 포함한다. 복수의 핀(4502)의 개개의 것들은 제1 방향(4504)을 따라 있다. 복수의 게이트 구조체(4506)가 복수의 핀(4502) 위에 있다. 복수의 게이트 구조체(4506)의 개개의 것들은 제1 방향(4504)에 직교인 제2 방향(4508)을 따라 있다. 복수의 게이트 구조체(4506)의 개개의 것들은 한 쌍의 유전체 측벽 스페이서(4510)를 갖는다. 트렌치 콘택트 구조체(4512)는 복수의 핀(4502) 중 제1 핀(4502A) 위에 그리고 한 쌍의 게이트 구조체(4506)의 유전체 측벽 스페이서들(4510) 사이에 바로 있다. 콘택트 플러그(4514A)는 복수의 핀(4502) 중 제2 핀(4502B) 위에 그리고 한 쌍의 게이트 구조체(4506)의 유전체 측벽 스페이서들(4510) 사이에 바로 있다. 콘택트 플러그(4514B)의 단면도와 유사하게, 콘택트 플러그(4514A)는 하부 유전체 재료(4516) 및 상부 하드마스크 재료(4518)를 포함한다.
실시예에서, 콘택트 플러그(4516A)의 하부 유전체 재료(4516)는, 예컨대, 실리콘 산화물 또는 실리콘 이산화물 재료와 같은 실리콘 및 산소를 포함한다. 콘택트 플러그(4516A)의 상부 하드마스크 재료(4518)는, 예컨대, 실리콘 질화물, 실리콘 풍부 질화물, 또는 실리콘 부족 질화물 재료와 같은 실리콘 및 질소를 포함한다.
실시예에서, 트렌치 콘택트 구조체(4512)는 하부 전도성 구조체(4520) 및 하부 전도성 구조체(4520) 상의 유전체 캡(4522)을 포함한다. 일 실시예에서, 묘사된 바와 같이, 트렌치 콘택트 구조체(4512)의 유전체 캡(4522)은 콘택트 플러그(4514A 또는 4514B)의 상부 하드마스크 재료(4518)의 상부 표면과 동일 평면인 상부 표면을 갖는다.
실시예에서, 복수의 게이트 구조체(4506)의 개개의 것들은 게이트 유전체 층(4526) 상에 게이트 전극(4524)을 포함한다. 유전체 캡(4528)이 게이트 전극(4524) 상에 있다. 일 실시예에서, 묘사된 바와 같이, 복수의 게이트 구조체(4506)의 개개의 것들의 유전체 캡(4528)은 콘택트 플러그(4514A 또는 4514B)의 상부 하드마스크 재료(4518)의 상부 표면과 동일 평면인 상부 표면을 갖는다. 실시예에서, 비록 묘사되지는 않았지만, 열적 또는 화학적 실리콘 산화물 또는 실리콘 이산화물 층과 같은 얇은 산화물 층이 핀(4502A)과 게이트 유전체 층(4526) 사이에 있다.
본 개시내용의 하나 이상의 실시예는 게이트 정렬 콘택트(gate aligned contact) 공정에 관한 것이다. 그러한 공정은 반도체 구조체 제조를 위해, 예를 들어, 집적 회로 제조를 위해 콘택트 구조체를 형성하도록 구현될 수 있다. 실시예에서, 콘택트 패턴은 기존의 게이트 패턴에 정렬되어 형성된다. 대조적으로, 다른 접근법들은 전형적으로 선택적 콘택트 에칭들과 조합되어 기존의 게이트 패턴에 대한 리소그래피 콘택트 패턴의 엄격한 위치맞춤(tight registration)을 갖는 부가의 리소그래피 공정을 수반한다. 예를 들어, 또 다른 공정은 콘택트들과 콘택트 플러그들을 별도로 패터닝하는 것에 의해 폴리(게이트) 그리드를 패터닝하는 것을 포함할 수 있다.
본 명세서에 설명된 하나 이상의 실시예에 따르면, 콘택트 형성 방법은 대단히 엄격한 위치맞춤 버짓(budget)을 갖는 리소그래피 동작의 사용을 제거하면서 기존의 게이트 패턴에 본질적으로 완벽하게 정렬되는 콘택트 패턴의 형성을 수반한다. 하나의 이러한 실시예에서, 이 접근법은 콘택트 개구들을 생성하기 위해 (예컨대, 건식 또는 플라스마 에칭에 비해) 본질적으로 고도로 선택적인 습식 에칭을 사용하는 것을 가능하게 한다. 실시예에서, 콘택트 플러그 리소그래피 동작과 조합하여 기존의 게이트 패턴을 활용함으로써 콘택트 패턴이 형성된다. 하나의 이러한 실시예에서, 이 접근법은, 다른 접근법들에서 사용되는 바와 같이 콘택트 패턴을 생성하기 위해 그렇지 않은 경우의 중대한 리소그래피 동작의 필요성을 없애는 것을 가능하게 한다. 실시예에서, 트렌치 콘택트 그리드가 별도로 패터닝되지 않고, 오히려 폴리(게이트) 라인들 사이에 형성된다. 예를 들어, 하나의 그러한 실시예에서, 트렌치 콘택트 그리드가 게이트 그레이팅 패터닝(gate grating patterning)에 후속하여 그러나 게이트 그레이팅 컷(cut)들 이전에 형성된다.
도 46a 내지 도 46d는 본 개시내용의 실시예에 따른, 트렌치 콘택트 플러그들 - 그 상에 하드마스크 재료를 가짐- 을 포함하는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시한다.
도 46a를 참조하면, 집적 회로 구조체를 제조하는 방법은 복수의 핀을, 제1 방향(4604)을 따라 복수의 핀 중 개개의 것들(4602)을 형성하는 단계를 포함한다. 복수의 핀 중 개개의 것들(4602)은 확산 영역들(4606)을 포함할 수 있다. 복수의 게이트 구조체(4608)가 복수의 핀 위에 형성된다. 복수의 게이트 구조체(4508) 중 개개의 것들은 제1 방향(4604)에 직교인 제2 방향(4610)(예컨대, 방향(4610)은 지면으로 들어가고 지면으로부터 나옴)을 따라 있다. 희생 재료 구조체(4612)가 게이트 구조체들(4608)의 제1 쌍 사이에 형성된다. 콘택트 플러그(4614)가 게이트 구조체들(4608)의 제2 쌍 사이에 형성된다. 콘택트 플러그는 하부 유전체 재료(4616)를 포함한다. 하드마스크 재료(4618)가 하부 유전체 재료(4616) 상에 있다.
실시예에서, 게이트 구조체들(4608)은 희생 또는 더미 게이트 스택들 및 유전체 스페이서들(4609)을 포함한다. 희생 또는 더미 게이트 스택들은, 게이트 더미 재료라고 지칭될 수 있는, 다결정질 실리콘 또는 실리콘 질화물 기둥들 또는 어떤 다른 희생 재료로 이루어져 있을 수 있다.
도 46b를 참조하면, 게이트 구조체들(4608)의 제1 쌍 사이에 개구(4620)를 형성하도록 도 46a의 구조체로부터 희생 재료 구조체(4612)가 제거된다.
도 46c를 참조하면, 트렌치 콘택트 구조체(4622)가 게이트 구조체들(4608)의 제1 쌍 사이의 개구(4620)에 형성된다. 그에 부가하여, 실시예에서, 트렌치 콘택트 구조체(4622)를 형성하는 단계의 일부로서, 도 46a 및 도 46b의 하드마스크(4618)가 평탄화된다. 궁극적으로 마무리된 콘택트 플러그들(4614')은 하부 유전체 재료(4616) 및 하드마스크 재료(4618)로부터 형성된 상부 하드마스크 재료(4624)를 포함한다.
실시예에서, 콘택트 플러그들(4614') 각각의 하부 유전체 재료(4616)는 실리콘 및 산소를 포함하고, 콘택트 플러그들(4614') 각각의 상부 하드마스크 재료(4624)는 실리콘 및 질소를 포함한다. 실시예에서, 트렌치 콘택트 구조체들(4622) 각각은 하부 전도성 구조체(4626) 및 하부 전도성 구조체(4626) 상의 유전체 캡(4628)을 포함한다. 일 실시예에서, 트렌치 콘택트 구조체(4622)의 유전체 캡(4628)은 콘택트 플러그(4614')의 상부 하드마스크 재료(4624)의 상부 표면과 동일 평면인 상부 표면을 갖는다.
도 46d를 참조하면, 대체 게이트 공정 스킴에서 게이트 구조체들(4608)의 희생 또는 더미 게이트 스택들이 대체된다. 이러한 스킴에서, 폴리실리콘 또는 실리콘 질화물 기둥 재료와 같은 더미 게이트 재료가 제거되고 영구 게이트 전극 재료로 대체된다. 그러한 일 실시예에서, 초기의 공정으로부터 쭉 수행되는 것과는 대조적으로, 영구 게이트 유전체 층이 또한 이 공정에서 형성된다.
그에 따라, 영구 게이트 구조체들(4630)은 영구 게이트 유전체 층(4632) 및 영구 게이트 전극 층 또는 스택(4634)을 포함한다. 그에 부가하여, 실시예에서, 영구 게이트 구조체들(4630)의 상단 부분이, 예컨대, 에칭 공정에 의해 제거되고, 유전체 캡(4636)으로 대체된다. 실시예에서, 영구 게이트 구조체들(4630)의 개개의 것들의 유전체 캡(4636)은 콘택트 플러그들(4614')의 상부 하드마스크 재료(4624)의 상부 표면과 동일 평면인 상부 표면을 갖는다.
도 46a 내지 도 46d를 다시 참조하면, 실시예에서, 묘사된 바와 같이, 트렌치 콘택트 구조체들(4622)을 형성하는 것에 후속하여 대체 게이트 공정이 수행된다. 그렇지만, 다른 실시예들에 따르면, 트렌치 콘택트 구조체들(4622)을 형성하는 것 이전에 대체 게이트 공정이 수행된다.
또 다른 양태에서, COAG(contact over active gate) 구조체들 및 공정들이 기술된다. 본 개시내용의 하나 이상의 실시예는 반도체 구조체들 또는 디바이스들의 게이트 전극들의 활성 부분들 위에 배치된 (예컨대, 게이트 콘택트 비아들로서의) 하나 이상의 게이트 콘택트 구조체를 갖는 반도체 구조체들 또는 디바이스들에 관한 것이다. 본 개시내용의 하나 이상의 실시예는 반도체 구조체들 또는 디바이스들의 게이트 전극들의 활성 부분들 위에 형성된 하나 이상의 게이트 콘택트 구조체를 갖는 반도체 구조체들 또는 디바이스들을 제조하는 방법들에 관한 것이다. 본 명세서에 설명된 접근법들은 활성 게이트 영역들 위에 게이트 콘택트 형성을 가능하게 함으로써 표준 셀 면적을 감소시키기 위해 사용될 수 있다. 하나 이상의 실시예에서, 게이트 전극들과 접촉하도록 제조된 게이트 콘택트 구조체들은 자기 정렬 비아 구조체들이다.
공간 및 레이아웃 제약들이 현 세대의 공간 및 레이아웃 제약들에 비해 다소 완화된 기술들에서, 게이트 구조체에 대한 콘택트는 격리 영역 위에 배치된 게이트 전극의 한 부분에 접촉하게 함으로써 제조될 수 있다. 일 예로서, 도 47a는 게이트 전극의 비활성 부분 위에 배치된 게이트 콘택트를 갖는 반도체 디바이스의 평면도를 예시한다.
도 47a를 참조하면, 반도체 구조체 또는 디바이스(4700A)는 기판(4702)에 그리고 격리 영역(4706) 내에 배치된 확산 또는 활성 영역(4704)을 포함한다. 게이트 라인들(4708A, 4708B 및 4708C)과 같은 하나 이상의 게이트 라인(폴리 라인들이라고도 알려져 있음)이 확산 또는 활성 영역(4704) 위에는 물론이고 격리 영역(4706)의 한 부분 위에 배치된다. 콘택트들(4710A 및 4710B)과 같은 소스 또는 드레인 콘택트들(트렌치 콘택트들이라고도 알려져 있음)이 반도체 구조체 또는 디바이스(4700A)의 소스 및 드레인 영역들 위에 배치된다. 트렌치 콘택트 비아들(4712A 및 4712B)은, 제각기, 트렌치 콘택트들(4710A 및 4710B)에의 접촉을 제공한다. 별도의 게이트 콘택트(4714), 및 위에 놓인 게이트 콘택트 비아(4716)는 게이트 라인(4708B)에의 접촉을 제공한다. 소스 또는 드레인 트렌치 콘택트들(4710A 또는 4710B)과는 대조적으로, 게이트 콘택트(4714)가, 평면도 관점에서, 격리 영역(4706) 위에는 배치되지만, 확산 또는 활성 영역(4704) 위에는 배치되지 않는다. 게다가, 게이트 콘택트(4714)도 게이트 콘택트 비아(4716)도 소스 또는 드레인 트렌치 콘택트들(4710A 및 4710B) 사이에는 배치되지 않는다.
도 47b는 게이트 전극의 비활성 부분 위에 배치된 게이트 콘택트를 갖는 비평면 반도체 디바이스의 단면도를 예시한다. 도 47b를 참조하면, 반도체 구조체 또는 디바이스(4700B), 예컨대, 도 47a의 디바이스(4700A)의 비-평면 버전은 기판(4702)으로부터 그리고 격리 영역(4706) 내에 형성된 비-평면 확산 또는 활성 영역(4704B)(예컨대, 핀 구조체)을 포함한다. 게이트 라인(4708B)이 비-평면 확산 또는 활성 영역(4704B) 위에는 물론이고 격리 영역(4706)의 한 부분 위에 배치된다. 도시된 바와 같이, 게이트 라인(4708B)은, 유전체 캡 층(4754)과 함께, 게이트 전극(4750) 및 게이트 유전체 층(4752)을 포함한다. 게이트 콘택트(4714), 및 위에 놓인 게이트 콘택트 비아(4716)는 또한, 위에 놓인 금속 인터커넥트(4760)와 함께, 이러한 관점에서 보여지며, 이들 모두는 층간 유전체 스택들 또는 층들(4770)에 배치된다. 또한 도 47b의 관점에서 보이는 바와 같이, 게이트 콘택트(4714)가 격리 영역(4706) 위에는 배치되지만, 비-평면 확산 또는 활성 영역(4704B) 위에는 배치되지 않는다.
도 47a 및 도 47b를 다시 참조하면, 반도체 구조체 또는 디바이스(4700A 및 4700B)의 배열은, 제각기, 게이트 콘택트를 격리 영역들 위에 배치한다. 이러한 배열은 레이아웃 공간을 낭비한다. 그러나, 활성 영역들 위에 게이트 콘택트를 배치하는 것은 극도로 엄격한 위치맞춤 버짓을 요구하거나 또는 게이트 콘택트를 랜딩하기에 충분한 공간을 제공하기 위해 게이트 치수들이 증가해야만 할 것이다. 게다가, 역사적으로, 다른 게이트 재료(예컨대, 폴리실리콘)를 통해 드릴링하여 아래에 놓인 활성 영역과 접촉할 위험 때문에 확산 영역들 위에서의 게이트에의 접촉이 회피되었다. 본 명세서에 설명된 하나 이상의 실시예는, 확산 또는 활성 영역 위에 형성된 게이트 전극의 부분들과 접촉하는 콘택트 구조체들을 제조하기 위해, 실현 가능한 접근법들 및 결과적인 구조체들을 제공함으로써 상기 문제들을 해결한다.
일 예로서, 도 48a는 본 개시내용의 실시예에 따른, 게이트 전극의 활성 부분 위에 배치된 게이트 콘택트 비아를 갖는 반도체 디바이스의 평면도를 예시하고 있다. 도 48a를 참조하면, 반도체 구조체 또는 디바이스(4800A)는 기판(4802)에 그리고 격리 영역(4806) 내에 배치된 확산 또는 활성 영역(4804)을 포함한다. 게이트 라인들(4808A, 4808B 및 4808C)과 같은 하나 이상의 게이트 라인이 확산 또는 활성 영역(4804) 위에는 물론이고 격리 영역(4806)의 한 부분 위에 배치된다. 트렌치 콘택트들(4810A 및 4810B)과 같은 소스 또는 드레인 트렌치 콘택트들이 반도체 구조체 또는 디바이스(4800A)의 소스 및 드레인 영역들 위에 배치된다. 트렌치 콘택트 비아들(4812A 및 4812B)은, 제각기, 트렌치 콘택트들(4810A 및 4810B)에의 접촉을 제공한다. 게이트 콘택트 비아(4816)가, 개재하는 별도의 게이트 콘택트 층을 갖지 않고서, 게이트 라인(4808B)에의 접촉을 제공한다. 도 47a와는 대조적으로, 게이트 콘택트(4816)가, 평면도의 관점에서, 확산 또는 활성 영역(4804) 위에 그리고 소스 또는 드레인 콘택트들(4810A 및 4810B) 사이에 배치된다.
도 48b는 본 개시내용의 실시예에 따른, 게이트 전극의 활성 부분 위에 배치된 게이트 콘택트 비아를 갖는 비-평면 반도체 디바이스의 단면도를 예시한다. 도 48b를 참조하면, 반도체 구조체 또는 디바이스(4800B), 예컨대, 도 48a의 디바이스(4800A)의 비-평면 버전은 기판(4802)으로부터 그리고 격리 영역(4806) 내에 형성된 비-평면 확산 또는 활성 영역(4804B)(예컨대, 핀 구조체)을 포함한다. 게이트 라인(4808B)은 비-평면 확산 또는 활성 영역(4804B) 위에는 물론이고 격리 영역(4806)의 한 부분 위에 배치된다. 도시된 바와 같이, 게이트 라인(4808B)은, 유전체 캡 층(4854)과 함께, 게이트 전극(4850) 및 게이트 유전체 층(4852)을 포함한다. 게이트 콘택트 비아(4816)가 또한 이 관점에서 위에 놓인 금속 인터커넥트(4860)와 함께 보이며, 이들 모두는 층간 유전체 스택들 또는 층들(4870)에 배치된다. 또한 도 48b의 관점에서 보이는 바와 같이, 게이트 콘택트 비아(4816)가 비-평면 확산 또는 활성 영역(4804B) 위에 배치된다.
따라서, 도 48a 및 도 48b를 다시 참조하면, 실시예에서, 트렌치 콘택트 비아들(4812A, 4812B) 및 게이트 콘택트 비아(4816)가 동일한 층에 형성되고 본질적으로 동일 평면에 있다. 도 47a 및 도 47b와 비교하여, 게이트 라인에 대한 콘택트는, 그렇지 않았으면, 예컨대, 대응하는 게이트 라인에 수직으로 뻗어 있을 수 있는 부가의 게이트 콘택트 층을 포함할 것이다. 그렇지만, 도 48a 및 도 48b와 관련하여 기술된 구조체(들)에서, 구조체들(4800A 및 4800B)의 제조는, 제각기, 인접한 소스 드레인 영역들에 단락함이 없이 활성 게이트 부분 상의 금속 인터커넥트 층으로부터 바로 콘택트를 랜딩시키는 것을 가능하게 한다. 실시예에서, 이러한 배열은 신뢰성있는 콘택트를 형성하기 위해 격리부(isolation) 상에 트랜지스터 게이트들을 연장시킬 필요성을 없애줌으로써 회로 레이아웃에서의 큰 면적 감소를 제공한다. 명세서 전반에 걸쳐 사용되는 바와 같이, 실시예에서, 게이트의 활성 부분이라고 하는 것은 아래에 놓인 기판의 활성 또는 확산 영역 (평면도 관점으로부터) 위에 배치된 게이트 라인 또는 구조체의 해당 부분을 지칭한다. 실시예에서, 게이트의 비활성 부분이라고 하는 것은 아래에 놓인 기판의 격리 영역 (평면도 관점으로부터) 위에 배치된 게이트 라인 또는 구조체의 해당 부분을 지칭한다.
실시예에서, 반도체 구조체 또는 디바이스(4800)는 fin-FET 또는 트라이게이트 디바이스 - 이들로 제한되지는 않음 - 와 같은 비-평면 디바이스이다. 이러한 실시예에서, 대응하는 반전도성(semiconducting) 채널 영역은 3차원 보디로 이루어져 있거나 3차원 보디에 형성된다. 하나의 이러한 실시예에서, 게이트 라인들(4808A 내지 4808C)의 게이트 전극 스택들은 3차원 보디의 적어도 상단 표면 및 한 쌍의 측벽을 둘러싼다. 또 다른 실시예에서, 적어도 채널 영역은 GAA(gate-all-around) 디바이스에서와 같이, 별도의 3차원 보디가 되도록 만들어진다. 하나의 이러한 실시예에서, 게이트 라인들(4808A 내지 4808C)의 게이트 전극 스택들 각각이 채널 영역을 완전히 둘러싼다.
더 일반적으로, 하나 이상의 실시예는 활성 트랜지스터 게이트 상에 바로 다음에 게이트 콘택트 비아를 랜딩시키기 위한 접근법 및 그로부터 형성된 구조체들에 관한 것이다. 그러한 접근법들은 접촉 목적을 위해 격리부 상에 게이트 라인을 연장할 필요를 제거할 수 있다. 그러한 접근법들은 또한, 게이트 라인 또는 구조체로부터 신호들을 전도하는 별도의 게이트 콘택트(GCN) 층에 대한 필요를 제거할 수 있다. 실시예에서, 상기 피처들을 제거하는 것은 트렌치 콘택트(TCN)에서 콘택트 금속들을 리세싱하고 공정 흐름(예를 들어, TILA)에서 추가 유전체 재료를 도입함으로써 달성된다. 추가 유전체 재료는 게이트 정렬 콘택트 공정(GAP) 처리 스킴(예컨대, GILA)에서 트렌치 콘택트 정렬을 위해 이미 사용된 게이트 유전체 재료 캡 층과 상이한 에칭 특성들을 갖는 트렌치 콘택트 유전체 캡 층으로서 포함된다.
예시적인 제조 스킴으로서, 도 49a 내지 도 49d는 본 개시내용의 실시예에 따른, 게이트의 활성 부분 위에 배치된 게이트 콘택트 구조체를 갖는 반도체 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시하고 있다.
도 49a를 참조하면, 트렌치 콘택트(TCN) 형성 이후의 반도체 구조체(4900)가 제공된다. 구조체(4900)의 특정 배열이 예시 목적으로만 사용된다는 것과 각종의 가능한 레이아웃들이 본 명세서에 설명된 본 개시내용의 실시예들로부터 이득을 볼 수 있다는 점을 알아야 한다. 반도체 구조체(4900)는, 기판(4902) 위에 배치된 게이트 스택 구조체들(4908A 내지 4908E)과 같은 하나 이상의 게이트 스택 구조체를 포함한다. 게이트 스택 구조체들은 게이트 유전체 층 및 게이트 전극을 포함할 수 있다. 트렌치 콘택트들(4910A 내지 4910C)과 같은 트렌치 콘택트들, 예컨대, 기판(4902)의 확산 영역들에 대한 콘택트들이 또한 구조체(4900)에 포함되고 유전체 스페이서들(4920)에 의해 게이트 스택 구조체들(4908A 내지 4908E)로부터 이격되어 있다. 도 49a에 또한 묘사된 바와 같이, 절연 캡 층(4922)이 게이트 스택 구조체들(4908A 내지 4908E) 상에 배치될 수 있다(예컨대, GILA). 도 49a에 또한 묘사된 바와 같이, 층간 유전체 재료로부터 제조된 영역(4923)과 같은 콘택트 블로킹 영역(contact blocking region)들 또는 "콘택트 플러그들"이 콘택트 형성이 블로킹되어야 하는 영역들에 포함될 수 있다.
실시예에서, 구조체(4900)를 제공하는 것은 대단히 엄격한 위치맞춤 버짓을 갖는 리소그래피 동작의 사용을 제거하면서 기존의 게이트 패턴에 본질적으로 완벽하게 정렬되는 콘택트 패턴의 형성을 수반한다. 하나의 이러한 실시예에서, 이 접근법은 콘택트 개구들을 생성하기 위해 (예컨대, 건식 또는 플라스마 에칭에 비해) 본질적으로 고도로 선택적인 습식 에칭을 사용하는 것을 가능하게 한다. 실시예에서, 콘택트 플러그 리소그래피 동작과 조합하여 기존의 게이트 패턴을 활용함으로써 콘택트 패턴이 형성된다. 하나의 이러한 실시예에서, 이 접근법은, 다른 접근법들에서 사용되는 바와 같이 콘택트 패턴을 생성하기 위해 그렇지 않은 경우의 중대한 리소그래피 동작의 필요성을 없애는 것을 가능하게 한다. 실시예에서, 트렌치 콘택트 그리드가 별도로 패터닝되지 않고, 오히려 폴리(게이트) 라인들 사이에 형성된다. 예를 들어, 하나의 그러한 실시예에서, 트렌치 콘택트 그리드가 게이트 그레이팅 패터닝(gate grating patterning)에 후속하여 그러나 게이트 그레이팅 컷(cut)들 이전에 형성된다.
게다가, 게이트 스택 구조체들(4908A 내지 4908E)은 대체 게이트 공정에 의해 제조될 수 있다. 이러한 스킴에서, 폴리실리콘 또는 실리콘 질화물 기둥 재료와 같은 더미 게이트 재료는 제거되어 영구 게이트 전극 재료로 대체될 수 있다. 그러한 일 실시예에서, 초기의 공정으로부터 쭉 수행되는 것과는 대조적으로, 영구 게이트 유전체 층이 또한 이 공정에서 형성된다. 실시예에서, 더미 게이트들은 건식 에칭 또는 습식 에칭 공정에 의해 제거된다. 일 실시예에서, 더미 게이트들은 다결정질 실리콘 또는 비정질 실리콘으로 이루어져 있고 SF6을 포함한 건식 에칭 공정을 이용해 제거된다. 또 다른 실시예에서, 더미 게이트들은 다결정질 실리콘 또는 비정질 실리콘으로 이루어져 있고 수성(aqueous) NH4OH 또는 테트라메틸암모늄 수산화물을 포함한 습식 에칭 공정을 이용해 제거된다. 일 실시예에서, 더미 게이트들은 실리콘 질화물로 이루어지며 수성 인산(aqueous phosphoric acid)을 포함한 습식 에칭으로 제거된다.
실시예에서, 본 명세서에 설명된 하나 이상의 접근법은 구조체(4900)에 도달하기 위해 본질적으로 더미 및 대체 게이트 공정을 더미 및 대체 콘택트 공정과 조합하여 생각하고 있다. 하나의 이러한 실시예에서, 대체 콘택트 공정은 대체 게이트 공정 이후에 수행되어 영구 게이트 스택의 적어도 한 부분의 고온 어닐링을 허용한다. 예를 들어, 그러한 특정 실시예에서, 예를 들어, 게이트 유전체 층이 형성된 이후의 영구 게이트 구조체들의 적어도 한 부분의 어닐링은, 대략 600℃보다 높은 온도에서 수행된다. 어닐링은 영구 콘택트들의 형성 이전에 수행된다.
도 49b를 참조하면, 구조체(4900)의 트렌치 콘택트들(4910A 내지 4910C)은 스페이서들(4920) 및 절연 캡 층(4922)의 상단 표면보다 아래의 높이를 갖는 리세싱된 트렌치 콘택트들(4911A 내지 4911C)을 제공하도록 스페이서들(4920) 내에서 리세싱된다. 이어서 절연 캡 층(4924)이 리세싱된 트렌치 콘택트들(4911A 내지 4911C) 상에 형성된다(예컨대, TILA). 본 개시내용의 실시예에 따르면, 리세싱된 트렌치 콘택트들(4911A 내지 4911C) 상의 절연 캡 층(4924)은 게이트 스택 구조체들(4908A 내지 4908E) 상의 절연 캡 층(4922)과 상이한 에칭 특성을 갖는 재료로 이루어져 있다. 후속 처리 동작들에서 알게 될 것인 바와 같이, 이러한 차이는 4922/4924 중 하나를 4922/4924 중 다른 하나에 대해 선택적으로 에칭하는 데 이용될 수 있다.
트렌치 콘택트들(4910A 내지 4910C)은 스페이서들(4920) 및 절연 캡 층(4922)의 재료들에 선택적인 공정에 의해 리세싱될 수 있다. 예를 들어, 일 실시예에서, 트렌치 콘택트들(4910A 내지 4910C)은 습식 에칭 공정 또는 건식 에칭 공정과 같은 에칭 공정에 의해 리세싱된다. 절연 캡 층(4924)이 트렌치 콘택트들(4910A 내지 4910C)의 노출된 부분들 위쪽에 등각 실링 층(conformal and sealing layer)을 제공하기에 적절한 공정에 의해 형성될 수 있다. 예를 들어, 일 실시예에서, 절연 캡 층(4924)은 화학적 기상 퇴적(CVD) 공정에 의해 전체 구조체 위쪽에 등각 층으로서 형성된다. 이어서, 등각 층은, 트렌치 콘택트들(4910A 내지 4910C) 위쪽에만 절연 캡 층(4924) 재료를 제공하고 스페이서들(4920) 및 절연 캡 층(4922)을 재노출시키도록, 예컨대, 화학 기계적 연마(CMP)에 의해 평탄화된다.
절연 캡 층들(4922/4924)에 대한 적절한 재료 조합들에 관해, 일 실시예에서, 4922/4924의 쌍 중 하나는 실리콘 산화물로 이루어져 있는 반면 다른 하나는 실리콘 질화물로 이루어져 있다. 또 다른 실시예에서, 4922/4924의 쌍 중 하나는 실리콘 산화물로 이루어져 있는 반면 다른 하나는 탄소 도핑된 실리콘 질화물로 이루어져 있다. 또 다른 실시예에서, 4922/4924의 쌍 중 하나는 실리콘 산화물로 이루어져 있는 반면 다른 하나는 실리콘 탄화물로 이루어져 있다. 또 다른 실시예에서, 4922/4924의 쌍 중 하나는 실리콘 질화물로 이루어져 있는 반면 다른 하나는 탄소 도핑된 실리콘 질화물로 이루어져 있다. 또 다른 실시예에서, 4922/4924의 쌍 중 하나는 실리콘 질화물로 이루어져 있는 반면 다른 하나는 실리콘 탄화물로 이루어져 있다. 또 다른 실시예에서, 4922/4924의 쌍 중 하나는 탄소 도핑된 실리콘 질화물로 이루어져 있는 반면 다른 하나는 실리콘 탄화물로 이루어져 있다.
도 49c를 참조하면, 층간 유전체(ILD)(4930) 및 하드마스크(4932) 스택이 형성되고, 예컨대, 도 49b의 구조체 위쪽에 패터닝된 금속(0) 트렌치(4934)를 제공하도록 패터닝된다.
층간 유전체(ILD)(4930)는 프론트 엔드 처리와 백 엔드 처리 사이에 강건한 구조체를 유지하면서 그 내에 궁극적으로 형성되는 금속 피처들을 전기적으로 격리시키는 데 적절한 재료로 이루어져 있을 수 있다. 게다가, 실시예에서, 도 49d와 관련하여 이하에서 보다 상세히 기술되는 바와 같이, ILD(4930)의 조성은 트렌치 콘택트 유전체 캡 층 패터닝에 대한 비아 에칭 선택성과 부합하도록 선택된다. 일 실시예에서, ILD(4930)는 단일 또는 몇 개 층의 실리콘 산화물 또는 단일 또는 몇 개 층의 탄소 도핑된 산화물(CDO) 재료로 이루어져 있다. 그렇지만, 다른 실시예들에서, ILD(4930)는 ILD(4930)의 아래에 놓인 하단 부분(underlying bottom portion)과 상이한 재료로 이루어진 상단 부분을 갖는 이중 층 조성(bi-layer composition)을 갖는다. 하드마스크 층(4932)은 후속 희생 층으로서 작용하기에 적절한 재료로 이루어져 있을 수 있다. 예를 들어, 일 실시예에서, 하드마스크 층(4932)은, 예컨대, 가교 결합된 유기 폴리머의 층으로서, 실질적으로 탄소로 이루어져 있다. 다른 실시예들에서, 실리콘 질화물 또는 탄소 도핑된 실리콘 질화물 층이 하드마스크(4932)로서 사용된다. 층간 유전체(ILD)(4930) 및 하드마스크(4932) 스택이 리소그래피 및 에칭 공정에 의해 패터닝될 수 있다.
도 49d를 참조하면, 금속(0) 트렌치(4934)로부터 리세싱된 트렌치 콘택트들(4911A 내지 4911C) 중 하나 이상으로 연장되는 비아 개구들(4936)(예컨대, VCT)이 층간 유전체(ILD)(4930)에 형성된다. 예를 들어, 도 49d에서, 비아 개구들이 리세싱된 트렌치 콘택트들(4911A 및 4911C)을 노출시키도록 형성된다. 비아 개구들(4936)의 형성은 층간 유전체(ILD)(4930) 및 대응하는 절연 캡 층(4924)의 제각기 부분들 둘 다의 에칭을 포함한다. 하나의 이러한 실시예에서, 층간 유전체(ILD)(4930)의 패터닝 동안 절연 캡 층(4922)의 한 부분이 노출된다(예컨대, 게이트 스택 구조체들(4908B 및 4908E) 위의 절연 캡 층(4922)의 한 부분이 노출된다). 해당 실시예에서, 절연 캡 층(4922)에 대해 선택적으로 (즉, 절연 캡 층(4922)을 상당한 정도로 에칭하거나 그에 영향을 미치지 않고서) 비아 개구들(4936)을 형성하도록 절연 캡 층(4924)이 에칭된다.
일 실시예에서, 절연 캡 층(4922)(즉, 게이트 절연 캡 층들)을 에칭함이 없이 에칭 공정에 의해 비아 개구 패턴이 궁극적으로 절연 캡 층(4924)(즉, 트렌치 콘택트 절연 캡 층들)으로 전사된다. 절연 캡 층(4924)(TILA)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 탄소 도핑된 실리콘 질화물들, 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 다양한 금속 산화물들 및 실리케이트들 - 지르코늄 산화물, 하프늄 산화물, 란타늄 산화물 또는 이들의 조합을 포함함 - 을 포함한 것들 중 임의의 것 또는 그 조합으로 이루어져 있을 수 있다. 층은 CVD, ALD, PECVD, PVD, HDP 보조 CVD(HDP assisted CVD), 저온 CVD를 포함한 기술들 중 임의의 것을 사용하여 퇴적될 수 있다. 대응하는 플라즈마 건식 에칭은 화학적 및 물리적 스퍼터링 메커니즘의 조합으로서 발현된다. 재료 제거율(material removal rate), 에칭 프로파일들 및 막 선택성(film selectivity)을 제어하기 위해 동시 폴리머 퇴적(coincident polymer deposition)이 사용될 수 있다. 건식 에칭은, 전형적으로 30 내지 100mTorr 범위의 압력 및 50 내지 1000 와트의 플라스마 바이어스를 전형적으로 갖는 NF3, CHF3, C4F8, HBr 및 O2를 포함하는 가스들의 혼합물(mix)을 이용해 전형적으로 생성된다. 트랜지스터의 소스 드레인 영역들에 대한 콘택트들을 형성하기 위해 4924(TILA)의 건식 에칭 동안 4922(GILA)의 손실을 최소화하도록 캡 층(4924)(TILA)과 캡 층(4922)(GILA) 사이의 상당한 에칭 선택성을 달성하기 위해 건식 에칭이 엔지니어링될 수 있다.
도 49d를 다시 참조하면, 절연 캡 층(4924)(즉, 게이트 절연 캡 층들)을 에칭함이 없이 에칭 공정에 의해 궁극적으로 절연 캡 층(4922)(즉, 트렌치 콘택트 절연 캡 층들)으로 전사되는 비아 개구 패턴을 제조하기 위해 유사한 접근법이 구현될 수 있다는 점을 알아야 한다.
COAG(contact over active gate) 기술의 개념들을 추가로 예시하기 위해, 도 50은 본 개시내용의 실시예에 따른, 위에 놓인 절연 캡 층을 포함하는 트렌치 콘택트들을 갖는 집적 회로 구조체의 평면도 및 대응하는 단면도들을 예시하고 있다.
도 50을 참조하면, 집적 회로 구조체(5000)는, 실리콘 핀과 같은 반도체 기판 또는 핀(5002) 위쪽에 게이트 라인(5004)을 포함한다. 게이트 라인(5004)은 (예컨대, 게이트 유전체 층 또는 스택 및 게이트 유전체 층 또는 스택 상의 게이트 전극을 포함하는) 게이트 스택(5005) 및 게이트 스택(5005) 상의 게이트 절연 캡 층(5006)을 포함한다. 묘사된 바와 같이, 유전체 스페이서들(5008)이 게이트 스택(5005)의 측벽들을 따라, 그리고, 실시예에서, 게이트 절연 캡 층(5006)의 측벽들을 따라 있다.
트렌치 콘택트들(5010)은 게이트 라인(5004)의 측벽들에 인접해 있고, 유전체 스페이서들(5008)이 게이트 라인(5004)과 트렌치 콘택트들(5010) 사이에 있다. 트렌치 콘택트들(5010)의 개개의 것들은 전도성 콘택트 구조체(5011) 및 전도성 콘택트 구조체(5011) 상의 트렌치 콘택트 절연 캡 층(5012)을 포함한다.
도 50을 다시 참조하면, 게이트 콘택트 비아(5014)가 게이트 절연 캡 층(5006)의 개구에 형성되고 게이트 스택(5005)과 전기적으로 접촉한다. 실시예에서, 묘사된 바와 같이, 게이트 콘택트 비아(5014)가, 반도체 기판 또는 핀(5002) 위에 있고 그리고 트렌치 콘택트들(5010) 사이에 측방향으로 있는 위치에 있는 게이트 스택(5005)과 전기적으로 접촉한다. 하나의 이러한 실시예에서, 전도성 콘택트 구조체(5011) 상의 트렌치 콘택트 절연 캡 층(5012)은 게이트 콘택트 비아(5014)에 의한 게이트-소스간 단락(gate to source shorting) 또는 게이트-드레인간 단락(gate to drain shorting)을 방지한다.
도 50을 다시 참조하면, 트렌치 콘택트 비아들(5016)이 트렌치 콘택트 절연 캡 층(5012)의 개구에 형성되고 제각기 전도성 콘택트 구조체들(5011)과 전기적으로 접촉한다. 실시예에서, 묘사된 바와 같이, 트렌치 콘택트 비아들(5016)은, 반도체 기판 또는 핀(5002) 위에 있고 그리고 게이트 라인(5004)의 게이트 스택(5005)에 측방향으로 인접해 있는 위치들에 있는 제각기 전도성 콘택트 구조체들(5011)과 전기적으로 접촉한다. 하나의 이러한 실시예에서, 게이트 스택(5005) 상의 게이트 절연 캡 층(5006)은 트렌치 콘택트 비아들(5016)에 의한 소스-게이트간 단락(source to gate shorting) 또는 드레인-게이트간 단락(drain to gate shorting)을 방지한다.
절연 게이트 캡 층과 절연 트렌치 콘택트 캡 층 간의 상이한 구조적 관계들이 제조될 수 있다는 점을 알아야 한다. 예들로서, 도 51a 내지 도 51f는 본 개시내용의 실시예에 따른, 다양한 집적 회로 구조체들 - 각각이 위에 놓인 절연 캡 층을 포함하는 트렌치 콘택트들을 갖고 또한 위에 놓인 절연 캡 층을 포함하는 게이트 스택들을 가짐 - 의 단면도들을 예시하고 있다.
도 51a, 도 51b 및 도 51c를 참조하면, 집적 회로 구조체들(5100A, 5100B 및 5100C)은, 제각기, 실리콘 핀과 같은 핀(5102)을 포함한다. 단면도로서 묘사되어 있지만, 핀(5102)이 상단(5102A) 및 (도시된 관점의 지면으로 들어가고 지면으로부터 나온) 측벽들을 갖는다는 점을 알아야 한다. 제1(5104) 및 제2(5106) 게이트 유전체 층들은 핀(5102)의 상단(5102A) 위에 그리고 핀(5102)의 측벽들에 측방향으로 인접해 있다. 제1(5108) 및 제2(5110) 게이트 전극들은, 제각기, 핀(5102)의 상단(5102A) 위에 그리고 핀(5102)의 측벽들에 측방향으로 인접해 있는 제1(5104) 및 제2(5106) 게이트 유전체 층들 위에 있다. 제1(5108) 및 제2(5110) 게이트 전극들 각각은 일함수-설정 층과 같은 등각 전도성 층(5109A), 및 등각 전도성 층(5109A) 위쪽의 전도성 충전 재료(5109B)를 포함한다. 제1(5108) 및 제2(5110) 게이트 전극들 둘 다는 제1 측면(5112) 및 제1 측면(5112)의 반대쪽에 있는 제2 측면(5114)을 갖는다. 제1(5108) 및 제2(5110) 게이트 전극들 둘 다는 또한 상단 표면(5118)을 갖는 절연 캡(5116)을 갖는다.
제1 유전체 스페이서(5120)는 제1 게이트 전극(5108)의 제1 측면(5112)에 인접해 있다. 제2 유전체 스페이서(5122)는 제2 게이트 전극(5110)의 제2 측면(5114)에 인접해 있다. 반도체 소스 또는 드레인 영역(5124)은 제1(5120) 및 제2(5122) 유전체 스페이서들에 인접해 있다. 트렌치 콘택트 구조체(5126)가 제1(5120) 및 제2(5122) 유전체 스페이서들에 인접하여 반도체 소스 또는 드레인 영역(5124) 위에 있다.
트렌치 콘택트 구조체(5126)는 전도성 구조체(5130) 상에 절연 캡(5128)을 포함한다. 트렌치 콘택트 구조체(5126)의 절연 캡(5128)은 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116)의 상단 표면들(5118)과 실질적으로 동일 평면인 상단 표면(5129)을 갖는다. 실시예에서, 트렌치 콘택트 구조체(5126)의 절연 캡(5128)이 제1(5120) 및 제2(5122) 유전체 스페이서들에서의 리세스들(5132) 내로 측방향으로 연장된다. 이러한 실시예에서, 트렌치 콘택트 구조체(5126)의 절연 캡(5128)이 트렌치 콘택트 구조체(5126)의 전도성 구조체(5130)를 오버행(overhang)한다. 그렇지만, 다른 실시예들에서, 트렌치 콘택트 구조체(5126)의 절연 캡(5128)이 제1(5120) 및 제2(5122) 유전체 스페이서들의 리세스들(5132)에서 측방향으로 연장되지 않으며, 따라서 트렌치 콘택트 구조체(5126)의 전도성 구조체(5130)를 오버행하지 않는다.
도 51a 내지 도 51c에 묘사된 바와 같이, 트렌치 콘택트 구조체(5126)의 전도성 구조체(5130)가 직사각형이 아닐 수 있다는 점을 알아야 한다. 예를 들어, 트렌치 콘택트 구조체(5126)의 전도성 구조체(5130)는 도 51a의 투영에 예시된 전도성 구조체(5130A)에 대해 도시된 기하형태(geometry)와 유사하거나 동일한 단면 기하형태를 가질 수 있다.
실시예에서, 트렌치 콘택트 구조체(5126)의 절연 캡(5128)은 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116)의 조성과 상이한 조성을 갖는다. 하나의 이러한 실시예에서, 트렌치 콘택트 구조체(5126)의 절연 캡(5128)은, 실리콘 탄화물 재료와 같은 탄화물 재료를 포함한다. 제1 (5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116)은, 실리콘 질화물 재료와 같은 질화물 재료를 포함한다.
실시예에서, 도 51a에 묘사된 바와 같이, 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116) 둘 다는 트렌치 콘택트 구조체(5126)의 절연 캡(5128)의 하단 표면(5128A) 아래에 하단 표면(5117A)을 갖는다. 또 다른 실시예에서, 도 51b에 묘사된 바와 같이, 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116) 둘 다는 트렌치 콘택트 구조체(5126)의 절연 캡(5128)의 하단 표면(5128B)과 실질적으로 동일 평면인 하단 표면(5117B)을 갖는다. 또 다른 실시예에서, 도 51c에 묘사된 바와 같이, 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116) 둘 다는 트렌치 콘택트 구조체(5126)의 절연 캡(5128)의 하단 표면(5128C) 위쪽에 하단 표면(5117C)을 갖는다.
실시예에서, 트렌치 콘택트 구조체(5128)의 전도성 구조체(5130)는 U자 형상의 금속 층(5134), U자 형상의 금속 층(5134) 전체 상의 그리고 그 위의 T자 형상의 금속 층(5136), 및 T자 형상의 금속 층(5136) 상의 제3 금속 층(5138)을 포함한다. 트렌치 콘택트 구조체(5126)의 절연 캡(5128)은 제3 금속 층(5138) 상에 있다. 하나의 이러한 실시예에서, 제3 금속 층(5138) 및 U자 형상의 금속 층(5134)은 티타늄을 포함하고, T자 형상의 금속 층(5136)은 코발트를 포함한다. 특정의 이러한 실시예에서, T자 형상의 금속 층(5136)은 탄소를 추가로 포함한다.
실시예에서, 금속 실리사이드 층(5140)은 트렌치 콘택트 구조체(5126)의 전도성 구조체(5130)와 반도체 소스 또는 드레인 영역(5124) 사이에 바로 존재한다. 하나의 이러한 실시예에서, 금속 실리사이드 층(5140)은 티타늄 및 실리콘을 포함한다. 특정의 이러한 실시예에서, 반도체 소스 또는 드레인 영역(5124)은 N-형 반도체 소스 또는 드레인 영역이다. 또 다른 실시예에서, 금속 실리사이드 층(5140)은 니켈, 백금 및 실리콘을 포함한다. 특정의 이러한 실시예에서, 반도체 소스 또는 드레인 영역(5124)은 P-형 반도체 소스 또는 드레인 영역이다. 또 다른 특정의 이러한 실시예에서, 금속 실리사이드 층은 게르마늄을 추가로 포함한다.
일 실시예에서, 도 51d를 참조하면, 전도성 비아(5150)가 핀(5102)의 상단(5102A) 위의 제1 게이트 전극(5108)의 한 부분 상에 있고 그에 전기적으로 연결된다. 전도성 비아(5150)가 제1 게이트 전극(5108)의 절연 캡(5116)에서의 개구(5152)에 있다. 하나의 이러한 실시예에서, 전도성 비아(5150)가 트렌치 콘택트 구조체(5126)의 절연 캡(5128)의 한 부분 상에 있지만, 트렌치 콘택트 구조체(5126)의 전도성 구조체(5130)에 전기적으로 연결되지 않는다. 특정의 이러한 실시예에서, 전도성 비아(5150)가 트렌치 콘택트 구조체(5126)의 절연 캡(5128)의 침식된 부분(5154)에 있다.
실시예에서, 도 51e를 참조하면, 전도성 비아(5160)가 트렌치 콘택트 구조체(5126)의 한 부분 상에 있고 그에 전기적으로 연결된다. 전도성 비아가 트렌치 콘택트 구조체(5126)의 절연 캡(5128)의 개구(5162)에 있다. 하나의 이러한 실시예에서, 전도성 비아(5160)가 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116)의 한 부분 상에 있지만, 제1(5108) 및 제2(5110) 게이트 전극들에 전기적으로 연결되지 않는다. 특정의 이러한 실시예에서, 전도성 비아(5160)가 제1(5108) 및 제2(5110) 게이트 전극들의 절연 캡들(5116)의 침식된 부분(5164)에 있다.
도 51e를 다시 참조하면, 실시예에서, 전도성 비아(5160)는 도 51d의 전도성 비아(5150)와 동일한 구조의 제2 전도성 비아이다. 하나의 이러한 실시예에서, 이러한 제2 전도성 비아(5160)는 전도성 비아(5150)로부터 격리되어 있다. 또 다른 이러한 실시예에서, 도 51f에 묘사된 바와 같이, 제2 전도성 비아(5160)가 전도성 비아(5150)와 병합되어 전기적으로 단락하는 콘택트(5170)를 형성한다.
본 명세서에 설명된 접근법들 및 구조체들은 다른 방법론들을 사용하여 제조하기 불가능하거나 어려웠던 다른 구조체들 또는 디바이스들의 형성을 가능하게 해줄 수 있다. 첫 번째 예에서, 도 52a는 본 개시내용의 또 다른 실시예에 따른, 게이트의 활성 부분 위에 배치된 게이트 콘택트 비아를 갖는 또 다른 반도체 디바이스의 평면도를 예시한다. 도 52a를 참조하면, 반도체 구조체 또는 디바이스(5200)는 복수의 트렌치 콘택트(5210A 및 5210B)(이 피처들은, 도시되지 않은 기판의 활성 영역 위쪽에 배치됨)과 서로 맞물린(interdigitated) 복수의 게이트 구조체(5208A 내지 5208C)를 포함한다. 게이트 콘택트 비아(5280)가 게이트 구조체(5208B)의 활성 부분 상에 형성된다. 게이트 콘택트 비아(5280)가 게이트 구조체(5208C)의 활성 부분 상에 추가로 배치되어, 게이트 구조체들(5208B 및 5208C)을 결합시킨다. 개재하는 트렌치 콘택트(5210B)가 트렌치 콘택트 격리 캡 층(예컨대, TILA)을 사용함으로써 콘택트(5280)로부터 격리될 수 있다는 점을 알아야 한다. 도 52a의 콘택트 구성은, 스트랩(strap)을 상부 금속화 층(upper layer of metallization)들을 통해 라우팅할 필요가 없고, 따라서 보다 작은 셀 면적들 또는 덜 복잡한 배선 스킴들, 또는 둘 다를 가능하게 하는, 레이아웃에서 인접한 게이트 라인들을 스트래핑(strapping)하는 보다 용이한 접근법을 제공할 수 있다.
두번째 예에서, 도 52b는 본 개시내용의 또 다른 실시예에 따른, 한 쌍의 트렌치 콘택트를 결합시키는 트렌치 콘택트 비아를 갖는 또 다른 반도체 디바이스의 평면도를 예시하고 있다. 도 52b를 참조하면, 반도체 구조체 또는 디바이스(5250)는 복수의 트렌치 콘택트(5260A 및 5260B)(이 피처들은, 도시되지 않은 기판의 활성 영역 위쪽에 배치됨)과 서로 맞물린 복수의 게이트 구조체(5258A 내지 5258C)를 포함한다. 트렌치 콘택트 비아(5290)가 트렌치 콘택트(5260A) 상에 형성된다. 트렌치 콘택트 비아(5290)가 트렌치 콘택트(5260B) 상에 추가로 배치되어, 트렌치 콘택트들(5260A 및 5260B)을 결합시킨다. 개재하는 게이트 구조체(5258B)가 게이트 격리 캡 층을 사용함으로써 (예컨대, GILA 공정에 의해) 트렌치 콘택트 비아(5290)로부터 격리될 수 있다는 점을 알아야 한다. 도 52b의 콘택트 구성은, 스트랩을 상부 금속화 층들을 통해 라우팅할 필요가 없고, 따라서 보다 작은 셀 면적들 또는 덜 복잡한 배선 스킴들, 또는 둘 다를 가능하게 하는, 레이아웃에서 인접한 트렌치 콘택트들을 스트래핑하는 보다 용이한 접근법을 제공할 수 있다.
게이트 전극에 대한 절연 캡 층이 몇 개의 퇴적 동작을 사용하여 제조될 수 있으며, 그 결과, 다중 퇴적 제조 공정의 아티팩트들을 포함할 수 있다. 일 예로서, 도 53a 내지 도 53e는 본 개시내용의 실시예에 따른, 위에 놓인 절연 캡 층을 갖는 게이트 스택을 갖는 집적 회로 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 단면도들을 예시하고 있다.
도 53a를 참조하면, 시작 구조체(5300)는 기판 또는 핀(5302) 위쪽에 게이트 스택(5304)을 포함한다. 게이트 스택(5304)은 게이트 유전체 층(5306), 등각 전도성 층(5308), 및 전도성 충전 재료(5310)를 포함한다. 실시예에서, 게이트 유전체 층(5306)은 원자 층 퇴적(ALD) 공정을 사용하여 형성된 하이-k 게이트 유전체 층이고, 등각 전도성 층은 ALD 공정을 사용하여 형성된 일함수 층이다. 하나의 이러한 실시예에서, 열적 또는 화학적 실리콘 이산화물 또는 실리콘 산화물 층과 같은 열적 또는 화학적 산화물 층(5312)이 기판 또는 핀(5302)과 게이트 유전체 층(5306) 사이에 있다. 실리콘 질화물 스페이서들과 같은 유전체 스페이서들(5314)은 게이트 스택(5304)의 측벽들에 인접해 있다. 유전체 게이트 스택(5304) 및 유전체 스페이서들(5314)은 층간 유전체(ILD) 층(5316)에 하우징된다. 실시예에서, 게이트 스택(5304)은 대체 게이트 및 대체 게이트 유전체 처리 스킴을 사용하여 형성된다. 마스크(5318)가 게이트 스택(5304)을 노출시키는 개구(5320)를 제공하도록 게이트 스택(5304) 및 ILD 층(5316) 위쪽에 패터닝된다.
도 53b를 참조하면, 선택적 에칭 공정 또는 공정들을 사용하여, 게이트 유전체 층(5306), 등각 전도성 층(5308), 및 전도성 충전 재료(5310)를 포함하는 게이트 스택(5304)이 유전체 스페이서들(5314) 및 층(5316)에 대해 상대적으로 리세싱된다. 마스크(5318)가 이어서 제거된다. 리세싱은 리세싱된 게이트 스택(5324) 위쪽에 캐비티(5322)를 제공한다.
묘사되지 않은 또 다른 실시예에서, 등각 전도성 층(5308) 및 전도성 충전 재료(5310)는 유전체 스페이서들(5314) 및 층(5316)에 대해 상대적으로 리세싱되지만, 게이트 유전체 층(5306)은 리세싱되지 않거나 단지 최소한으로 리세싱된다. 다른 실시예들에서, 높은 에칭 선택성에 기초한 무 마스크(maskless) 접근법이 리세싱을 위해 사용된다는 점을 알아야 한다.
도 53c를 참조하면, 게이트 절연 캡 층을 제조하기 위한 다중 퇴적 공정에서의 제1 퇴적 공정이 수행된다. 제1 퇴적 공정은 도 53b의 구조체와 등각인 제1 절연 층(5326)을 형성하기 위해 사용된다. 실시예에서, 제1 절연 층(5326)은 실리콘 및 질소를 포함하며, 예컨대, 제1 절연 층(5326)은 실리콘 질화물(Si3N4) 층, 실리콘 풍부 실리콘 질화물 층, 실리콘 부족 실리콘 질화물 층, 또는 탄소 도핑된 실리콘 질화물 층이다. 실시예에서, 묘사된 바와 같이, 제1 절연 층(5326)이 리세싱된 게이트 스택(5324) 위쪽의 캐비티(5322)를 단지 부분적으로 충전한다.
도 53d를 참조하면, 제1 절연 층(5326)은 절연 캡 층의 제1 부분들(5328)을 제공하기 위해, 이방성 에칭 공정과 같은 에칭-백(etch-back) 공정을 겪는다. 절연 캡 층의 제1 부분들(5328)이 리세싱된 게이트 스택(5324) 위쪽의 캐비티(5322)를 단지 부분적으로 충전한다.
도 53e를 참조하면, 캐비티(5322)가 리세싱된 게이트 스택(5324) 위쪽의 절연 게이트 캡 구조체(5330)로 충전될 때까지 부가의 교번하는 퇴적 공정들 및 에칭-백 공정들이 수행된다. 심들(5332)이 단면 분석에서 명백할 수 있으며, 절연 게이트 캡 구조체(5330)에 대해 사용되는 교번하는 퇴적 공정들 및 에칭-백 공정들의 횟수를 나타낼 수 있다. 도 53e에 도시된 예에서, 3 세트의 심들(5332A, 5332B 및 5332C)의 존재는 절연 게이트 캡 구조체(5330)에 대해 사용되는 4개의 교번하는 퇴적 공정 및 에칭-백 공정을 나타낸다. 실시예에서, 심들(5332)에 의해 분리된 절연 게이트 캡 구조체(5330)의 재료(5330A, 5330B, 5330C 및 5330D) 모두는 정확히 또는 실질적으로 동일한 조성을 갖는다.
본 출원 전반에 걸쳐 기술된 바와 같이, 기판은 제조 공정을 견딜 수 있고 전하가 이주(migrate)할 수 있는 반도체 재료로 이루어져 있을 수 있다. 실시예에서, 본 명세서에 설명된 기판은 활성 영역을 형성하기 위해 인, 비소, 붕소 또는 이들의 조합 - 이들로 제한되지 않음 - 과 같은 전하 캐리어로 도핑된 결정질 실리콘, 실리콘/게르마늄 또는 게르마늄 층으로 이루어진 벌크 기판이다. 일 실시예에서, 이러한 벌크 기판에서의 실리콘 원자들의 농도는 97%를 초과한다. 또 다른 실시예에서, 벌크 기판은 별개의 결정질 기판 상위에 성장된 에피택셜 층, 예컨대, 붕소 도핑된 벌크 실리콘 단결정질 기판 상위에 성장된 실리콘 에피택셜 층으로 이루어져 있다. 벌크 기판은 대안적으로 III-V족 재료로 이루어져 있을 수 있다. 실시예에서, 벌크 기판은 갈륨 질화물, 갈륨 인화물, 갈륨 비화물, 인듐 인화물, 인듐 안티몬화물, 인듐 갈륨 비화물, 알루미늄 갈륨 비화물, 인듐 갈륨 인화물, 또는 이들의 조합 - 이들로 제한되지 않음 - 과 같은 III-V족 재료로 이루어져 있다. 일 실시예에서, 벌크 기판은 III-V족 재료로 이루어져 있고, 전하 캐리어 도펀트 불순물 원자들은 탄소, 실리콘, 게르마늄, 산소, 황, 셀레늄 또는 텔루륨 - 이들로 제한되지 않음 - 과 같은 것들이다.
본 출원 전반에 걸쳐 기술된 바와 같이, 얕은 트렌치 격리 영역들 또는 서브-핀 격리 영역들과 같은 격리 영역들은 아래에 놓인 벌크 기판으로부터 영구 게이트 구조체 부분들을 궁극적으로 전기적으로 격리시키거나 그의 격리에 기여하는 데, 또는 핀 활성 영역들을 격리시키는 것과 같이, 아래에 놓인 벌크 기판 내에 형성된 활성 영역들을 격리시키는 데 적절한 재료로 이루어져 있을 수 있다. 예를 들어, 일 실시예에서, 격리 영역은 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 탄소 도핑된 실리콘 질화물, 또는 이들의 조합 - 이들로 제한되지 않음 - 과 같은 유전체 재료의 하나 이상의 층으로 이루어져 있다.
본 출원 전반에 걸쳐 기술된 바와 같이, 게이트 라인들 또는 게이트 구조체들은 게이트 유전체 층 및 게이트 전극 층을 포함하는 게이트 전극 스택으로 이루어져 있을 수 있다. 실시예에서, 게이트 전극 스택의 게이트 전극은 금속 게이트로 이루어지고, 게이트 유전체 층은 하이-K 재료로 이루어진다. 예를 들어, 일 실시예에서, 게이트 유전체 층은 하프늄 산화물(hafnium oxide), 하프늄 산질화물(hafnium oxy-nitride), 하프늄 실리케이트(hafnium silicate), 란타늄 산화물(lanthanum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리케이트(zirconium silicate), 탄탈륨 산화물(tantalum oxide), 바륨 스트론튬 티탄산염(barium strontium titanate), 바륨 티탄산염(barium titanate), 스트론튬 티탄산염(strontium titanate), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 납 아연 니오브산염(lead zinc niobate), 또는 이들의 조합과 같은 것이지만 이것들에만 국한되지는 않는 재료로 이루어진다. 게다가, 게이트 유전체 층의 한 부분은 반도체 기판의 상위 몇 개의 층으로부터 형성된 자연 산화물의 층을 포함할 수 있다. 실시예에서, 게이트 유전체 층은 상부 하이-k 부분 및 반도체 재료의 산화물로 이루어지는 하부 부분으로 이루어진다. 일 실시예에서, 게이트 유전체 층은 하프늄 산화물의 상단 부분과 실리콘 이산화물 또는 실리콘 산질화물의 하단 부분으로 이루어진다. 일부 구현들에서, 게이트 유전체의 한 부분은 기판의 표면에 실질적으로 평행한 하단 부분 및 기판의 상단 표면에 실질적으로 수직인 2개의 측벽 부분을 포함하는 "U자" 형상의 구조체이다.
일 실시예에서, 게이트 전극은, 이에 제한되지는 않지만, 금속 질화물(metal nitrides), 금속 탄화물(metal carbides), 금속 실리사이드(metal silicides), 금속 알루미나이드(metal aluminides), 하프늄(hafnium), 지르코늄(zirconium), 티타늄(titanium), 탄탈륨(tantalum), 알루미늄(aluminum), 루테늄(ruthenium), 팔라듐(palladium), 백금(platinum), 코발트(cobalt), 니켈(nickel) 또는 전도성 금속 산화물과 같은 금속 층으로 이루어진다. 특정 실시예에서, 게이트 전극은 금속 일함수 설정 층 위쪽에 형성되는 비일함수 설정 충전 재료(non-workfunction-setting fill material)로 이루어진다. 게이트 전극 층은, 트랜지스터가 PMOS 트랜지스터인지 NMOS 트랜지스터인지에 좌우되어, P-형 일함수 금속 또는 N-형 일함수 금속으로 구성될 수 있다. 일부 구현에서, 게이트 전극 층은 2개 이상의 금속 층의 스택으로 구성될 수 있는데, 여기서 하나 이상의 금속 층이 일함수 금속 층들이고 적어도 하나의 금속 층이 전도성 충전 층이다. PMOS 트랜지스터에 있어서, 게이트 전극에 대해 이용될 수 있는 금속들은 루테늄, 팔라듐, 백금, 코발트, 니켈, 및 전도성 금속 산화물들, 예를 들어, 루테늄 산화물을 포함하지만, 이에 제한되지는 않는다. P-형 금속 층은 약 4.9eV 내지 약 5.2eV의 일함수를 갖는 PMOS 게이트 전극의 형성을 가능하게 할 것이다. NMOS 트랜지스터에 있어서, 게이트 전극에 대해 이용될 수 있는 금속들은 하프늄, 지르코늄, 티타늄, 탄탈룸, 알루미늄, 이러한 금속들의 합금, 및 이러한 금속들의 탄화물, 예컨대 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈룸 탄화물, 및 알루미늄 탄화물을 포함하지만, 이에 제한되지는 않는다. N-형 금속 층은 약 3.9eV 내지 약 4.2eV의 일함수를 갖는 NMOS 게이트 전극의 형성을 가능하게 할 것이다. 일부 구현들에서, 게이트 전극은, 기판의 표면에 실질적으로 평행한 하단 부분을 포함하는 "U"자 형상의 구조체, 및 기판의 상단 표면에 실질적으로 수직인 2개의 측벽 부분으로 구성될 수 있다. 또 다른 구현에서, 게이트 전극을 형성하는 금속 층들 중 적어도 하나는 단순히 기판의 상단 표면에 실질적으로 평행한 평면 층일 수 있으며, 기판의 상단 표면에 실질적으로 수직인 측벽 부분들은 포함하지 않는다. 본 개시내용의 추가 구현들에서, 게이트 전극은 U자 형상의 구조체들과 평면인 비-U자 형상의 구조체들의 조합으로 구성될 수 있다. 예를 들어, 게이트 전극은, 하나 이상의 평면, U자 형상이 아닌 층의 상위에 형성된 하나 이상의 U자 형상의 금속 층으로 구성될 수 있다.
본 출원 전반에 걸쳐 기술된 바와 같이, 게이트 라인들 또는 전극 스택들과 연관된 스페이서들은, 자기 정렬 콘택트들과 같은 인접한 전도성 콘택트들로부터 영구 게이트 구조체를 궁극적으로 전기적으로 격리시키는 데 또는 그의 격리에 기여하는 데 적절한 재료로 이루어져 있을 수 있다. 예로서, 일 실시예에서, 스페이서들은 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은 것이지만 이것들에만 한정되지는 않는 유전체 재료로 이루어진다.
실시예에서, 본 명세서에 설명된 접근법들은 대단히 엄격한 위치맞춤 버짓을 갖는 리소그래피 동작의 사용을 없애면서 기존의 게이트 패턴에 매우 잘 정렬되는 콘택트 패턴의 형성을 수반한다. 하나의 이러한 실시예에서, 이 접근법은 콘택트 개구들을 생성하기 위해 (예컨대, 건식 또는 플라스마 에칭에 비해) 본질적으로 고도로 선택적인 습식 에칭을 사용하는 것을 가능하게 한다. 실시예에서, 콘택트 플러그 리소그래피 동작과 조합하여 기존의 게이트 패턴을 활용함으로써 콘택트 패턴이 형성된다. 하나의 이러한 실시예에서, 이 접근법은, 다른 접근법들에서 사용되는 바와 같이 콘택트 패턴을 생성하기 위해 그렇지 않은 경우의 중대한 리소그래피 동작의 필요성을 없애는 것을 가능하게 한다. 실시예에서, 트렌치 콘택트 그리드가 별도로 패터닝되지 않고, 오히려 폴리(게이트) 라인들 사이에 형성된다. 예를 들어, 하나의 그러한 실시예에서, 트렌치 콘택트 그리드가 게이트 그레이팅 패터닝(gate grating patterning)에 후속하여 그러나 게이트 그레이팅 컷(cut)들 이전에 형성된다.
게다가, 게이트 스택 구조체가 대체 게이트 공정에 의해 제조될 수 있다. 이러한 스킴에서, 폴리실리콘 또는 실리콘 질화물 기둥 재료와 같은 더미 게이트 재료는 제거되어 영구 게이트 전극 재료로 대체될 수 있다. 그러한 일 실시예에서, 초기의 공정으로부터 쭉 수행되는 것과는 대조적으로, 영구 게이트 유전체 층이 또한 이 공정에서 형성된다. 실시예에서, 더미 게이트들은 건식 에칭 또는 습식 에칭 공정에 의해 제거된다. 일 실시예에서, 더미 게이트들은 다결정질 실리콘 또는 비정질 실리콘으로 이루어지고, SF6의 사용을 포함하는 건식 에칭 공정으로 제거된다. 또 다른 실시예에서, 더미 게이트들은 다결정질 실리콘 또는 비정질 실리콘으로 이루어지고, 수성 NH4OH 또는 테트라메틸암모늄 수산화물(tetramethylammonium hydroxide)의 사용을 포함하는 습식 에칭 공정으로 제거된다. 일 실시예에서, 더미 게이트들은 실리콘 질화물로 이루어지며 수성 인산(aqueous phosphoric acid)을 포함한 습식 에칭으로 제거된다.
실시예에서, 본 명세서에 설명된 하나 이상의 접근법은 구조체에 도달하기 위해 본질적으로 더미 및 대체 게이트 공정을 더미 및 대체 콘택트 공정과 조합하여 생각하고 있다. 하나의 이러한 실시예에서, 대체 콘택트 공정은 대체 게이트 공정 이후에 수행되어 영구 게이트 스택의 적어도 한 부분의 고온 어닐링을 허용한다. 예를 들어, 그러한 특정 실시예에서, 예를 들어, 게이트 유전체 층이 형성된 이후의 영구 게이트 구조체들의 적어도 한 부분의 어닐링은, 대략 600℃보다 높은 온도에서 수행된다. 어닐링은 영구 콘택트들의 형성 이전에 수행된다.
일부 실시예들에서, 반도체 구조체 또는 디바이스의 배열은 격리 영역들 위의 게이트 라인 또는 게이트 스택의 부분들 위에 게이트 콘택트를 배치한다. 그러나, 이러한 배열은 레이아웃 공간의 비효율적인 사용으로 볼 수 있다. 또 다른 실시예에서, 반도체 디바이스는 활성 영역 위에 형성된 게이트 전극의 부분들과 접촉하는 콘택트 구조체들을 갖는다. 일반적으로, 게이트의 활성 부분 위에 그리고 트렌치 콘택트 비아와 동일한 층에 (비아와 같은) 게이트 콘택트 구조체를 형성하는 것 이전에(예컨대, 그에 부가하여), 본 개시내용의 하나 이상의 실시예는 먼저 게이트 정렬 트렌치 콘택트(gate aligned trench contact) 공정을 사용하는 것을 포함한다. 그러한 공정은 반도체 구조체 제조를 위해, 예를 들어, 집적 회로 제조를 위해 트렌치 콘택트 구조체들을 형성하도록 구현될 수 있다. 실시예에서, 트렌치 콘택트 패턴은 기존의 게이트 패턴과 정렬되어 형성된다. 대조적으로, 다른 접근법들은 전형적으로 선택적 콘택트 에칭들과 조합되어 기존의 게이트 패턴에 대한 리소그래피 콘택트 패턴의 엄격한 위치맞춤(tight registration)을 갖는 부가의 리소그래피 공정을 수반한다. 예를 들어, 또 다른 공정은 콘택트 피처들을 별도로 패터닝하는 것에 의해 폴리(게이트) 그리드를 패터닝하는 것을 포함할 수 있다.
앞서 기술된 공정들의 양태들 모두가 본 개시내용의 실시예들의 사상 및 범위 내에 속하도록 실시될 필요는 없다는 점을 알아야 한다. 예를 들어, 일 실시예에서, 더미 게이트들이, 게이트 스택들의 활성 부분들 위에 게이트 콘택트들을 제조하기 이전에 반드시 형성될 필요가 있는 것은 아니다. 앞서 기술된 게이트 스택들은 실제로 초기에 형성되는 대로의 영구 게이트 스택들일 수 있다. 또한, 본 명세서에서 기술되는 공정들은 하나 또는 복수의 반도체 디바이스를 제조하기 위해 사용될 수 있다. 반도체 디바이스들은 트랜지스터들 또는 그와 유사한 디바이스들일 수 있다. 예를 들어, 실시예에서, 반도체 디바이스들은 로직 또는 메모리용 MOS(metal-oxide semiconductor) 트랜지스터, 또는 바이폴라 트랜지스터이다. 또한, 실시예에서, 반도체 디바이스들은 트라이게이트 디바이스, 독립적으로 액세스되는 더블게이트 디바이스, 또는 FIN-FET와 같은 3차원 아키텍처를 갖는다. 하나 이상의 실시예는 10 나노미터(10 nm) 기술 노드 또는 10 나노미터(10 nm) 이하 기술 노드에서 반도체 디바이스들을 제조하는 데 특히 유용할 수 있다.
FEOL 층 또는 구조체 제조를 위한 부가의 또는 중간 동작들은 리소그래피, 에칭, 박막 퇴적, (화학 기계적 연마(CMP)과 같은) 평탄화, 확산, 계측(metrology), 희생 층들의 사용, 에칭 정지 층들의 사용, 평탄화 정지 층들의 사용, 또는 마이크로전자 컴포넌트 제조와의 임의의 다른 연관된 액션과 같은 표준 마이크로전자 제조 공정들을 포함할 수 있다. 또한, 선행하는 공정 흐름들에 대해 기술된 공정 동작들이 대안의 시퀀스들로 실시될 수 있다는 것, 모든 동작이 수행될 필요는 없다는 것 또는 부가의 공정 동작들이 수행될 수 있다는 것, 또는 둘 모두가 그럴 수 있다는 것을 알아야 한다.
이상의 예시적인 FEOL 실시예들에서, 실시예에서, 10 나노미터 또는 10 나노미터 이하 노드 처리가 기술 견인차로서 제조 스킴들 및 결과적인 구조체들 내에 직접 구현된다는 점을 알아야 한다. 다른 실시예들에서, FEOL 고려사항들은 BEOL 10 나노미터 또는 10 나노미터 이하 처리 요구사항들에 의해 좌우될 수 있다. 예를 들어, FEOL 층들 및 디바이스들 대한 재료 선택 및 레이아웃들은 BEOL 처리를 수용할 필요가 있을 수 있다. 하나의 이러한 실시예에서, 재료 선택 및 게이트 스택 아키텍처들은 BEOL 층들의 고밀도 금속화를 수용하도록, 예컨대, FEOL 층들에 형성되지만 BEOL 층들의 고밀도 금속화에 의해 서로 결합되는 트랜지스터 구조체들에서의 프린지 커패시턴스(fringe capacitance)를 감소시키도록 선택된다.
집적 회로들의 BEOL(back end of line) 층들은, 본 기술분야에서 비아들이라고 알려져 있는 전기 전도성 마이크로전자 구조체들을, 비아들 위쪽의 금속 라인들 또는 다른 인터커넥트들을 비아들 아래의 금속 라인들 또는 다른 인터커넥트들에 전기적으로 연결시키기 위해, 통상적으로 포함한다. 비아들은 리소그래피 공정에 의해 형성될 수 있다. 대표적으로, 포토레지스트 층은 유전체 층 위에 스핀 코팅될 수 있고, 포토레지스트 층은 패터닝된 마스크를 통해 패터닝된 화학 방사선(patterned actinic radiation)에 노출될 수 있으며, 이후 노출된 층은 포토레지스트 층에 개구를 형성하기 위해 현상될 수 있다. 다음에, 에칭 마스크로서 포토레지스트 층에서의 개구를 이용함으로써 비아를 위한 개구가 유전체 층에 에칭될 수 있다. 이 개구는 비아 개구라고 지칭된다. 최종적으로, 비아 개구는 하나 이상의 금속 또는 다른 전도성 재료들로 충전되어 비아를 형성할 수 있다.
비아들의 크기 및 간격이 점진적으로 감소되었으며, 적어도 일부 유형의 집적 회로들(예를 들어, 진보된 마이크로프로세서들, 칩셋 컴포넌트들, 그래픽 칩들 등)에 대하여, 미래에도 비아들의 크기 및 간격이 계속해서 점진적으로 감소할 것으로 예상된다. 이러한 리소그래피 공정들에 의해 극도로 작은 피치들을 갖는 극도로 작은 비아들을 패터닝할 때, 몇 개의 도전과제가 제기된다. 하나의 이러한 도전과제는, 비아들과 위에 놓인 인터커넥트들 사이의 오버레이(overlay) 및 비아들과 아래에 놓인 랜딩(landing) 인터커넥트들 사이의 오버레이가 일반적으로 비아 피치의 1/4 정도의 높은 허용오차(tolerance)로 제어될 필요가 있다는 점이다. 비아 피치들이 시간이 지남에 따라 점점 더 작게 스케일링됨에 따라, 오버레이 허용오차들은 리소그래픽 장비가 따라갈 수 있는 것보다 훨씬 더 빠른 속도로 그들에 의해 스케일링되는 경향이 있다.
또 다른 도전과제는 비아 개구의 임계 치수가 일반적으로 리소그래피 스캐너의 분해능 능력보다 더 빠르게 스케일링되는 경향이 있다는 것이다. 축소(shrink) 기술은 비아 개구의 임계 치수를 축소하기 위하여 존재한다. 그렇지만, 축소량이 최소 비아 피치에 의해서는 물론이고, 축소 공정이 충분히 OPC(optical proximity correction) 중립적일 수 있는 것에 의해 제한되는 경향이 있고, LWR(line width roughness) 또는 CDU(critical dimension uniformity), 또는 둘 다를 상당한 정도로 타협하지는 않는 경향이 있다. 또 다른 이러한 과제는 임계 치수 버짓의 동일한 전체 비율(overall fraction)을 유지하기 위해서 비아 개구들의 임계 치수가 감소함에 따라 포토레지스트들의 LWR 또는 CDU 특성들 또는 둘 다가 일반적으로 개선될 필요가 있다는 점이다.
금속 라인들 사이의 비전도성 공간들 또는 차단부(interruption)들(BEOL(back end of line) 금속 인터커넥트 구조체들의 금속 라인들 중의 "플러그들", "유전체 플러그들" 또는 "금속 라인 단부들"이라고 지칭됨)의 배치 및 스케일링을 고려하는 것에 대해 이상의 요인들이 또한 관련성이 있다. 따라서, 금속 라인들, 금속 비아들, 및 유전체 플러그들을 제조하기 위한 백 엔드 금속화 제조 기술 분야에서 개선이 필요하다.
또 다른 양태에서, BEOL 인터커넥트 구조체들을 형성하기 위해 트렌치들을 유전체 층에 패터닝하기 위해 피치 사등분 접근법이 구현된다. 본 개시내용의 실시예에 따르면, BEOL 제조 스킴에서 금속 라인들을 제조하는 데 피치 분할이 적용된다. 실시예들은 최첨단 리소그래피 장비의 분해능 능력을 넘어서 금속 층들의 피치의 지속적 스케일링을 가능하게 할 수 있다.
도 54는 본 개시내용의 실시예에 따른, 인터커넥트 구조체들을 위한 트렌치들을 제조하기 위해 사용되는 피치 사등분 접근법(5400)의 개략도이다.
도 54를 참조하면, 동작 (a)에서, 백본 피처들(5402)이 직접 리소그래피(direct lithography)를 사용하여 형성된다. 예를 들어, 포토레지스트 층 또는 스택이 패터닝될 수 있고, 궁극적으로 백본 피처들(5402)을 형성하도록 패턴이 하드마스크 재료에 전사될 수 있다. 백본 피처들(5402)을 형성하기 위해 사용되는 포토레지스트 층 또는 스택은, 193 액침 리소그래피와 같은 표준 리소그래피 처리 기술을 사용하여 패터닝될 수 있다. 제1 스페이서 피처들(5404)이 이어서 백본 피처들(5402)의 측벽들에 인접하여 형성된다.
동작 (b)에서, 제1 스페이서 피처들(5404)만을 남겨두도록 백본 피처들(5402)이 제거된다. 이 국면에서, 제1 스페이서 피처들(5404)은, 예컨대, 피치 이등분 프로세스를 나타내는 사실상 1/2 피치 마스크(half pitch mask)이다. 제1 스페이서 피처들(5404)이 피치 사등분 공정을 위해 직접 사용될 수 있거나, 또는 제1 스페이서 피처들(5404)의 패턴이 먼저 새로운 하드마스크 재료에 전사될 수 있으며, 여기서는 후자의 접근법이 묘사되어 있다.
동작 (c)에서, 제1 스페이서 피처들(5404)의 패턴이 새로운 하드마스크 재료에 전사되어 제1 스페이서 피처들(5404')을 형성한다. 제2 스페이서 피처들(5406)이 이어서 제1 스페이서 피처들(5404')의 측벽들에 인접하여 형성된다.
동작 (d)에서, 제2 스페이서 피처들(5406)만을 남겨두도록 제1 스페이서 피처들(5404')이 제거된다. 이 국면에서, 제2 스페이서 피처들(5406)은, 예컨대, 피치 사등분 공정을 나타내는, 사실상 1/4 피치 마스크(quarter pitch mask)이다.
동작 (e)에서, 제2 스페이서 피처들(5406)이 마스크로서 사용되어 유전체 또는 하드마스크 층에 복수의 트렌치(5408)를 패터닝한다. 트렌치들은 집적 회로의 금속화 층들에 전도성 인터커넥트들을 형성하기 위해 궁극적으로 전도성 재료로 충전될 수 있다. 라벨 "B"를 갖는 트렌치들(5408)은 백본 피처들(5402)에 대응한다. 라벨 "S"를 갖는 트렌치들(5408)은 제1 스페이서 피처들(5404 또는 5404')에 대응한다. 라벨 "C"를 갖는 트렌치들(5408)은 백본 피처들(5402) 사이의 상보적 영역(5407)에 대응한다.
도 54의 트렌치들(5408)의 개개의 것들이 도 54의 백본 피처들(5402), 제1 스페이서 피처들(5404 또는 5404'), 또는 상보적 영역(5407) 중 하나에 대응하는 패터닝 출처(patterning origin)를 갖기 때문에, 이러한 피처들의 폭 및/또는 피치의 차이들이 집적 회로의 금속화 층들에 궁극적으로 형성된 전도성 인터커넥트들에서 피치 사등분 공정의 아티팩트들로서 나타날 수 있다는 점을 알아야 한다. 일 예로서, 도 55a는 본 개시내용의 실시예에 따른, 피치 사등분 스킴을 사용하여 제조된 금속화 층의 단면도를 예시하고 있다.
도 55a를 참조하면, 집적 회로 구조체(5500)는 기판(5502) 위쪽에 층간 유전체(ILD) 층(5504)을 포함한다. 복수의 전도성 인터커넥트 라인(5506)은 ILD 층(5504)에 있고, 복수의 전도성 인터커넥트 라인(5506)의 개개의 것들은 ILD 층(5504)의 부분들에 의해 서로 이격되어 있다. 복수의 전도성 인터커넥트 라인(5506)의 개개의 것들은 전도성 장벽 층(5508) 및 전도성 충전 재료(5510)를 포함한다.
도 54 및 도 55a 둘 다를 참조하면, 전도성 인터커넥트 라인들(5506B)이 백본 피처들(5402)로부터 유래하는 패턴으로 트렌치들에 형성된다. 전도성 인터커넥트 라인들(5506S)이 제1 스페이서 피처들(5404 또는 5404')로부터 유래하는 패턴으로 트렌치들에 형성된다. 전도성 인터커넥트 라인들(5506C)이 백본 피처들(5402) 사이의 상보적 영역(5407)으로부터 유래하는 패턴으로 트렌치들에 형성된다.
도 55a를 다시 참조하면, 실시예에서, 복수의 전도성 인터커넥트 라인(5506)은 폭(W1)을 갖는 제1 인터커넥트 라인(5506B)을 포함한다. 제2 인터커넥트 라인(5506S)은 제1 인터커넥트 라인(5506B)에 바로 인접해 있고, 제2 인터커넥트 라인(5506S)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 상이한 폭(W2)을 갖는다. 제3 인터커넥트 라인(5506C)은 제2 인터커넥트 라인(5506S)에 바로 인접해 있고, 제3 인터커넥트 라인(5506C)은 폭(W3)을 갖는다. 제4 인터커넥트 라인(두 번째 5506S)은 제3 인터커넥트 라인(5506C)에 바로 인접해 있고, 제4 인터커넥트 라인은 제2 인터커넥트 라인(5506S)의 폭(W2)과 동일한 폭(W2)을 갖는다. 제5 인터커넥트 라인(두 번째 5506B)은 제4 인터커넥트 라인(두 번째 5506S)에 바로 인접해 있고, 제5 인터커넥트 라인(두 번째 5506B)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 동일한 폭(W1)을 갖는다.
실시예에서, 제3 인터커넥트 라인(5506C)의 폭(W3)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 상이하다. 하나의 이러한 실시예에서, 제3 인터커넥트 라인(5506C)의 폭(W3)은 제2 인터커넥트 라인(5506S)의 폭(W2)과 상이하다. 또 다른 이러한 실시예에서, 제3 인터커넥트 라인(5506C)의 폭(W3)은 제2 인터커넥트 라인(5506S)의 폭(W2)과 동일하다. 또 다른 실시예에서, 제3 인터커넥트 라인(5506C)의 폭(W3)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 동일하다.
실시예에서, 제1 인터커넥트 라인(5506B)과 제3 인터커넥트 라인(5506C) 사이의 피치(P1)는 제2 인터커넥트 라인(5506S)과 제4 인터커넥트 라인(두 번째 5506S) 사이의 피치(P2)와 동일하다. 또 다른 실시예에서, 제1 인터커넥트 라인(5506B)과 제3 인터커넥트 라인(5506C) 사이의 피치(P1)는 제2 인터커넥트 라인(5506S)과 제4 인터커넥트 라인(두 번째 5506S) 사이의 피치(P2)와 상이하다.
도 55a를 다시 참조하면, 또 다른 실시예에서, 복수의 전도성 인터커넥트 라인(5506)은 폭(W1)을 갖는 제1 인터커넥트 라인(5506B)을 포함한다. 제2 인터커넥트 라인(5506S)은 제1 인터커넥트 라인(5506B)에 바로 인접해 있고, 제2 인터커넥트 라인(5506S)은 폭(W2)을 갖는다. 제3 인터커넥트 라인(5506C)은 제2 인터커넥트 라인(5506S)에 바로 인접해 있고, 제3 인터커넥트 라인(5506S)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 상이한 폭(W3)을 갖는다. 제4 인터커넥트 라인(두 번째 5506S)은 제3 인터커넥트 라인(5506C)에 바로 인접해 있고, 제4 인터커넥트 라인은 제2 인터커넥트 라인(5506S)의 폭(W2)과 동일한 폭(W2)을 갖는다. 제5 인터커넥트 라인(두 번째 5506B)은 제4 인터커넥트 라인(두 번째 5506S)에 바로 인접해 있고, 제5 인터커넥트 라인(두 번째 5506B)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 동일한 폭(W1)을 갖는다.
실시예에서, 제2 인터커넥트 라인(5506S)의 폭(W2)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 상이하다. 하나의 이러한 실시예에서, 제3 인터커넥트 라인(5506C)의 폭(W3)은 제2 인터커넥트 라인(5506S)의 폭(W2)과 상이하다. 또 다른 이러한 실시예에서, 제3 인터커넥트 라인(5506C)의 폭(W3)은 제2 인터커넥트 라인(5506S)의 폭(W2)과 동일하다.
실시예에서, 제2 인터커넥트 라인(5506S)의 폭(W2)은 제1 인터커넥트 라인(5506B)의 폭(W1)과 동일하다. 실시예에서, 제1 인터커넥트 라인(5506B)과 제3 인터커넥트 라인(5506C) 사이의 피치(P1)는 제2 인터커넥트 라인(5506S)과 제4 인터커넥트 라인(두 번째 5506S) 사이의 피치(P2)와 동일하다. 실시예에서, 제1 인터커넥트 라인(5506B)과 제3 인터커넥트 라인(5506C) 사이의 피치(P1)는 제2 인터커넥트 라인(5506S)과 제4 인터커넥트 라인(두 번째 5506S) 사이의 피치(P2)와 상이하다.
도 55b는 본 개시내용의 실시예에 따른, 피치 사등분 스킴을 사용하여 제조된 금속화 층 위쪽의 피치 이등분 스킴을 사용하여 제조된 금속화 층의 단면도를 예시한다.
도 55b를 참조하면, 집적 회로 구조체(5550)는 기판(5552) 위쪽에 제1 층간 유전체(ILD) 층(5554)을 포함한다. 제1 복수의 전도성 인터커넥트 라인(5556)은 제1 ILD 층(5554)에 있고, 제1 복수의 전도성 인터커넥트 라인(5556)의 개개의 것들은 제1 ILD 층(5554)의 부분들에 의해 서로 이격되어 있다. 복수의 전도성 인터커넥트 라인(5556)의 개개의 것들은 전도성 장벽 층(5558) 및 전도성 충전 재료(5560)를 포함한다. 집적 회로 구조체(5550)는 기판(5552) 위쪽에 제2 층간 유전체(ILD) 층(5574)을 추가로 포함한다. 제2 복수의 전도성 인터커넥트 라인(5576)은 제2 ILD 층(5574)에 있고, 제2 복수의 전도성 인터커넥트 라인(5576)의 개개의 것들은 제2 ILD 층(5574)의 부분들에 의해 서로 이격되어 있다. 복수의 전도성 인터커넥트 라인(5576)의 개개의 것들은 전도성 장벽 층(5578) 및 전도성 충전 재료(5580)를 포함한다.
본 개시내용의 실시예에 따르면, 도 55b를 다시 참조하면, 집적 회로 구조체를 제조하는 방법은 기판(5552) 위쪽의 제1 층간 유전체(ILD) 층(5554)에 있고 제1 층간 유전체(ILD) 층(5554)에 의해 이격되는 제1 복수의 전도성 인터커넥트 라인(5556)을 형성하는 단계를 포함한다. 제1 복수의 전도성 인터커넥트 라인(5556)이 스페이서 기반 피치 사등분 공정, 예컨대, 도 54의 동작 (a) 내지 동작(e)와 관련하여 기술된 접근법을 사용하여 형성된다. 제2 복수의 전도성 인터커넥트 라인(5576)이 제1 ILD 층(5554) 위쪽의 제2 ILD 층(5574)에 형성되고 제2 ILD 층(5574)에 의해 이격되어 있다. 제2 복수의 전도성 인터커넥트 라인(5576)이 스페이서 기반 피치 이등분 공정, 예컨대, 도 54의 동작 (a) 및 동작 (b)와 관련하여 기술된 접근법을 사용하여 형성된다.
실시예에서, 제1 복수의 전도성 인터커넥트 라인(5556)은 40 나노미터의 바로 인접한 라인들 사이의 피치(P1)를 갖는다. 제2 복수의 전도성 인터커넥트 라인(5576)은 44 나노미터 이상의 바로 인접한 라인들 사이의 피치(P2)를 갖는다. 실시예에서, 스페이서 기반 피치 사등분 공정 및 스페이서 기반 피치 이등분 공정은 액침 193nm 리소그래피 공정에 기초한다.
실시예에서, 제1 복수의 전도성 인터커넥트 라인(5556)의 개개의 것들은 제1 전도성 장벽 라이너(5558) 및 제1 전도성 충전 재료(5560)를 포함한다. 제2 복수의 전도성 인터커넥트 라인(5576)의 개개의 것들은 제2 전도성 장벽 라이너(5578) 및 제2 전도성 충전 재료(5580)를 포함한다. 하나의 이러한 실시예에서, 제1 전도성 충전 재료(5560)는 제2 전도성 충전 재료(5580)와 조성이 상이하다. 또 다른 실시예에서, 제1 전도성 충전 재료(5560)는 제2 전도성 충전 재료(5580)와 조성이 동일하다.
비록 묘사되지는 않았지만, 실시예에서, 이 방법은 제2 ILD 층(5574) 위쪽의 제3 ILD 층에 있고 제3 ILD 층에 의해 이격되는 제3 복수의 전도성 인터커넥트 라인을 형성하는 단계를 추가로 포함한다. 제3 복수의 전도성 인터커넥트 라인은 피치 분할을 사용하지 않고 형성된다.
비록 묘사되지는 않았지만, 실시예에서, 이 방법은, 제2 복수의 전도성 인터커넥트 라인(5576)을 형성하는 것 이전에, 제1 ILD 층(5554) 위쪽의 제3 ILD 층에 있고 제3 ILD 층에 의해 이격되는 제3 복수의 전도성 인터커넥트 라인을 형성하는 단계를 추가로 포함한다. 제3 복수의 전도성 인터커넥트 라인은 스페이서 기반 피치 사등분 공정을 사용하여 형성된다. 하나의 이러한 실시예에서, 제2 복수의 전도성 인터커넥트 라인(5576)을 형성하는 것에 후속하여, 제4 복수의 전도성 인터커넥트 라인이 제2 ILD 층(5574) 위쪽의 제4 ILD 층에 형성되고 제4 ILD 층에 의해 이격되어 있다. 제4 복수의 전도성 인터커넥트 라인은 스페이서 기반 피치 이등분 공정을 사용하여 형성된다. 실시예에서, 이러한 방법은 제4 ILD 층 위쪽의 제5 ILD 층에 있고 제5 ILD 층에 의해 이격되는 제5 복수의 전도성 인터커넥트 라인을 형성하는 단계를 추가로 포함하며, 제5 복수의 전도성 인터커넥트 라인은 스페이서 기반 피치 이등분 공정을 사용하여 형성된다. 제6 복수의 전도성 인터커넥트 라인이 이어서 제5 ILD 층 위쪽의 제6 ILD 층에 형성되고 제6 ILD 층에 의해 이격되며, 제6 복수의 전도성 인터커넥트 라인은 스페이서 기반 피치 이등분 공정을 사용하여 형성된다. 제7 복수의 전도성 인터커넥트 라인이 이어서 제6 ILD 층 위쪽의 제7 ILD 층에 형성되고 제7 ILD 층에 의해 이격되어 있다. 제7 복수의 전도성 인터커넥트 라인이 피치 분할을 사용하지 않고 형성된다.
또 다른 양태에서, 금속 라인 조성들이 금속화 층들 간에 변한다. 이러한 배열은 이종 금속화 층들이라고 지칭될 수 있다. 실시예에서, 구리는 상대적으로 더 큰 인터커넥트 라인들을 위한 전도성 충전 재료로서 사용되는 반면, 코발트는 상대적으로 더 작은 인터커넥트 라인들을 위한 전도성 충전 재료로서 사용된다. 충전 재료로서 코발트를 갖는 보다 작은 라인들은 낮은 비저항을 유지하면서 감소된 일렉트로마이그레이션(electromigration)을 제공할 수 있다. 보다 작은 인터커넥트 라인들에 대해 구리 대신에 코발트를 사용하는 것은, 전도성 장벽 층이 보다 많은 양의 인터커넥트 볼륨을 소비하고 구리가 감소되어, 본질적으로 구리 인터커넥트 라인과 통상적으로 연관된 장점들을 방해하는, 구리 라인들의 스케일링에서의 문제들을 해결할 수 있다.
제1 예에서, 도 56a는 본 개시내용의 실시예에 따른, 상이한 금속 라인 조성을 갖는 금속화 층 위쪽에 한 금속 라인 조성을 갖는 금속화 층을 갖는 집적 회로 구조체의 단면도를 예시하고 있다.
도 56a를 참조하면, 집적 회로 구조체(5600)는 기판(5602) 위쪽의 제1 층간 유전체(ILD) 층(5604)에 있고 제1 층간 유전체(ILD) 층(5604)에 의해 이격되는 제1 복수의 전도성 인터커넥트 라인(5606)을 포함한다. 전도성 인터커넥트 라인들 중 하나(5606A)는 아래에 놓인 비아(5607)를 갖는 것으로 도시되어 있다. 제1 복수의 전도성 인터커넥트 라인(5606)의 개개의 것들은 제1 전도성 충전 재료(5610)의 측벽들 및 하단을 따라 있는 제1 전도성 장벽 재료(5608)를 포함한다.
제2 복수의 전도성 인터커넥트 라인(5616)은 제1 ILD 층(5604) 위쪽의 제2 ILD 층(5614)에 있고 제2 ILD 층(5614)에 의해 이격되어 있다. 전도성 인터커넥트 라인들 중 하나(5616A)는 아래에 놓인 비아(5617)를 갖는 것으로 도시되어 있다. 제2 복수의 전도성 인터커넥트 라인(5616)의 개개의 것들은 제2 전도성 충전 재료(5620)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5618)를 포함한다. 제2 전도성 충전 재료(5620)는 제1 전도성 충전 재료(5610)와 조성이 상이하다.
실시예에서, 제2 전도성 충전 재료(5620)는 본질적으로 구리로 구성되고, 제1 전도성 충전 재료(5610)는 본질적으로 코발트로 구성된다. 하나의 이러한 실시예에서, 제1 전도성 장벽 재료(5608)는 제2 전도성 장벽 재료(5618)와 조성이 상이하다. 또 다른 이러한 실시예에서, 제1 전도성 장벽 재료(5608)는 제2 전도성 장벽 재료(5618)와 조성이 동일하다.
실시예에서, 제1 전도성 충전 재료(5610)는 제1 농도의 도펀트 불순물 원자를 갖는 구리를 포함하고, 제2 전도성 충전 재료(5620)는 제2 농도의 도펀트 불순물 원자를 갖는 구리를 포함한다. 도펀트 불순물 원자의 제2 농도는 도펀트 불순물 원자의 제1 농도보다 더 작다. 하나의 이러한 실시예에서, 도펀트 불순물 원자는 알루미늄(Al) 및 망간(Mn)으로 구성된 그룹으로부터 선택된다. 실시예에서, 제1 전도성 장벽 재료(5610) 및 제2 전도성 장벽 재료(5620)는 동일한 조성을 갖는다. 실시예에서, 제1 전도성 장벽 재료(5610) 및 제2 전도성 장벽 재료(5620)는 상이한 조성을 갖는다.
도 56a를 다시 참조하면, 제2 ILD 층(5614)은 에칭 정지 층(5622) 상에 있다. 전도성 비아(5617)가 제2 ILD 층(5614)에 그리고 에칭 정지 층(5622)의 개구에 있다. 실시예에서, 제1 및 제2 ILD 층들(5604 및 5614)은 실리콘, 탄소 및 산소를 포함하고, 에칭 정지 층(5622)은 실리콘 및 질소를 포함한다. 실시예에서, 제1 복수의 전도성 인터커넥트 라인(5606)의 개개의 것들은 제1 폭(W1)을 가지며, 제2 복수의 전도성 인터커넥트 라인(5616)의 개개의 것들은 제1 폭(W1)보다 더 큰 제2 폭(W2)을 갖는다.
제2 예에서, 도 56b는 본 개시내용의 실시예에 따른, 상이한 금속 라인 조성을 갖는 금속화 층에 결합된 한 금속 라인 조성을 갖는 금속화 층을 갖는 집적 회로 구조체의 단면도를 예시하고 있다.
도 56b를 참조하면, 집적 회로 구조체(5650)는 기판(5652) 위쪽의 제1 층간 유전체(ILD) 층(5654)에 있고 제1 층간 유전체(ILD) 층(5654)에 의해 이격되는 제1 복수의 전도성 인터커넥트 라인(5656)을 포함한다. 전도성 인터커넥트 라인들 중 하나(5656A)는 아래에 놓인 비아(5657)를 갖는 것으로 도시되어 있다. 제1 복수의 전도성 인터커넥트 라인(5656)의 개개의 것들은 제1 전도성 충전 재료(5660)의 측벽들 및 하단을 따라 있는 제1 전도성 장벽 재료(5658)를 포함한다.
제2 복수의 전도성 인터커넥트 라인(5666)은 제1 ILD 층(5654) 위쪽의 제2 ILD 층(5664)에 있고 제2 ILD 층(5664)에 의해 이격되어 있다. 전도성 인터커넥트 라인들 중 하나(5666A)는 아래에 놓인 비아(5667)를 갖는 것으로 도시되어 있다. 제2 복수의 전도성 인터커넥트 라인(5666)의 개개의 것들은 제2 전도성 충전 재료(5670)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5668)를 포함한다. 제2 전도성 충전 재료(5670)는 제1 전도성 충전 재료(5660)와 조성이 상이하다.
실시예에서, 전도성 비아(5657)는 제1 복수의 전도성 인터커넥트 라인(5656)의 개개의 것(5656B) 상에 있고 개개의 것(5656B)에 전기적으로 결합되어, 제2 복수의 전도성 인터커넥트 라인(5666)의 개개의 것(5666A)을 제1 복수의 전도성 인터커넥트 라인(5656)의 개개의 것(5656B)에 전기적으로 결합시킨다. 실시예에서, 묘사된 바와 같이, 제1 복수의 전도성 인터커넥트 라인(5656)의 개개의 것들은 (예컨대, 지면으로 들어가고 지면으로부터 나오는) 제1 방향(5698)을 따라 있고, 제2 복수의 전도성 인터커넥트 라인(5666)의 개개의 것들은 제1 방향(5698)에 직교하는 제2 방향(5699)을 따라 있다. 실시예에서, 묘사된 바와 같이, 전도성 비아(5667)는 제2 전도성 충전 재료(5670)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5668)를 포함한다.
실시예에서, 제2 ILD 층(5664)은 제1 ILD 층(5654) 상의 에칭 정지 층(5672) 상에 있다. 전도성 비아(5667)는 제2 ILD 층(5664)에 그리고 에칭 정지 층(5672)의 개구에 있다. 실시예에서, 제1 및 제2 ILD 층들(5654 및 5664)은 실리콘, 탄소 및 산소를 포함하고, 에칭 정지 층(5672)은 실리콘 및 질소를 포함한다. 실시예에서, 제1 복수의 전도성 인터커넥트 라인(5656)의 개개의 것들은 제1 폭(W1)을 가지며, 제2 복수의 전도성 인터커넥트 라인(5666)의 개개의 것들은 제1 폭(W1)보다 더 큰 제2 폭(W2)을 갖는다.
실시예에서, 제2 전도성 충전 재료(5670)는 본질적으로 구리로 구성되고, 제1 전도성 충전 재료(5660)는 본질적으로 코발트로 구성된다. 하나의 이러한 실시예에서, 제1 전도성 장벽 재료(5658)는 제2 전도성 장벽 재료(5668)와 조성이 상이하다. 또 다른 이러한 실시예에서, 제1 전도성 장벽 재료(5658)는 제2 전도성 장벽 재료(5668)와 조성이 동일하다.
실시예에서, 제1 전도성 충전 재료(5660)는 제1 농도의 도펀트 불순물 원자를 갖는 구리를 포함하고, 제2 전도성 충전 재료(5670)는 제2 농도의 도펀트 불순물 원자를 갖는 구리를 포함한다. 도펀트 불순물 원자의 제2 농도는 도펀트 불순물 원자의 제1 농도보다 더 작다. 하나의 이러한 실시예에서, 도펀트 불순물 원자는 알루미늄(Al) 및 망간(Mn)으로 구성된 그룹으로부터 선택된다. 실시예에서, 제1 전도성 장벽 재료(5660) 및 제2 전도성 장벽 재료(5670)는 동일한 조성을 갖는다. 실시예에서, 제1 전도성 장벽 재료(5660) 및 제2 전도성 장벽 재료(5670)는 상이한 조성을 갖는다.
도 57a 내지 도 57c는 본 개시내용의 실시예에 따른, 도 56a 및 도 56b와 관련하여 기술된 구조체들에 적절한 다양한 장벽 라이너 및 전도성 캐핑 구조적 배열들을 갖는 개개의 인터커넥트 라인들의 단면도들을 예시하고 있다.
도 57a를 참조하면, 유전체 층(5701)에서의 인터커넥트 라인(5700)은 전도성 장벽 재료(5702) 및 전도성 충전 재료(5704)를 포함한다. 전도성 장벽 재료(5702)는 전도성 충전 재료(5704)로부터 원위에 있는 외부 층(5706) 및 전도성 충전 재료(5704)에 근접해 있는 내부 층(5708)을 포함한다. 실시예에서, 전도성 충전 재료는 코발트를 포함하고, 외부 층(5706)은 티타늄 및 질소를 포함하며, 내부 층(5708)은 텅스텐, 질소 및 탄소를 포함한다. 하나의 이러한 실시예에서, 외부 층(5706)은 대략 2 나노미터의 두께를 갖고, 내부 층(5708)은 대략 0.5 나노미터의 두께를 갖는다. 또 다른 실시예에서, 전도성 충전 재료는 코발트를 포함하고, 외부 층(5706)은 탄탈륨을 포함하며, 내부 층(5708)은 루테늄을 포함한다. 하나의 이러한 실시예에서, 외부 층(5706)은 질소를 추가로 포함한다.
도 57b를 참조하면, 유전체 층(5721)에서의 인터커넥트 라인(5720)은 전도성 장벽 재료(5722) 및 전도성 충전 재료(5724)를 포함한다. 전도성 캡 층(5730)이 전도성 충전 재료(5724)의 상단 상에 있다. 하나의 이러한 실시예에서, 묘사된 바와 같이, 전도성 캡 층(5730)이 전도성 장벽 재료(5722)의 상단 상에 추가로 있다. 또 다른 실시예에서, 전도성 캡 층(5730)이 전도성 장벽 재료(5722)의 상단 상에 있지 않다. 실시예에서, 전도성 캡 층(5730)은 본질적으로 코발트로 구성되고, 전도성 충전 재료(5724)는 본질적으로 구리로 구성된다.
도 57c를 참조하면, 유전체 층(5741)에서의 인터커넥트 라인(5740)은 전도성 장벽 재료(5742) 및 전도성 충전 재료(5744)를 포함한다. 전도성 장벽 재료(5742)는 전도성 충전 재료(5744)로부터 원위에 있는 외부 층(5746) 및 전도성 충전 재료(5744)에 근접해 있는 내부 층(5748)을 포함한다. 전도성 캡 층(5750)이 전도성 충전 재료(5744)의 상단 상에 있다. 일 실시예에서, 전도성 캡 층(5750)이 전도성 충전 재료(5744)의 상단 상에만 있다. 그렇지만, 또 다른 실시예에서, 전도성 캡 층(5750)이 전도성 장벽 재료(5742)의 내부 층(5748)의 상단 상에, 즉 위치(5752)에 추가로 있다. 하나의 이러한 실시예에서, 전도성 캡 층(5750)이 전도성 장벽 재료(5742)의 외부 층(5746)의 상단 상에, 즉 위치(5754)에 추가로 있다.
실시예에서, 도 57b 및 도 57c를 참조하면, 집적 회로 구조체를 제조하는 방법은 기판 위쪽에 층간 유전체(ILD) 층(5721 또는 5741)을 형성하는 단계를 포함한다. 복수의 전도성 인터커넥트 라인(5720 또는 5740)은 ILD 층에 있고 ILD 층에 의해 이격되는 트렌치들에 형성되고, 복수의 전도성 인터커넥트 라인(5720 또는 5740)의 개개의 것들은 트렌치들의 대응하는 것에 있다. 복수의 전도성 인터커넥트 라인은 먼저 트렌치들의 하단들 및 측벽들 상에 전도성 장벽 재료들(5722 또는 5724)을 형성하는 것, 및 이어서 전도성 장벽 재료(5722 또는 5742) 상에, 제각기, 전도성 충전 재료(5724 또는 5744)를 형성하여 트렌치들을 충전하는 것에 의해 형성되며, 여기서 전도성 장벽 재료(5722 또는 5742)는, 제각기, 전도성 충전 재료(5730 또는 5750)의 하단을 따라 그리고 전도성 충전 재료(5730 또는 5750)의 측벽들을 따라 있다. 전도성 충전 재료(5724 또는 5744)의 상단이 이어서 산소 및 탄소를 포함하는 가스로 처리(treat)된다. 전도성 충전 재료(5724 또는 5744)의 상단을 산소 및 탄소를 포함하는 가스로 처리하는 것에 후속하여, 전도성 캡 층(5730 또는 5750)이, 제각기, 전도성 충전 재료(5724 또는 5744)의 상단 상에 형성된다.
일 실시예에서, 전도성 충전 재료(5724 또는 5744)의 상단을 산소 및 탄소를 포함하는 가스로 처리하는 것은 전도성 충전 재료(5724 또는 5744)의 상단을 일산화탄소(CO)로 처리하는 것을 포함한다. 일 실시예에서, 전도성 충전 재료(5724 또는 5744)는 구리를 포함하고, 전도성 충전 재료(5724 또는 5744)의 상단 상에 전도성 캡 층(5730 또는 5750)을 형성하는 것은 화학적 기상 퇴적(CVD)을 사용하여 코발트를 포함하는 층을 형성하는 것을 포함한다. 일 실시예에서, 전도성 캡 층(5730 또는 5750)이 전도성 충전 재료(5724 또는 5744)의 상단 상에는 형성되지만, 전도성 장벽 재료(5722 또는 5724)의 상단 상에는 형성되지 않는다.
일 실시예에서, 전도성 장벽 재료(5722 또는 5744)를 형성하는 것은 트렌치들의 하단들 및 측벽들 상에 제1 전도성 층을 형성하는 것을 포함하고, 제1 전도성 층은 탄탈륨을 포함한다. 제1 전도성 층의 제1 부분이 먼저 원자 층 퇴적(ALD)을 사용하여 형성되고, 이어서 제1 전도성 층의 제2 부분이 이어서 물리적 기상 퇴적(PVD)을 사용하여 형성된다. 하나의 이러한 실시예에서, 전도성 장벽 재료를 형성하는 것은 트렌치들의 하단들 및 측벽들 상의 제1 전도성 층 상에 제2 전도성 층을 형성하는 것을 추가로 포함하고, 제2 전도성 층은 루테늄을 포함하며, 전도성 충전 재료는 구리를 포함한다. 일 실시예에서, 제1 전도성 층은 질소를 추가로 포함한다.
도 58은 본 개시내용의 실시예에 따른, 상이한 금속 라인 조성 및 더 작은 피치를 갖는 2개의 금속화 층 위쪽에 한 금속 라인 조성 및 피치를 갖는 4개의 금속화 층을 갖는 집적 회로 구조체의 단면도를 예시한다.
도 58을 참조하면, 집적 회로 구조체(5800)는 기판(5801) 위쪽의 제1 층간 유전체(ILD) 층(5802)에 있고 제1 층간 유전체(ILD) 층(5802)에 의해 이격되는 제1 복수의 전도성 인터커넥트 라인(5804)을 포함한다. 제1 복수의 전도성 인터커넥트 라인(5804)의 개개의 것들은 제1 전도성 충전 재료(5808)의 측벽들 및 하단을 따라 있는 제1 전도성 장벽 재료(5806)를 포함한다. 제1 복수의 전도성 인터커넥트 라인(5804)의 개개의 것들은 (예컨대, 지면으로 들어가고 지면으로부터 나오는) 제1 방향(5898)을 따라 있다.
제2 복수의 전도성 인터커넥트 라인(5814)은 제1 ILD 층(5802) 위쪽의 제2 ILD 층(5812)에 있고 제2 ILD 층(5812)에 의해 이격되어 있다. 제2 복수의 전도성 인터커넥트 라인(5814)의 개개의 것들은 제1 전도성 충전 재료(5808)의 측벽들 및 하단을 따라 있는 제1 전도성 장벽 재료(5806)를 포함한다. 제2 복수의 전도성 인터커넥트 라인(5814)의 개개의 것들은 제1 방향(5898)에 직교하는 제2 방향(5899)을 따라 있다.
제3 복수의 전도성 인터커넥트 라인(5824)은 제2 ILD 층(5812) 위쪽의 제3 ILD 층(5822)에 있고 제3 ILD 층(5822)에 의해 이격되어 있다. 제3 복수의 전도성 인터커넥트 라인(5824)의 개개의 것들은 제2 전도성 충전 재료(5828)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5826)를 포함한다. 제2 전도성 충전 재료(5828)는 제1 전도성 충전 재료(5808)와 조성이 상이하다. 제3 복수의 전도성 인터커넥트 라인(5824)의 개개의 것들은 제1 방향(5898)을 따라 있다.
제4 복수의 전도성 인터커넥트 라인(5834)은 제3 ILD 층(5822) 위쪽의 제4 ILD 층(5832)에 있고 제4 ILD 층(5832)에 의해 이격되어 있다. 제4 복수의 전도성 인터커넥트 라인(5834)의 개개의 것들은 제2 전도성 충전 재료(5828)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5826)를 포함한다. 제4 복수의 전도성 인터커넥트 라인(5834)의 개개의 것들은 제2 방향(5899)을 따라 있다.
제5 복수의 전도성 인터커넥트 라인(5844)은 제4 ILD 층(5832) 위쪽의 제5 ILD 층(5842)에 있고 제5 ILD 층(5842)에 의해 이격되어 있다. 제5 복수의 전도성 인터커넥트 라인(5844)의 개개의 것들은 제2 전도성 충전 재료(5828)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5826)를 포함한다. 제5 복수의 전도성 인터커넥트 라인(5844)의 개개의 것들은 제1 방향(5898)을 따라 있다.
제6 복수의 전도성 인터커넥트 라인(5854)은 제5 ILD 층 위쪽의 제6 ILD 층(5852)에 있고 제6 ILD 층(5852)에 의해 이격되어 있다. 제6 복수의 전도성 인터커넥트 라인(5854)의 개개의 것들은 제2 전도성 충전 재료(5828)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5826)를 포함한다. 제6 복수의 전도성 인터커넥트 라인(5854)의 개개의 것들은 제2 방향(5899)을 따라 있다.
실시예에서, 제2 전도성 충전 재료(5828)는 본질적으로 구리로 구성되고, 제1 전도성 충전 재료(5808)는 본질적으로 코발트로 구성된다. 실시예에서, 제1 전도성 충전 재료(5808)는 제1 농도의 도펀트 불순물 원자를 갖는 구리를 포함하고, 제2 전도성 충전 재료(5828)는 제2 농도의 도펀트 불순물 원자를 갖는 구리를 포함하며, 도펀트 불순물 원자의 제2 농도는 도펀트 불순물 원자의 제1 농도보다 더 작다.
실시예에서, 제1 전도성 장벽 재료(5806)는 제2 전도성 장벽 재료(5826)와 조성이 상이하다. 또 다른 실시예에서, 제1 전도성 장벽 재료(5806) 및 제2 전도성 장벽 재료(5826)는 동일한 조성을 갖는다.
실시예에서, 제1 전도성 비아(5819)는 제1 복수의 전도성 인터커넥트 라인(5804)의 개개의 것(5804A) 상에 있고 그에 전기적으로 결합된다. 제2 복수의 전도성 인터커넥트 라인(5814)의 개개의 것(5814A)은 제1 전도성 비아(5819) 상에 있고 그에 전기적으로 결합된다.
제2 전도성 비아(5829)는 제2 복수의 전도성 인터커넥트 라인(5814)의 개개의 것(5814B) 상에 있고 그에 전기적으로 결합된다. 제3 복수의 전도성 인터커넥트 라인(5824)의 개개의 것(5824A)은 제2 전도성 비아(5829) 상에 있고 그에 전기적으로 결합된다.
제3 전도성 비아(5839)는 제3 복수의 전도성 인터커넥트 라인(5824)의 개개의 것(5824B) 상에 있고 그에 전기적으로 결합된다. 제4 복수의 전도성 인터커넥트 라인(5834)의 개개의 것(5834A)은 제3 전도성 비아(5839) 상에 있고 그에 전기적으로 결합된다.
제4 전도성 비아(5849)는 제4 복수의 전도성 인터커넥트 라인(5834)의 개개의 것(5834B) 상에 있고 그에 전기적으로 결합된다. 제5 복수의 전도성 인터커넥트 라인(5844)의 개개의 것(5844A)은 제4 전도성 비아(5849) 상에 있고 그에 전기적으로 결합된다.
제5 전도성 비아(5859)는 제5 복수의 전도성 인터커넥트 라인(5844)의 개개의 것(5844B) 상에 있고 그에 전기적으로 결합된다. 제6 복수의 전도성 인터커넥트 라인(5854)의 개개의 것(5854A)은 제5 전도성 비아(5859) 상에 있고 그에 전기적으로 결합된다.
일 실시예에서, 제1 전도성 비아(5819)는 제1 전도성 충전 재료(5808)의 측벽들 및 하단을 따라 있는 제1 전도성 장벽 재료(5806)를 포함한다. 제2(5829), 제3(5839), 제4(5849) 및 제5(5859) 전도성 비아들은 제2 전도성 충전 재료(5828)의 측벽들 및 하단을 따라 있는 제2 전도성 장벽 재료(5826)를 포함한다.
실시예에서, 제1(5802), 제2(5812), 제3(5822), 제4(5832), 제5(5842) 및 제6(5852) ILD 층들은 인접한 ILD 층들 사이의 대응하는 에칭 정지 층(5890)에 의해 서로 분리되어 있다. 실시예에서, 제1(5802), 제2(5812), 제3(5822), 제4(5832), 제5(5842) 및 제6(5852) ILD 층들은 실리콘, 탄소 및 산소를 포함한다.
실시예에서, 제1(5804) 및 제2(5814) 복수의 전도성 인터커넥트 라인들의 개개의 것들은 제1 폭(W1)을 갖는다. 제3(5824), 제4(5834), 제5(5844) 및 제6(5854) 복수의 전도성 인터커넥트 라인들의 개개의 것들은 제1 폭(W1)보다 더 큰 제2 폭(W2)을 갖는다.
도 59a 내지 도 59d는 본 개시내용의 실시예에 따른, 하단 전도성 층을 갖는 다양한 인터커넥트 라인 및 비아 배열들의 단면도들을 예시한다.
도 59a 및 도 59b를 참조하면, 집적 회로 구조체(5900)는 기판(5902) 위쪽에 층간 유전체(ILD) 층(5904)을 포함한다. 전도성 비아(5906)는 ILD 층(5904)에서의 제1 트렌치(5908)에 있다. 전도성 인터커넥트 라인(5910)은 전도성 비아(5906) 위쪽에 있으며 그에 전기적으로 결합된다. 전도성 인터커넥트 라인(5910)은 ILD 층(5904)에서의 제2 트렌치(5912)에 있다. 제2 트렌치(5912)는 제1 트렌치(5908)의 개구(5909)보다 더 큰 개구(5913)를 갖는다.
실시예에서, 전도성 비아(5906) 및 전도성 인터커넥트 라인(5910)은 제1 전도성 장벽 층(5914)을, 제1 트렌치(5908)의 하단 상에는 포함하지만, 제1 트렌치(5908)의 측벽들을 따라서는 포함하지 않고 제2 트렌치(5912)의 하단 및 측벽들을 따라서도 포함하지 않는다. 제2 전도성 장벽 층(5916)이 제1 트렌치(5908)의 하단 상의 제1 전도성 장벽 층(5914) 상에 있다. 제2 전도성 장벽 층(5916)이 제1 트렌치(5908)의 측벽들을 따라 추가로 있고, 제2 트렌치(5912)의 하단 및 측벽들을 따라 추가로 있다. 제3 전도성 장벽 층(5918)이 제1 트렌치(5908)의 하단 상의 제2 전도성 장벽 층(5916) 상에 있다. 제3 전도성 장벽 층(5918)은 제1 트렌치(5908)의 측벽들을 따라 그리고 제2 트렌치(5912)의 하단 및 측벽들을 따라 제2 전도성 장벽 층(5916) 상에 추가로 있다. 전도성 충전 재료(5920)는 제3 전도성 장벽 층(5918) 상에 있고 제1 트렌치(5908) 및 제2 트렌치(5912)를 충전한다. 제3 전도성 장벽 층(5918)은 전도성 충전 재료(5920)의 하단을 따라 그리고 전도성 충전 재료(5920)의 측벽들을 따라 있다.
일 실시예에서, 제1 전도성 장벽 층(5914) 및 제3 전도성 장벽 층(5918)은 동일한 조성을 갖고, 제2 전도성 장벽 층(5916)은 제1 전도성 장벽 층(5914) 및 제3 전도성 장벽 층(5918)과 조성이 상이하다. 하나의 이러한 실시예에서, 제1 전도성 장벽 층(5914) 및 제3 전도성 장벽 층(5918)은 루테늄을 포함하고, 제2 전도성 장벽 층(5916)은 탄탈륨을 포함한다. 특정의 이러한 실시예에서, 제2 전도성 장벽 층(5916)은 질소를 추가로 포함한다. 실시예에서, 전도성 충전 재료(5920)는 본질적으로 구리로 구성된다.
실시예에서, 전도성 캡 층(5922)이 전도성 충전 재료(5920)의 상단 상에 있다. 하나의 이러한 실시예에서, 전도성 캡 층(5922)은 제2 전도성 장벽 층(5916)의 상단 상에는 있지 않고 제3 전도성 장벽 층(5918)의 상단 상에도 있지 않다. 그렇지만, 또 다른 실시예에서, 전도성 캡 층(5922)이 제3 전도성 장벽 층(5918)의 상단 상에, 예컨대, 위치들(5924)에 추가로 있다. 하나의 이러한 실시예에서, 전도성 캡 층(5922)이 제2 전도성 장벽 층(5916)의 상단 상에, 예컨대, 위치들(5926)에 또한 추가로 있다. 실시예에서, 전도성 캡 층(5922)은 본질적으로 코발트로 구성되고, 전도성 충전 재료(5920)는 본질적으로 구리로 구성된다.
도 59c 및 도 59d를 참조하면, 실시예에서, 전도성 비아(5906)는 ILD 층(5904) 아래의 제2 ILD 층(5952)에서의 제2 전도성 인터커넥트 라인(5950) 상에 있고 그에 전기적으로 연결된다. 제2 전도성 인터커넥트 라인(5950)은 전도성 충전 재료(5954) 및 그 위의 전도성 캡(5956)을 포함한다. 묘사된 바와 같이, 에칭 정지 층(5958)이 전도성 캡(5956) 위에 있을 수 있다.
일 실시예에서, 도 59c에 묘사된 바와 같이, 전도성 비아(5906)의 제1 전도성 장벽 층(5914)이 제2 전도성 인터커넥트 라인(5950)의 전도성 캡(5956)의 개구(5960)에 있다. 하나의 이러한 실시예에서, 전도성 비아(5906)의 제1 전도성 장벽 층(5914)은 루테늄을 포함하고, 제2 전도성 인터커넥트 라인(5950)의 전도성 캡(5956)은 코발트를 포함한다.
또 다른 실시예에서, 도 59d에 묘사된 바와 같이, 전도성 비아(5906)의 제1 전도성 장벽 층(5914)이 제2 전도성 인터커넥트 라인(5950)의 전도성 캡(5956)의 한 부분 상에 있다. 하나의 이러한 실시예에서, 전도성 비아(5906)의 제1 전도성 장벽 층(5914)은 루테늄을 포함하고, 제2 전도성 인터커넥트 라인(5950)의 전도성 캡(5956)은 코발트를 포함한다. 특정의 실시예에서, 비록 묘사되지는 않았지만, 전도성 비아(5906)의 제1 전도성 장벽 층(5914)이 제2 전도성 인터커넥트 라인(5950)의 전도성 캡(5956) 내로 들어가지만 전도성 캡(5956)을 관통하지는 않는 리세스 상에 있다.
또 다른 양태에서, BEOL 금속화 층은, 전도성 라인들과 전도성 라인들을 하우징하는 ILD 층 사이의 단차 높이 차이(step-height difference)들과 같은 비-평면 토포그래피를 갖는다. 실시예에서, 위에 놓인 에칭 정지 층이 토포그래피와 등각으로 형성되어 토포그래피를 지닌다. 실시예에서, 토포그래피는 전도성 비아들의 "비-랜딩된 상태(non-landedness)"를 막기 위해 위에 놓인 비아 에칭 공정을 전도성 라인들 쪽으로 안내하는 데 도움을 준다.
에칭 정지 층 토포그래피의 제1 예에서, 도 60a 내지 도 60d는 본 개시내용의 실시예에 따른, BEOL 금속화 층의 리세싱된 라인 토포그래피에 대한 구조적 배열들의 단면도들을 예시하고 있다.
도 60a를 참조하면, 집적 회로 구조체(6000)는 기판(6002) 위의 층간 유전체(ILD) 층(6004)에 있고 층간 유전체(ILD) 층(6004)에 의해 이격되는 복수의 전도성 인터커넥트 라인(6006)을 포함한다. 복수의 전도성 인터커넥트 라인(6006) 중 하나는 예시적 목적을 위해 아래에 놓인 비아(6007)에 결합된 것으로 도시되어 있다. 복수의 전도성 인터커넥트 라인(6006)의 개개의 것들은 ILD 층(6004)의 상부 표면(6010) 아래에 상부 표면(6008)을 갖는다. 에칭 정지 층(6012)은 ILD 층(6004) 및 복수의 전도성 인터커넥트 라인(6006) 상에 있고 그와 등각이다. 에칭 정지 층(6012)은 비-평면 상부 표면을 가지며 비-평면 상부 표면의 최상부 부분(6014)은 ILD 층(6004) 위에 있고 비-평면 상부 표면의 최하부 부분(6016)은 복수의 전도성 인터커넥트 라인(6006) 위에 있다.
전도성 비아(6018)는 복수의 전도성 인터커넥트 라인(6006)의 개개의 것(6006A) 상에 있고 그에 전기적으로 결합된다. 전도성 비아(6018)는 에칭 정지 층(6012)의 개구(6020)에 있다. 개구(6020)가 복수의 전도성 인터커넥트 라인(6006)의 개개의 것(6006A) 위에는 있지만 ILD 층(6014) 위에는 있지 않다. 전도성 비아(6018)는 에칭 정지 층(6012) 위쪽의 제2 ILD 층(6022)에 있다. 일 실시예에서, 도 60a에 묘사된 바와 같이, 제2 ILD 층(6022)은 에칭 정지 층(6012) 상에 있고 그와 등각이다.
실시예에서, 도 60a에 묘사된 바와 같이, 전도성 비아(6018)의 중심(6024)은 복수의 전도성 인터커넥트 라인(6006)의 개개의 것(6006A)의 중심(6026)과 정렬된다. 그렇지만, 또 다른 실시예에서, 도 60b에 묘사된 바와 같이, 전도성 비아(6018)의 중심(6024)은 복수의 전도성 인터커넥트 라인(6006)의 개개의 것(6006A)의 중심(6026)으로부터 오프셋되어 있다.
실시예에서, 복수의 전도성 인터커넥트 라인(6006)의 개개의 것들은 전도성 충전 재료(6030)의 측벽들 및 하단을 따라 있는 장벽 층(6028)을 포함한다. 일 실시예에서, 도 60a, 도 60b 및 도 60c에 묘사된 바와 같이, 장벽 층(6028) 및 전도성 충전 재료(6030) 둘 다는 ILD 층(6004)의 상부 표면(6010) 아래에 최상부 표면을 갖는다. 특정의 이러한 실시예에서, 도 60c에 묘사된 바와 같이, 장벽 층(6028)의 최상부 표면은 전도성 충전 재료(6030)의 최상부 표면 위쪽에 있다. 또 다른 실시예에서, 도 60d에 묘사된 바와 같이, 전도성 충전 재료(6030)는 ILD 층(6004)의 상부 표면(6010) 아래에 최상부 표면을 갖고, 장벽 층(6028)은 ILD 층(6004)의 상부 표면(6010)과 동일 평면인 최상부 표면을 갖는다.
실시예에서, ILD 층(6004)은 실리콘, 탄소 및 산소를 포함하고, 에칭 정지 층(6012)은 실리콘 및 질소를 포함한다. 실시예에서, 복수의 전도성 인터커넥트 라인(6006)의 개개의 것들의 상부 표면(6008)은 0.5 내지 1.5 나노미터 범위의 양만큼 ILD 층(6004)의 상부 표면(6010) 아래에 있다.
도 60a 내지 도 60d를 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체를 제조하는 방법은 기판(6002) 위쪽의 제1 층간 유전체(ILD) 층(6004)에 있고 제1 층간 유전체(ILD) 층(6004)에 의해 이격되는 복수의 전도성 인터커넥트 라인을 형성하는 단계를 포함한다. 복수의 전도성 인터커넥트 라인이 제1 ILD 층(6004)의 상부 표면(6010) 아래에 상부 표면(6008)을 갖는 복수의 전도성 인터커넥트 라인의 개개의 것들(6006)을 제공하도록 제1 ILD 층에 대해 상대적으로 리세싱된다. 복수의 전도성 인터커넥트 라인을 리세싱하는 것에 후속하여, 에칭 정지 층(6012)이 제1 ILD 층(6004) 및 복수의 전도성 인터커넥트 라인(6006) 상에 그리고 그와 등각으로 형성된다. 에칭 정지 층(6012)은 비-평면 상부 표면을 가지며 비-평면 상부 표면의 최상부 부분(6016)은 제1 ILD 층(6004) 위에 있고 비-평면 상부 표면의 최하부 부분(6014)은 복수의 전도성 인터커넥트 라인(6006) 위에 있다. 제2 ILD 층(6022)이 에칭 정지 층(6012) 상에 형성된다. 제2 ILD 층(6022)에 비아 트렌치가 에칭된다. 에칭 정지 층(6012)이 에칭 동안의 제2 ILD 층(6022)에서의 비아 트렌치의 위치를 지도(direct)한다. 에칭 정지 층(6012)에 개구(6020)를 형성하기 위해 에칭 정지 층(6012)이 비아 트렌치를 통해 에칭된다. 개구(6020)가 복수의 전도성 인터커넥트 라인(6006)의 개개의 것(6006A) 위에는 있지만 제1 ILD 층(6004) 위에는 있지 않다. 전도성 비아(6018)가 비아 트렌치에 그리고 에칭 정지 층(6012)에서의 개구(6020)에 형성된다. 전도성 비아(6018)는 복수의 전도성 인터커넥트 라인(6006)의 개개의 것(6006A) 상에 있고 그에 전기적으로 결합된다.
일 실시예에서, 도 60a 내지 도 60c에 묘사된 바와 같이, 복수의 전도성 인터커넥트 라인(6006)의 개개의 것들은 전도성 충전 재료(6030)의 측벽들 및 하단을 따라 있는 장벽 층(6028)을 포함하고, 복수의 전도성 인터커넥트 라인을 리세싱하는 것은 장벽 층(6028) 및 전도성 충전 재료(6030) 둘 다를 리세싱하는 것을 포함한다. 또 다른 실시예에서, 도 60d에 묘사된 바와 같이, 복수의 전도성 인터커넥트 라인(6006)의 개개의 것들은 전도성 충전 재료(6030)의 측벽들 및 하단을 따라 있는 장벽 층(6028)을 포함하고, 복수의 전도성 인터커넥트 라인을 리세싱하는 것은 전도성 충전 재료(6030)는 리세싱하지만 장벽 층(6028)은 실질적으로 리세싱하지 않는 것을 포함한다. 실시예에서, 에칭 정지 층(6012)이 리소그래피적으로 오정렬된(lithographically mis-aligned) 비아 트렌치 패턴을 재지도(re-direct)한다. 실시예에서, 복수의 전도성 인터커넥트 라인을 리세싱하는 것은 제1 ILD 층(6004)에 대해 상대적으로 0.5 내지 1.5 나노미터 범위의 양만큼 리세싱하는 것을 포함한다.
에칭 정지 층 토포그래피의 제2 예에서, 도 61a 내지 도 61d는 본 개시내용의 실시예에 따른, BEOL 금속화 층의 계단형 라인 토포그래피에 대한 구조적 배열들의 단면도들을 예시하고 있다.
도 61a를 참조하면, 집적 회로 구조체(6100)는 기판(6102) 위쪽의 층간 유전체(ILD) 층(6104)에 있고 층간 유전체(ILD) 층(6104)에 의해 이격되는 복수의 전도성 인터커넥트 라인(6106)을 포함한다. 복수의 전도성 인터커넥트 라인(6106) 중 하나는 예시 목적을 위해 아래에 놓인 비아(6107)에 결합된 것으로 도시되어 있다. 복수의 전도성 인터커넥트 라인(6106)의 개개의 것들은 ILD 층(6104)의 상부 표면(6110) 위쪽에 상부 표면(6108)을 갖는다. 에칭 정지 층(6112)은 ILD 층(6104) 및 복수의 전도성 인터커넥트 라인(6106) 상에 있고 그와 등각이다. 에칭 정지 층(6112)은 비-평면 상부 표면을 가지며 비-평면 상부 표면의 최하부 부분(6114)은 ILD 층(6104) 위에 있고 비-평면 상부 표면의 최상부 부분(6116)은 복수의 전도성 인터커넥트 라인(6106) 위에 있다.
전도성 비아(6118)는 복수의 전도성 인터커넥트 라인(6106)의 개개의 것(6106A) 상에 있고 그에 전기적으로 결합된다. 전도성 비아(6118)는 에칭 정지 층(6112)의 개구(6120)에 있다. 개구(6120)가 복수의 전도성 인터커넥트 라인(6106)의 개개의 것(6106A) 위에는 있지만 ILD 층(6114) 위에는 있지 않다. 전도성 비아(6118)는 에칭 정지 층(6112) 위쪽의 제2 ILD 층(6122)에 있다. 일 실시예에서, 도 61a에 묘사된 바와 같이, 제2 ILD 층(6122)은 에칭 정지 층(6112) 상에 있고 그와 등각이다.
실시예에서, 도 61a에 묘사된 바와 같이, 전도성 비아(6118)의 중심(6124)은 복수의 전도성 인터커넥트 라인(6106)의 개개의 것(6106A)의 중심(6126)과 정렬된다. 그렇지만, 또 다른 실시예에서, 도 61b에 묘사된 바와 같이, 전도성 비아(6118)의 중심(6124)은 복수의 전도성 인터커넥트 라인(6106)의 개개의 것(6106A)의 중심(6126)으로부터 오프셋되어 있다.
실시예에서, 복수의 전도성 인터커넥트 라인(6106)의 개개의 것들은 전도성 충전 재료(6130)의 측벽들 및 하단을 따라 있는 장벽 층(6128)을 포함한다. 일 실시예에서, 도 61a, 도 61b 및 도 61c에 묘사된 바와 같이, 장벽 층(6128) 및 전도성 충전 재료(6130) 둘 다는 ILD 층(6104)의 상부 표면(6110) 위쪽에 최상부 표면을 갖는다. 특정의 이러한 실시예에서, 도 61c에 묘사된 바와 같이, 장벽 층(6128)의 최상부 표면은 전도성 충전 재료(6130)의 최상부 표면 아래에 있다. 또 다른 실시예에서, 도 61d에 묘사된 바와 같이, 전도성 충전 재료(6130)는 ILD 층(6104)의 상부 표면(6110) 위쪽에 최상부 표면을 갖고, 장벽 층(6128)은 ILD 층(6104)의 상부 표면(6110)과 동일 평면인 최상부 표면을 갖는다.
실시예에서, ILD 층(6104)은 실리콘, 탄소 및 산소를 포함하고, 에칭 정지 층(6112)은 실리콘 및 질소를 포함한다. 실시예에서, 복수의 전도성 인터커넥트 라인(6106)의 개개의 것들의 상부 표면(6108)은 0.5 내지 1.5 나노미터 범위의 양만큼 ILD 층(6004)의 상부 표면(6110) 위쪽에 있다.
도 61a 내지 도 61d를 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체를 제조하는 방법은 기판(6102) 위쪽의 제1 층간 유전체(ILD) 층에 있고 제1 층간 유전체(ILD) 층에 의해 이격되는 복수의 전도성 인터커넥트 라인(6106)을 형성하는 단계를 포함한다. 제1 ILD 층(6104)이 제1 ILD 층(6104)의 상부 표면(6110) 위쪽에 상부 표면(6108)을 갖는 복수의 전도성 인터커넥트 라인(6106)의 개개의 것들을 제공하도록 복수의 전도성 인터커넥트 라인(6106)에 대해 상대적으로 리세싱된다. 제1 ILD 층(6104)을 리세싱하는 것에 후속하여, 에칭 정지 층(6112)이 제1 ILD 층(6104) 및 복수의 전도성 인터커넥트 라인(6106) 상에 그리고 그와 등각으로 형성된다. 에칭 정지 층(6112)은 비-평면 상부 표면을 가지며 비-평면 상부 표면의 최하부 부분(6114)은 제1 ILD 층(6104) 위에 있고 비-평면 상부 표면의 최상부 부분(6116)은 복수의 전도성 인터커넥트 라인(6106) 위에 있다. 제2 ILD 층(6122)이 에칭 정지 층(6112) 상에 형성된다. 제2 ILD 층(6122)에 비아 트렌치가 에칭된다. 에칭 정지 층(6112)은 에칭 동안의 제2 ILD 층(6122)에서의 비아 트렌치의 위치를 지도한다. 에칭 정지 층(6112)에 개구(6120)를 형성하기 위해 에칭 정지 층(6112)이 비아 트렌치를 통해 에칭된다. 개구(6120)가 복수의 전도성 인터커넥트 라인(6106)의 개개의 것(6106A) 위에는 있지만 제1 ILD 층(6104) 위에는 있지 않다. 전도성 비아(6118)가 비아 트렌치에 그리고 에칭 정지 층(6112)에서의 개구(6120)에 형성된다. 전도성 비아(6118)는 복수의 전도성 인터커넥트 라인(6106)의 개개의 것(6106A) 상에 있고 그에 전기적으로 결합된다.
일 실시예에서, 도 61a 내지 도 61c에 묘사된 바와 같이, 복수의 전도성 인터커넥트 라인(6106)의 개개의 것들은 전도성 충전 재료(6130)의 측벽들 및 하단을 따라 있는 장벽 층(6128)을 포함하고, 제1 ILD 층(6104)을 리세싱하는 것은 장벽 층(6128) 및 전도성 충전 재료(6130) 둘 다에 대해 상대적으로 리세싱하는 것을 포함한다. 또 다른 실시예에서, 도 61d에 묘사된 바와 같이, 복수의 전도성 인터커넥트 라인(6106)의 개개의 것들은 전도성 충전 재료(6130)의 측벽들 및 하단을 따라 있는 장벽 층(6128)을 포함하고, 제1 ILD 층(6104)을 리세싱하는 것은 전도성 충전 재료(6130)에 대해 상대적으로 리세싱하지만 장벽 층(6128)에 대해 상대적으로 리세싱하지 않는 것을 포함한다. 실시예에서, 에칭 정지 층(6112)은 리소그래피적으로 오정렬된 비아 트렌치 패턴을 재지도한다. 실시예에서, 제1 ILD 층(6104)을 리세싱하는 것은 복수의 전도성 인터커넥트 라인(6106)에 대해 상대적으로 0.5 내지 1.5 나노미터 범위의 양만큼 리세싱하는 것을 포함한다.
또 다른 양태에서, 금속 라인 단부들을 패터닝하기 위한 기술이 설명된다. 맥락을 제공하기 위해, 진보된 반도체 제조 노드들에서, 라인 그레이팅, 라인 단부들, 및 비아들의 개별적인 패터닝 공정들에 의해 하위 레벨 인터커넥트들이 생성될 수 있다. 그렇지만, 비아들이 라인 단부들을 침범할 때 그리고 그 반대일 때 복합 패턴(composite pattern)의 충실도(fidelity)가 열화되는 경향이 있다. 본 명세서에 설명된 실시예들은 연관된 근접 규칙(proximity rule)들을 배제하는 플러그 공정이라고도 알려진 라인 단부 공정을 제공한다. 실시예들은 비아가 라인 단부에 배치되고 큰 비아가 라인 단부에 걸쳐서 스트래핑(strap)하는 것을 허용할 수 있다.
추가 맥락을 제공하기 위해, 도 62a는 본 개시내용의 실시예에 따른, 금속화 층의 평면도 및 평면도의 a-a' 축을 따라 절취된 대응하는 단면도를 예시하고 있다. 도 62b는 본 개시내용의 실시예에 따른, 라인 단부 또는 플러그의 단면도를 예시한다. 도 62c는 본 개시내용의 실시예에 따른, 라인 단부 또는 플러그의 또 다른 단면도를 예시한다.
도 62a를 참조하면, 금속화 층(6200)은 유전체 층(6204)에 형성된 금속 라인들(6202)을 포함한다. 금속 라인들(6202)은 아래에 놓인 비아들(6203)에 결합될 수 있다. 유전체 층(6204)은 라인 단부 또는 플러그 영역들(6205)을 포함할 수 있다. 도 62b를 참조하면, 유전체 층(6204)의 라인 단부 또는 플러그 영역(6205)은 유전체 층(6204) 상에 하드마스크 층(6210)을 패터닝하는 것 및 이어서 유전체 층(6204)의 노출된 부분들을 에칭하는 것에 의해 제조될 수 있다. 유전체 층(6204)의 노출된 부분들은 라인 트렌치(6206)를 형성하기에 적절한 깊이까지 에칭되거나 또는 비아 트렌치(6208)를 형성하기에 적절한 깊이까지 추가로 에칭될 수 있다. 도 62c를 참조하면, 궁극적으로 라인 트렌치들(6212) 및 비아 트렌치들(6214)을 형성하기 위해 라인 단부 또는 플러그(6205)의 대향 측벽들에 인접해 있는 2개의 비아가 한 번의 대면적 노광(large exposure)(6216)으로 제조될 수 있다.
그렇지만, 도 62a 내지 도 62c를 다시 참조하면, 충실도 이슈들 및/또는 하드마스크 침식 이슈들은 불완전한 패터닝 체제(patterning regime)를 가져올 수 있다. 대조적으로, 본 명세서에 설명된 하나 이상의 실시예는 트렌치 및 비아 패터닝 공정 이후에 라인 단부 유전체(플러그)를 구성하는 것을 수반하는 공정 흐름의 구현을 포함한다.
일 양태에서, 그러면, 본 명세서에 설명된 하나 이상의 실시예는 금속 라인들("라인 단부들", "플러그들" 또는 "컷들"이라고 지칭됨)과, 일부 실시예들에서, 연관된 전도성 비아들 사이에 비전도성 공간들 또는 차단부들을 구축하기 위한 접근법들에 관한 것이다. 전도성 비아들은, 정의에 의해, 이전 층 금속 패턴 상에 랜딩하기 위해 사용된다. 이러한 맥락에서, 본 명세서에 설명된 실시예들은 리소그래피 장비에 의한 정렬에 보다 적게 의존하기 때문에 보다 강건한 인터커넥트 제조 스킴을 가능하게 해준다. 이러한 인터커넥트 제조 스킴은 정렬/노광에 대한 제약조건들을 완화시키기 위해 사용될 수 있고, (예컨대, 비아 저항을 감소시킴으로써) 전기적 접촉을 개선하기 위해 사용될 수 있으며, 그렇지 않았으면 종래의 접근법들을 사용하여 이러한 피처들을 패터닝하는 데 요구되는 전체 공정 동작들 및 처리 시간을 감소시키기 위해 사용될 수 있다.
도 63a 내지 도 63f는 본 개시내용의 실시예에 따른, 플러그 최종(plug last) 처리 스킴에서의 다양한 동작들을 나타내는 평면도들 및 대응하는 단면도들을 예시한다.
도 63a를 참조하면, 집적 회로 구조체를 제조하는 방법은 아래에 놓인 금속화 층(6300) 위쪽에 형성된 층간 유전체(ILD) 재료 층(6302)의 상부 부분(6304)에 라인 트렌치(6306)를 형성하는 단계를 포함한다. 비아 트렌치(6308)는 ILD 재료 층(6302)의 하부 부분(6310)에 형성된다. 비아 트렌치(6308)는 아래에 놓인 금속화 층(6300)의 금속 라인(6312)을 노출시킨다.
도 63b를 참조하면, 희생 재료(6314)가 ILD 재료 층(6302) 위쪽에 그리고 라인 트렌치(6306) 및 비아 트렌치(6308)에 형성된다. 도 63b에 묘사된 바와 같이, 희생 재료(6314)는 그 위에 형성된 하드마스크(6315)를 가질 수 있다. 일 실시예에서, 희생 재료(6314)는 탄소를 포함한다.
도 63c를 참조하면, 희생 재료(6314)는, 예컨대, 희생 재료(6314)에 개구(6316)를 제공하기 위해, 라인 트렌치(6306)에서의 희생 재료(6314)의 연속성을 파괴하도록 패터닝된다.
도 63d를 참조하면, 희생 재료(6314)에서의 개구(6316)는 유전체 플러그(6318)를 형성하도록 유전체 재료로 충전된다. 실시예에서, 희생 재료(6314)에서의 개구(6316)를 유전체 재료로 충전하는 것에 후속하여, 도 63d에 묘사된 바와 같이, ILD 재료(6302)의 상부 표면(6322) 위쪽에 상부 표면(6320)을 갖는 유전체 플러그(6318)를 제공하도록 하드마스크(6315)가 제거된다. 유전체 플러그(6318)를 남겨두도록 희생 재료(6314)가 제거된다.
실시예에서, 희생 재료(6314)의 개구(6316)를 유전체 재료로 충전하는 것은 금속 산화물 재료로 충전하는 것을 포함한다. 하나의 이러한 실시예에서, 금속 산화물 재료는 알루미늄 산화물이다. 실시예에서, 희생 재료(6316)의 개구(6314)를 유전체 재료로 충전하는 것은 원자 층 퇴적(ALD)을 사용하여 충전하는 것을 포함한다.
도 63e를 참조하면, 라인 트렌치(6306) 및 비아 트렌치(6308)가 전도성 재료(6324)로 충전된다. 실시예에서, 묘사된 바와 같이, 전도성 재료(6324)가 유전체 플러그(6318) 및 ILD 층(6302) 위쪽에 그리고 그 위에 형성된다.
도 63f를 참조하면, 라인 트렌치(6306)에서의 전도성 재료(6324)의 연속성을 중단시키는 평탄화된 유전체 플러그(6318')를 제공하도록 전도성 재료(6324) 및 유전체 플러그(6318)가 평탄화된다.
도 63f를 다시 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체(6350)는 기판 위쪽에 층간 유전체(ILD) 층(6302)을 포함한다. 전도성 인터커넥트 라인(6324)은 ILD 층(6302)에서의 트렌치(6306)에 있다. 전도성 인터커넥트 라인(6324)은 제1 부분(6324A) 및 제2 부분(6324B)을 가지며, 제1 부분(6324A)은 제2 부분(6324B)에 측방향으로 인접해 있다. 유전체 플러그(6318')는 전도성 인터커넥트 라인(6324)의 제1 부분(6324A)과 제2 부분(6324B) 사이에 그리고 이들에 측방향으로 인접해 있다. 비록 묘사되지는 않았지만, 실시예에서, 전도성 인터커넥트 라인(6324)은 전도성 장벽 라이너 및 전도성 충전 재료를 포함하고, 이에 대한 예시적인 재료들은 앞서 기술되어 있다. 하나의 이러한 실시예에서, 전도성 충전 재료는 코발트를 포함한다.
실시예에서, 유전체 플러그(6318')는 금속 산화물 재료를 포함한다. 하나의 이러한 실시예에서, 금속 산화물 재료는 알루미늄 산화물이다. 실시예에서, 유전체 플러그(6318')는 전도성 인터커넥트 라인(6324)의 제1 부분(6324A) 및 제2 부분(6324B)과 직접 접촉한다.
실시예에서, 유전체 플러그(6318')는 전도성 인터커넥트 라인(6324)의 하단(6324C)과 실질적으로 동일 평면인 하단(6318A)을 갖는다. 실시예에서, 제1 전도성 비아(6326)는 ILD 층(6302)에서의 트렌치(6308)에 있다. 하나의 이러한 실시예에서, 제1 전도성 비아(6326)는 인터커넥트 라인(6324)의 하단(6324C) 아래에 있고, 제1 전도성 비아(6326)는 전도성 인터커넥트 라인(6324)의 제1 부분(6324A)에 전기적으로 결합된다.
실시예에서, 제2 전도성 비아(6328)는 ILD 층(6302)에서의 제3 트렌치(6330)에 있다. 제2 전도성 비아(6328)는 인터커넥트 라인(6324)의 하단(6324C) 아래에 있고, 제2 전도성 비아(6328)는 전도성 인터커넥트 라인(6324)의 제2 부분(6324B)에 전기적으로 결합된다.
유전체 플러그는 화학적 기상 퇴적 공정과 같은 충전 공정을 사용하여 형성될 수 있다. 제조된 유전체 플러그에 아티팩트들이 남아 있을 수 있다. 일 예로서, 도 64a는 본 개시내용의 실시예에 따른, 전도성 라인 플러그 - 그 내에 심을 가짐 - 의 단면도를 예시하고 있다.
도 64a를 참조하면, 유전체 플러그(6418)는 전도성 인터커넥트 라인(6324)의 제1 부분(6324A)으로부터 그리고 전도성 인터커넥트 라인(6324)의 제2 부분(6324B)으로부터 대략 동일하게 이격된 대략 수직인 심(6400)을 갖는다.
유전체 플러그들이 하우징되는 ILD 재료와 조성이 상이한 유전체 플러그들이, 하부 금속화 층들과 같은 선택된 금속화 층들 상에만 포함될 수 있다는 점을 알아야 한다. 일 예로서, 도 64b는 본 개시내용의 실시예에 따른, 하부 금속 라인 위치에 전도성 라인 플러그를 포함하는 금속화 층들의 스택의 단면도를 예시하고 있다.
도 64b를 참조하면, 집적 회로 구조체(6450)는 기판(6452) 위쪽의 제1 층간 유전체(ILD) 층(6454)에 있고 제1 층간 유전체(ILD) 층(6454)에 의해 이격되는 제1 복수의 전도성 인터커넥트 라인(6456)을 포함한다. 제1 복수의 전도성 인터커넥트 라인(6456)의 개개의 것들은 하나 이상의 유전체 플러그(6458)에 의해 연속성이 중단되어 있다. 실시예에서, 하나 이상의 유전체 플러그(6458)는 ILD 층(6452)과 상이한 재료를 포함한다. 제2 복수의 전도성 인터커넥트 라인(6466)은 제1 ILD 층(6454) 위쪽의 제2 ILD 층에 있고 제2 ILD 층에 의해 이격되어 있다. 실시예에서, 제2 복수의 전도성 인터커넥트 라인(6466)의 개개의 것들은 제2 ILD 층의 하나 이상의 부분(6468)에 의해 연속성이 중단되어 있다. 묘사된 바와 같이, 다른 금속화 층들이 집적 회로 구조체(6450)에 포함될 수 있다는 점을 알아야 한다.
일 실시예에서, 하나 이상의 유전체 플러그(6458)가 금속 산화물 재료를 포함한다. 하나의 이러한 실시예에서, 금속 산화물 재료는 알루미늄 산화물이다. 일 실시예에서, 제1 ILD 층(6454) 및 제2 ILD 층(그리고, 따라서, 제2 ILD 층의 하나 이상의 부분(6568))은 탄소 도핑된 실리콘 산화물 재료를 포함한다.
일 실시예에서, 제1 복수의 전도성 인터커넥트 라인(6456)의 개개의 것들은 제1 전도성 장벽 라이너(6456A) 및 제1 전도성 충전 재료(6456B)를 포함한다. 제2 복수의 전도성 인터커넥트 라인(6466)의 개개의 것들은 제2 전도성 장벽 라이너(6466A) 및 제2 전도성 충전 재료(6466B)를 포함한다. 하나의 이러한 실시예에서, 제1 전도성 충전 재료(6456B)는 제2 전도성 충전 재료(6466B)와 조성이 상이하다. 특정의 이러한 실시예에서, 제1 전도성 충전 재료(6456B)는 코발트를 포함하고, 제2 전도성 충전 재료(6466B)는 구리를 포함한다.
일 실시예에서, 제1 복수의 전도성 인터커넥트 라인(6456)은 제1 피치(유사 층(6470)에 도시된 바와 같은 P1)를 갖는다. 제2 복수의 전도성 인터커넥트 라인(6466)은 제2 피치(유사 층(6480)에 도시된 바와 같은 P2)를 갖는다. 제2 피치(P2)는 제1 피치(P1)보다 더 크다. 일 실시예에서, 제1 복수의 전도성 인터커넥트 라인(6456)의 개개의 것들은 제1 폭(유사 층(6470)에 도시된 바와 같은 W1)을 갖는다. 제2 복수의 전도성 인터커넥트 라인(6466)의 개개의 것들은 제2 폭(유사 층(6480)에 도시된 바와 같은 W2)을 갖는다. 제2 폭(W2)은 제1 폭(W1)보다 더 크다.
BEOL(back end of line) 구조체들 및 처리과 관련하여 앞서 기술된 층들 및 재료들이, 집적 회로의 아래에 놓인 디바이스 층(들)과 같은, 아래에 놓인 반도체 기판 또는 구조체 상에 또는 그 위쪽에 형성될 수 있다는 점을 알아야 한다. 실시예에서, 아래에 놓인 반도체 기판은 집적 회로들을 제조하기 위해 이용되는 일반적인 워크피스 오브젝트(workpiece object)를 표현한다. 반도체 기판은 종종 실리콘 또는 또 다른 반도체 재료의 웨이퍼 또는 다른 단편(piece)을 포함한다. 적절한 반도체 기판들은 단결정 실리콘(single crystal silicon), 다결정질 실리콘 및 SOI(silicon on insulator)는 물론이고, 게르마늄, 탄소, 또는 III-V족 재료들을 포함하는 기판들과 같은, 다른 반도체 재료들로 형성된 유사한 기판들을 포함하지만 이들로만 제한되지는 않는다. 반도체 기판은 제조 국면에 의존하여 종종 트랜지스터, 집적 회로 등을 포함한다. 기판은 반도체 재료들, 금속들, 유전체들, 도펀트들, 및 반도체 기판들에서 흔히 발견되는 다른 재료들을 또한 포함할 수 있다. 게다가, 묘사된 구조체들은 아래에 놓인 하위 레벨 인터커넥트 층들 상에 제조될 수 있다.
비록 BEOL 금속화 층의 금속화 층 또는 그 금속화 층의 부분들을 제조하는 선행하는 방법들이 선택된 동작들에 관하여 상세히 기술되어 있지만, 제조를 위한 부가의 또는 중간 동작들이 리소그래피, 에칭, 박막 퇴적, (화학 기계적 연마(CMP)과 같은) 평탄화, 확산, 계측, 희생 층들의 사용, 에칭 정지 층들의 사용, 평탄화 정지 층들의 사용, 또는 마이크로전자 컴포넌트 제조와 연관된 임의의 다른 액션과 같은 표준 마이크로전자 제조 공정들을 포함할 수 있다는 점을 알아야 한다. 또한, 선행하는 공정 흐름들에 대해 기술된 공정 동작들이 대안의 시퀀스들로 실시될 수 있다는 것, 모든 동작이 수행될 필요는 없다는 것 또는 부가의 공정 동작들이 수행될 수 있다는 것, 또는 이 둘 다가 수행될 수 있다는 것을 알아야 한다.
실시예에서, 본 설명 전체에 걸쳐 이용되는 바와 같이, 층간 유전체(ILD) 재료는 유전체 또는 절연 재료의 층으로 이루어지거나 이러한 층을 포함한다. 적합한 유전체 재료들의 예들은, 이에 제한되는 것은 아니지만, 실리콘의 산화물들(예를 들어, SiO2(silicon dioxide)), 실리콘의 도핑된 산화물들, 실리콘의 플루오르화된 산화물들, 실리콘의 탄소 도핑된 산화물들, 관련분야에 알려진 다양한 로우-k 유전체 재료들, 및 이들의 조합을 포함한다. 층간 유전체 재료는, 예를 들어, 화학적 기상 퇴적(CVD), 물리적 기상 퇴적(PVD)과 같은 기술들에 의해, 또는 다른 퇴적 방법들에 의해 형성될 수 있다.
실시예에서, 또한 본 설명 전체에 걸쳐 사용되는 바와 같이, 금속 라인들 또는 인터커넥트 라인 재료(및 비아 재료)는 하나 이상의 금속 또는 다른 전도성 구조체로 이루어져 있다. 공통적인 예는 구리와 주변 ILD 재료 사이에 장벽 층들을 포함하거나 포함하지 않을 수 있는 구리 라인들 및 구조체들의 사용이다. 본 명세서에서 이용되는 바와 같이, 금속이라는 용어는 다수의 금속의 합금들, 스택들, 및 다른 조합들을 포함한다. 예를 들어, 금속 인터커넥트 라인들은 장벽 층들(예컨대, Ta, TaN, Ti 또는 TiN 중 하나 이상을 포함하는 층들), 상이한 금속들 또는 합금들의 스택들 등을 포함할 수 있다. 따라서, 인터커넥트 라인들은 단일 재료 층일 수 있거나, 또는 전도성 라이너 층들 및 충전 층들을 포함한, 몇 개의 층으로 형성될 수 있다. 전기 도금, 화학적 기상 퇴적 또는 물리적 기상 퇴적과 같은 임의의 적합한 퇴적 공정이 인터커넥트 라인들을 형성하기 위해 사용될 수 있다. 실시예에서, 인터커넥트 라인들은 Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au 또는 이들의 합금들 - 이들로 제한되지 않음 - 과 같은 전도성 재료로 이루어져 있다. 인터커넥트 라인들이 또한 때때로 본 기술분야에서 트레이스(trace)들, 와이어들, 라인들, 금속, 또는 단순히 인터커넥트라고 지칭된다.
실시예에서, 본 설명 전체에 걸쳐 또한 이용되는 바와 같이, 하드마스크 재료들은 층간 유전체 재료와는 상이한 유전체 재료들로 이루어진다. 일 실시예에서, 상이한 하드마스크 재료들은, 서로에게 그리고 아래에 놓인 유전체 및 금속 층들에게 상이한 성장 또는 에칭 선택성을 제공하기 위해 상이한 영역들에서 사용될 수 있다. 일부 실시예들에서, 하드마스크 층은 실리콘의 질화물(예를 들어, 실리콘 질화물)의 층 또는 실리콘의 산화물의 층 또는 둘 다, 또는 이들의 조합을 포함한다. 다른 적합한 재료들은 탄소계 재료들을 포함할 수 있다. 또 다른 실시예에서, 하드마스크 재료는 금속 종들(metal species)을 포함한다. 예를 들어, 하드마스크 또는 다른 위에 놓인 재료는 티타늄이나 또 다른 금속의 질화물(예를 들어, 티타늄 질화물)의 층을 포함할 수 있다. 잠재적으로 더 적은 양의 다른 재료들, 예컨대 산소가 이러한 층들 중 하나 이상에 포함될 수 있다. 대안적으로, 본 기술분야에 알려진 다른 하드마스크 층들이 특정 구현에 좌우되어 이용될 수 있다. 하드마스크층들은 CVD, PVD, 또는 다른 퇴적 방법에 의해 형성될 수 있다.
실시예에서, 또한 본 설명 전체에 걸쳐 사용되는 바와 같이, 리소그래피 동작들은 193nm 액침 리소그래피(i193), EUV(extreme ultra-violet) 리소그래피 또는 EBDW(electron beam direct write) 리소그래피, 또는 이와 유사한 것을 사용하여 수행된다. 포지티브 톤(positive tone) 또는 네거티브 톤(negative tone) 레지스트가 사용될 수 있다. 일 실시예에서, 리소그래피 마스크는 토포그래피 마스킹 부분(topographic masking portion), 반사 방지 코팅(anti-reflective coating, ARC) 층, 및 포토레지스트 층으로 이루어진 3층 마스크(trilayer mask)이다. 특정의 이러한 실시예에서, 토포그래피 마스킹 부분은 탄소 하드마스크(CHM) 층이고 반사 방지 코팅층은 실리콘 ARC 층이다.
또 다른 양태에서, 본 명세서에 설명된 하나 이상의 실시예는 내부 노드 점퍼를 갖는 메모리 비트 셀들에 관한 것이다. 특정의 실시예들은 진보된 자기 정렬 공정 기술들로 메모리 비트 셀들을 구현하는 레이아웃 효율적인 기술을 포함할 수 있다. 실시예들은 10 나노미터 또는 그보다 작은 기술 노드들에 관한 것일 수 있다. 실시예들은 COAG(contact over active gate) 또는 공격적인 금속 1(M1) 피치 스케일링, 또는 둘 다를 이용함으로써 동일한 풋프린트 내에서 개선된 성능을 갖는 메모리 비트 셀들을 개발하는 능력을 제공할 수 있다. 실시예들은 이전의 기술 노드에 비해 동일하거나 더 작은 풋프린트로 더 높은 성능의 비트 셀들을 가능하게 하는 비트 셀 레이아웃들을 포함하거나 그에 관한 것일 수 있다.
본 개시내용의 실시예에 따르면, 전통적인 게이트-트렌치 콘택트-게이트 콘택트(poly-tcn-poly con) 연결의 사용보다는 내부 노드들을 연결시키기 위해 상위 금속 층(예컨대, 금속 1 또는 M1) 점퍼가 구현된다. 실시예에서, 내부 노드들을 연결시키기 위한 금속 1(metal 1) 점퍼와 조합된 COAG(contact over active gate) 집적 스킴은 더 높은 성능의 비트 셀을 위한 풋프린트를 늘릴 필요를 완화시키거나 완전히 없애준다. 즉, 개선된 트랜지스터 비율(transistor ratio)이 달성될 수 있다. 실시예에서, 이러한 접근법은 공격적 스케일링이, 예컨대, 10 나노미터(10nm) 기술 노드에 대한 개선된 트랜지스터당 비용을 제공하는 것을 가능하게 해준다. 매우 콤팩트한 레이아웃들을 산출하기 위해 10nm 기술에서 SRAM, RF 및 듀얼 포트(Dual Port) 비트 셀들에 내부 노드 M1 점퍼들이 구현될 수 있다.
비교 예로서, 도 65는 메모리 셀에 대한 셀 레이아웃의 제1 뷰를 예시하고 있다.
도 65를 참조하면, 예시적인 14 나노미터(14nm) 레이아웃(6500)은 비트 셀(6502)을 포함한다. 비트 셀(6502)은 게이트 또는 폴리 라인들(6504) 및 금속 1(M1) 라인들(6506)을 포함한다. 도시된 예에서, 폴리 라인들(6504)은 1x 피치를 가지며, M1 라인들(6506)은 1x 피치를 갖는다. 특정의 실시예에서, 폴리 라인들(6504)은 70nm 피치를 가지며, M1 라인들(6506)은 70nm 피치를 갖는다.
도 65와는 대조적으로, 도 66은 본 개시내용의 실시예에 따른, 내부 노드 점퍼를 갖는 메모리 셀에 대한 셀 레이아웃의 제1 뷰를 예시하고 있다.
도 66을 참조하면, 예시적인 10 나노미터(10nm) 레이아웃(6600)이 비트 셀(6602)을 포함한다. 비트 셀(6602)은 게이트 또는 폴리 라인들(6604) 및 금속 1(M1) 라인들(6606)을 포함한다. 도시된 예에서, 폴리 라인들(6604)은 1x 피치를 가지며, M1 라인들(6606)은 0.67x 피치를 갖는다. 그 결과는, 폴리 라인 상에 바로 다음에 M1 라인을 포함하는, 오버랩(overlap)하는 라인(6605)이다. 특정의 실시예에서, 폴리 라인들(6604)은 54nm 피치를 가지며, M1 라인들(6606)은 36nm 피치를 갖는다.
레이아웃(6500)과 비교하여, 레이아웃(6600)에서, M1 피치가 게이트 피치보다 더 작아서, 매 3번째 라인마다 여분의 라인(6605)을 해방(free up)시킨다(예컨대, 매 2개의 폴리 라인마다, 3개의 M1 라인이 있다). "해방된" M1 라인이 본 명세서에서 내부 노드 점퍼라고 지칭된다. 내부 노드 점퍼는 게이트-게이트간(폴리-폴리간) 인터커넥션을 위해 또는 트렌치 콘택트-트렌치 콘택트간 인터커넥션을 위해 사용될 수 있다. 실시예에서, 폴리에의 접촉이 COAG(contact over active gate) 배열을 통해 달성되어, 내부 노드 점퍼의 제조를 가능하게 해준다.
도 66을 보다 전반적으로 참조하면, 실시예에서, 집적 회로 구조체는 기판 상에 메모리 비트 셀(6602)을 포함한다. 메모리 비트 셀(6602)은 기판의 제2 방향(2)을 따라 평행한 제1 및 제2 게이트 라인들(6604)을 포함한다. 제1 및 제2 게이트 라인들(6602)은 기판의 제1 방향(1)을 따라 제1 피치를 가지며, 제1 방향(1)은 제2 방향(2)에 직교한다. 제1, 제2 및 제3 인터커넥트 라인들(6606)은 제1 및 제2 게이트 라인들(6604) 위에 있다. 제1, 제2 및 제3 인터커넥트 라인들(6606)은 기판의 제2 방향(2)을 따라 평행하다. 제1, 제2 및 제3 인터커넥트 라인들(6606)은 제1 방향을 따라 제2 피치를 가지며, 여기서 제2 피치는 제1 피치보다 더 작다. 일 실시예에서, 제1, 제2 및 제3 인터커넥트 라인들(6606) 중 하나는 메모리 비트 셀(6602)에 대한 내부 노드 점퍼이다.
본 개시내용 전반에 걸쳐 적용가능한 바와 같이, 게이트 라인들(6604)이 그레이팅 구조체를 형성하기 위해 트랙들 상에 있는 것으로 언급될 수 있다. 그에 따라, 본 명세서에 설명된 그레이팅 유사 패턴들은 일정한 피치로 이격되고 일정한 폭을 갖는 게이트 라인들 또는 인터커넥트 라인들을 가질 수 있다. 패턴은 피치 이등분 또는 피치 사등분 접근법, 또는 다른 피치 분할 접근법에 의해 제조될 수 있다.
비교 예로서, 도 67은 메모리 셀에 대한 셀 레이아웃(6700)의 제2 뷰를 예시하고 있다.
도 67을 참조하면, N-확산부(6702)(예컨대, 아래에 놓인 기판의 붕소 도핑된 확산 영역들과 같은 P-형 도핑된 활성 영역들) 및 P-확산부(6704)(예컨대, 아래에 놓인 기판의 인 또는 비소, 또는 둘 다 도핑된 확산 영역들과 같은 N-형 도핑된 활성 영역들)를 갖는 14nm 비트 셀(6502)이 도시되어 있으며, M1 라인들은 명확함을 위해 제거되어 있다. 비트 셀(102)의 레이아웃(6700)은 게이트 또는 폴리 라인들(6504), 트렌치 콘택트들(6706), 게이트 콘택트들(6708)(14nm 노드에 대해 특정적임) 및 콘택트 비아들(6710)을 포함한다.
도 67과는 대조적으로, 도 68은 본 개시내용의 실시예에 따른, 내부 노드 점퍼를 갖는 메모리 셀에 대한 셀 레이아웃(6800)의 제2 뷰를 예시하고 있다.
도 68을 참조하면, N-확산부(6802)(예컨대, 아래에 놓인 기판의 붕소 도핑된 확산 영역들과 같은 P-형 도핑된 활성 영역들) 및 P-확산부(6804)(예컨대, 아래에 놓인 기판의 인 또는 비소, 또는 둘 다 도핑된 확산 영역들과 같은 N-형 도핑된 활성 영역들)를 갖는 10nm 비트 셀(6602)이 도시되어 있으며, M1 라인들은 명확함을 위해 제거되어 있다. 비트 셀(202)의 레이아웃(6800)은 게이트 또는 폴리 라인들(6604), 트렌치 콘택트들(6806), 게이트 비아들(6808)(10nm 노드에 대해 특정적임) 및 트렌치 콘택트 비아들(6710)을 포함한다.
레이아웃들(6700 및 6800)을 비교할 때, 본 개시내용의 실시예에 따르면, 14nm 레이아웃에서, 내부 노드들이 게이트 콘택트(GCN)에 의해서만 연결된다. 폴리-GCN간 공간 제약조건(poly to GCN space constraint)들로 인해 동일한 풋프린트에서 향상된 성능의 레이아웃이 생성될 수 없다. 10nm 레이아웃에서, 이 설계는 폴리 콘택트의 필요성을 없애기 위해 콘택트(VCG)를 게이트 상에 랜딩시키는 것을 허용한다. 일 실시예에서, 이 배열은 M1을 사용한 내부 노드의 연결을 가능하게 하여, 14nm 풋프린트 내에서 부가의 활성 영역 밀도(예컨대, 증가된 핀 수)를 하용한다. 10nm 레이아웃에서, COAG 아키텍처를 사용할 시에, 확산 영역들 사이의 간격이 보다 작아질 수 있는데, 그 이유는 확산 영역들 사이의 간격이 트렌치 콘택트-게이트 콘택트간 간격에 의해 제한되지 않기 때문이다. 실시예에서, 도 67의 레이아웃(6700)은 112(1 핀 풀업(pull-up), 1 핀 패스 게이트(pass gate), 2 핀 풀 다운(pull down)) 배열이라고 지칭된다. 대조적으로, 도 68의 레이아웃(6800)은, 특정의 실시예에서, 도 67의 112 레이아웃과 동일한 풋프린트 내에 있는 122(1 핀 풀업, 2 핀 패스 게이트, 2 핀 풀 다운) 배열이라고 지칭된다. 실시예에서, 122 배열은 112 배열과 비교하여 개선된 성능을 제공한다.
비교 예로서, 도 69는 메모리 셀에 대한 셀 레이아웃(6900)의 제3 뷰를 예시하고 있다.
도 69를 참조하면, 금속 0(M0) 라인들(6902)을 갖는 14nm 비트 셀(6502)이 도시되어 있으며, 폴리 라인들은 명확함을 위해 제거되어 있다. 금속 1(M1) 라인들(6506), 콘택트 비아들(6710), 비아 0 구조체들(6904)이 또한 도시되어 있다.
도 69와는 대조적으로, 도 70은 본 개시내용의 실시예에 따른, 내부 노드 점퍼를 갖는 메모리 셀에 대한 셀 레이아웃(7000)의 제3 뷰를 예시하고 있다.
도 70을 참조하면, 금속 0(M0) 라인들(7002)을 갖는 10nm 비트 셀(6602)이 도시되어 있으며, 폴리 라인들은 명확함을 위해 제거되어 있다. 금속 1(M1) 라인들(6606), 게이트 비아들(6808), 트렌치 콘택트 비아들(6810), 및 비아 0 구조체들(7004)이 또한 도시되어 있다. 도 69와 도 70을 비교할 때, 본 개시내용의 실시예에 따르면, 14nm 레이아웃의 경우, 내부 노드들이 게이트 콘택트(GCN)에 의해서만 연결되는 반면, 10nm 레이아웃의 경우, 내부 노드들 중 하나가 M1 점퍼를 사용하여 연결된다.
도 66, 도 68 및 도 70을 전체적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 기판 상에 메모리 비트 셀(6602)을 포함한다. 메모리 비트 셀(6602)은 기판의 제1 방향(1)을 따라 평행한 제1(상단 6802), 제2(상단 6804), 제3(하단 6804) 및 제4(하단 6802) 활성 영역들을 포함한다. 제1(좌측 6604) 및 제2(우측 6604) 게이트 라인들은 제1, 제2, 제3 및 제4 활성 영역들(6802/6804) 위에 있다. 제1 및 제2 게이트 라인들(6604)은 기판의 제2 방향(2)을 따라 평행하고, 제2 방향(2)은 제1 방향(1)에 직교한다. 제1(먼 좌측 6606), 제2(가까운 좌측 6606) 및 제3(가까운 우측 6606) 인터커넥트 라인들이 제1 및 제2 게이트 라인들(6604) 위에 있다. 제1, 제2 및 제3 인터커넥트 라인들(6606)은 기판의 제2 방향(2)을 따라 평행하다.
실시예에서, 제1(먼 좌측 6606) 및 제2(가까운 좌측 6606) 인터커넥트 라인들이 제1, 제2, 제3 및 제4 활성 영역들(6802/6804) 중 하나 이상의 것 위의 제1 및 제2 게이트 라인들(6604)의 위치들에서(예컨대, 소위 "활성 게이트" 위치들에서) 제1 및 제2 게이트 라인들(6604)에 전기적으로 연결된다. 일 실시예에서, 제1(먼 좌측 6606) 인터커넥트 라인 및 제2(가까운 좌측 6606) 인터커넥트 라인들이 제1 및 제2 인터커넥트 라인들(6606)과 제1 및 제2 게이트 라인들(6604) 사이에 수직으로 개재하는 복수의 인터커넥트 라인(7004)에 의해 제1 및 제2 게이트 라인들(6604)에 전기적으로 연결된다. 개재하는 복수의 인터커넥트 라인(7004)은 기판의 제1 방향(1)을 따라 평행하다.
실시예에서, 제3 인터커넥트 라인(가까운 우측 6606)은 메모리 비트 셀(6602)의 한 쌍의 게이트 전극을 서로 전기적으로 결합시키며, 한 쌍의 게이트 전극은 제1 및 제2 게이트 라인들(6604)에 포함된다. 또 다른 실시예에서, 제3 인터커넥트 라인(가까운 우측 6606)은 메모리 비트 셀(6602)의 한 쌍의 트렌치 콘택트를 서로 전기적으로 결합시키며, 한 쌍의 트렌치 콘택트는 복수의 트렌치 콘택트 라인(6806)에 포함된다. 실시예에서, 제3 인터커넥트 라인(가까운 우측 6606)은 내부 노드 점퍼이다.
실시예에서, 제1 활성 영역(상단 6802)은 (예컨대, NMOS 디바이스에 대한 N-확산부를 제공하기 위한) P-형 도핑된 활성 영역이고, 제2 활성 영역(상단 6804)은 (예컨대, PMOS 디바이스에 대한 P-확산부를 제공하기 위한) N-형 도핑된 활성 영역이며, 제3 활성 영역(하단 6804)은 (예컨대, PMOS 디바이스에 대한 P-확산부를 제공하기 위한) N-형 도핑된 활성 영역이고, 제4 활성 영역(하단 6802)은 (예컨대, NMOS 디바이스에 대한 N-확산부를 제공하기 위한) N-형 도핑된 활성 영역이다. 실시예에서, 제1, 제2, 제3 및 제4 활성 영역들(6802/6804)은 실리콘 핀들에 있다. 실시예에서, 메모리 비트 셀(6602)은 단일 실리콘 핀에 기초한 풀 업 트랜지스터, 2개의 실리콘 핀에 기초한 패스 게이트 트랜지스터, 및 2개의 실리콘 핀에 기초한 풀 다운 트랜지스터를 포함한다.
실시예에서, 제1 및 제2 게이트 라인들(6604)은 기판의 제2 방향(2)을 따라 평행한 복수의 트렌치 콘택트 라인(6806)의 개개의 것들과 교번한다. 복수의 트렌치 콘택트 라인(6806)은 메모리 비트 셀(6602)의 트렌치 콘택트들을 포함한다. 제1 및 제2 게이트 라인들(6604)은 메모리 비트 셀(6602)의 게이트 전극을 포함한다.
실시예에서, 제1 및 제2 게이트 라인들(6604)은 제1 방향(1)을 따라 제1 피치를 갖는다. 제1, 제2 및 제3 인터커넥트 라인들(6606)은 제1 방향(2)을 따라 제2 피치를 갖는다. 하나의 이러한 실시예에서, 제2 피치는 제1 피치보다 더 작다. 이러한 특정 실시예에서, 제1 피치는 50 나노미터 내지 60 나노미터 범위에 있고, 제2 피치는 30 나노미터 내지 40 나노미터 범위에 있다. 특정의 이러한 실시예에서, 제1 피치는 54 나노미터이고, 제2 피치는 36 나노미터이다.
본 명세서에 설명된 실시예들은 이전 기술 노드와 비교적 동일한 비트 셀 풋프린트 내에서 증가된 수의 핀을 제공하여, 이전 세대의 것에 비해 더 작은 기술 노드 메모리 비트 셀의 성능을 향상시키도록 구현될 수 있다. 일 예로서, 도 71a 및 도 71b는, 제각기, 본 개시내용의 실시예에 따른, 6 트랜지스터(6T) 정적 랜덤 액세스 메모리(SRAM)에 대한 비트 셀 레이아웃 및 개략도를 예시하고 있다.
도 71a 및 도 71b를 참조하면, 비트 셀 레이아웃(7102)은 방향(2)을 따라 평행한 (폴리 라인들이라고도 지칭될 수 있는) 게이트 라인들(7104)을 그 내에 포함한다. 트렌치 콘택트 라인들(7106)이 게이트 라인들(7104)과 교번한다. 게이트 라인들(7104) 및 트렌치 콘택트 라인들(7106)이 방향(1)을 따라 평행한, NMOS 확산 영역들(7108)(예컨대, 아래에 놓인 기판의 붕소 도핑된 확산 영역들과 같은, P-형 도핑된 활성 영역들) 및 PMOS 확산 영역들(7110)(예컨대, 아래에 놓인 기판의 인 또는 비소, 또는 둘 다 도핑된 확산 영역들과 같은 N-형 도핑된 활성 영역들) 위에 있다. 실시예에서, NMOS 확산 영역들(7108) 둘 다는 각각이 2개의 실리콘 핀을 포함한다. PMOS 확산 영역들(7110) 둘 다는 각각이 하나의 실리콘 핀을 포함한다.
도 71a 및 도 71b를 다시 참조하면, NMOS 패스 게이트 트랜지스터들(7112), NMOS 풀 다운 트랜지스터들(7114), 및 PMOS 풀 업 트랜지스터들(7116)은 게이트 라인들(7104) 및 NMOS 확산 영역들(7108) 및 PMOS 확산 영역들(7110)로부터 형성된다. 워드 라인(WL)(7118), 내부 노드들(7120 및 7126), 비트 라인(BL)(7122), 비트 라인 바(BLB)(7124), SRAM VCC(7128), 및 VSS(7130)가 또한 묘사되어 있다.
실시예에서, 비트 셀 레이아웃(7102)의 제1 및 제2 게이트 라인들(7104)에의 접촉이 제1 및 제2 게이트 라인들(7104)의 활성 게이트 위치들에 대해 이루어진다. 실시예에서, 6T SRAM 비트 셀(7104)은, 앞서 기술된 것과 같은 내부 노드 점퍼를 포함한다.
실시예에서, 본 명세서에 설명된 레이아웃들이, 균일한 핀 트림 마스크(fin trim mask)를 포함한 균일한 플러그 및 마스크 패턴들과 양립가능하다. 레이아웃들이 비-EUV 공정들과 양립가능할 수 있다. 그에 부가하여, 레이아웃들이 미들-핀 트림 마스크(middle-fin trim mask)의 사용만을 필요로 할 수 있다. 본 명세서에 설명된 실시예들은 다른 레이아웃들과 비교하여 면적 면에서 증가된 밀도를 가능하게 해줄 수 있다. 실시예들은 진보된 자기 정렬 공정 기술들에서 레이아웃 효율적인 메모리 구현을 제공하도록 구현될 수 있다. 장점들이 다이 면적 또는 메모리 성능, 또는 둘 다의 면에서 실현될 수 있다. 회로 기술이 이러한 레이아웃 접근법에 의해 고유하게 가능하게 될 수 있다.
본 명세서에 설명된 하나 이상의 실시예는 평행 인터커넥트 라인들(예컨대, 금속 1 라인들) 및 게이트 라인들이 오정렬될 때의 다중 버전 라이브러리 셀 핸들링에 관한 것이다. 실시예들은 10 나노미터 또는 그보다 작은 기술 노드들에 관한 것일 수 있다. 실시예들은 이전의 기술 노드에 비해 동일하거나 더 작은 풋프린트 내에서 보다 높은 성능의 셀들을 가능하게 하는 셀 레이아웃들을 포함하거나 그에 관한 것일 수 있다. 실시예에서, 게이트 라인들 위에 놓인 인터커넥트 라인들이 아래에 놓인 게이트 라인들에 비해 증가된 밀도를 갖도록 제조된다. 이러한 실시예는 핀 히트(pin hit)의 증가, 증가된 라우팅 가능성, 또는 셀 핀들에의 증가된 액세스를 가능하게 해줄 수 있다. 실시예들은 6% 초과의 블록 레벨 밀도를 제공하도록 구현될 수 있다.
맥락을 제공하기 위해, 게이트 라인들과 다음 평행 레벨의 인터커넥트들(전형적으로 금속 1이라고 지칭되며, 금속 0 층은 금속 1과 게이트 라인들 사이에 직교하도록 뻗어 있음)이 블록 레벨에서 정렬될 필요가 있다. 그렇지만, 실시예에서, 금속 1 라인들의 피치가 게이트 라인들의 피치와 상이하게, 예컨대, 그보다 더 작게 되어 있다. 피치의 차이들을 수용하기 위해 각각의 셀에 대한 2개의 표준 셀 버전(예컨대, 2개의 상이한 셀 패턴)이 이용가능하게 된다. 선택된 특정의 버전은 블록 레벨에서 준수되는 규칙 배치(rule placement)를 따른다. 제대로 선택되지 않은 경우, 더티 위치맞춤(dirty registration, DR)이 일어날 수 있다. 본 개시내용의 실시예에 따르면, 아래에 놓인 게이트 라인들에 비해 증가된 피치 밀도를 갖는 상위 금속 층(예컨대, 금속 1 또는 M1)이 구현된다. 실시예에서, 이러한 접근법은 공격적 스케일링이, 예컨대, 10 나노미터(10nm) 기술 노드에 대한 개선된 트랜지스터당 비용을 제공하는 것을 가능하게 해준다.
도 72는 본 개시내용의 실시예에 따른, 동일한 표준 셀에 대한 2개의 상이한 레이아웃의 단면도들을 예시한다.
도 72의 부분 (a)를 참조하면, 게이트 라인들(7204A)의 세트가 기판(7202A) 위에 놓여 있다. 금속 1(M1) 인터커넥트들(7206A)의 세트가 게이트 라인들(7204A)의 세트 위에 놓여 있다. 금속 1(M1) 인터커넥트들(7206A)의 세트는 게이트 라인들(7204A)의 세트보다 더 조밀한 피치를 갖는다. 그렇지만, 최외측 금속 1(M1) 인터커넥트들(7206A)은 최외측 게이트 라인들(7204A)과 외측 정렬(outer alignment)을 갖는다. 지정 목적을 위해, 본 개시내용 전체에 걸쳐 사용되는 바와 같이, 도 72의 부분 (a)의 정렬된 배열은 짝수(E) 정렬을 갖는 것으로 지칭된다.
부분 (a)와는 대조적으로, 도 72의 부분 (b)를 참조하면, 게이트 라인들(7204B)의 세트가 기판(7202B) 위에 놓여 있다. 금속 1(M1) 인터커넥트들(7206B)의 세트가 게이트 라인들(7204B)의 세트 위에 놓여 있다. 금속 1(M1) 인터커넥트들(7206B)의 세트는 게이트 라인들(7204B)의 세트보다 더 조밀한 피치를 갖는다. 최외측 금속 1(M1) 인터커넥트들(7206B)은 최외측 게이트 라인들(7204B)과 외측 정렬을 갖지 않는다. 지정을 위해, 본 개시내용 전체에 걸쳐 사용되는 바와 같이, 도 72의 부분 (b)의 비-정렬된 배열은 홀수(O) 정렬을 갖는 것으로 지칭된다.
도 73은 본 개시내용의 실시예에 따른, 짝수(E) 또는 홀수(O) 지정을 표시하는 4개의 상이한 셀 배열의 평면도들을 예시한다.
도 73의 부분 (a)를 참조하면, 셀(7300A)은 게이트(또는 폴리) 라인들(7302A) 및 금속 1(M1) 라인들(7304A)을 갖는다. 셀(7300A)의 좌측 및 셀(7300A)의 우측이 정렬된 게이트(7302A) 및 M1(7304A) 라인들을 갖기 때문에 셀(7300A)은 EE 셀이라고 지정된다. 이와 대조적으로, 도 73의 부분 (b)를 참조하면, 셀(7300B)은 게이트(또는 폴리) 라인들(7302B) 및 금속 1(M1) 라인들(7304B)을 갖는다. 셀(7300B)의 좌측 및 셀(7300B)의 우측이 비-정렬된 게이트(7302B) 및 M1(7304B) 라인들을 갖기 때문에 셀(7300B)은 OO 셀이라고 지정된다.
도 73의 부분 (c)를 참조하면, 셀(7300C)은 게이트(또는 폴리) 라인들(7302C) 및 금속 1(M1) 라인들(7304C)을 갖는다. 셀(7300C)의 좌측이 정렬된 게이트(7302C) 및 M1(7304C) 라인들을 갖지만, 셀(7300C)의 우측이 비-정렬된 게이트(7302C) 및 M1(7304C) 라인들을 갖기 때문에 셀(7300C)은 EO 셀이라고 지정된다. 이와 대조적으로, 도 73의 부분 (d)를 참조하면, 셀(7300D)은 게이트(또는 폴리) 라인들(7302D) 및 금속 1(M1) 라인들(7304D)을 갖는다. 셀(7300D)의 좌측이 비-정렬된 게이트(7302D) 및 M1(7304D) 라인들을 갖지만, 셀(7300D)의 우측이 정렬된 게이트(7302D) 및 M1(7304D) 라인들을 갖기 때문에 셀(7300D)은 OE 셀이라고 지정된다.
표준 셀 유형들의 선택된 제1 또는 제2 버전들을 배치하기 위한 기초로서, 도 74는 본 개시내용의 실시예에 따른, 블록 레벨 폴리 그리드의 평면도를 예시하고 있다. 도 74를 참조하면, 블록 레벨 폴리 그리드(7400)는 방향(7404)을 따라 평행하게 뻗어 있는 게이트 라인들(7402)을 포함한다. 지정된 셀 레이아웃 경계들(7406 및 7408)이 제2 직교 방향으로 뻗어 있는 것으로 도시되어 있다. 게이트 라인들(7402)은 짝수(E)와 홀수(O) 지정 간에 교번한다.
도 75는 본 개시내용의 실시예에 따른, 상이한 버전들을 갖는 표준 셀들에 기초한 예시적인 용인가능한(합격(pass)) 레이아웃을 예시한다. 도 75를 참조하면, 레이아웃(7500)은 경계들(7406 및 7408) 사이에서 좌에서 우로의 순서로 배치된 바와 같은 유형 7300C/7300D의 3개의 셀을 포함하며: 7300D는 첫 번째 7300C와 접하고 두 번째 7300C로부터 이격되어 있다. 7300C와 7300D 사이의 선택은 대응하는 게이트 라인들(7402) 상의 E 또는 O 지정들의 정렬에 기초한다. 레이아웃(7500)은 또한 경계(7408) 아래에 좌에서 우로의 순서로 배치된 바와 같은 유형 7300A/7300B의 셀들을 포함하며: 첫 번째 7300A는 두 번째 7300A로부터 이격되어 있다. 7300A와 7300B 사이의 선택은 대응하는 게이트 라인들(7402) 상의 E 또는 O 지정들의 정렬에 기초한다. 레이아웃(7500)은 레이아웃(7500)에서 어떤 더티 위치맞춤(DR)도 일어나지 않는다는 의미에서 합격 셀(pass cell)이다. p가 전력을 나타내고, a, b, c 또는 o가 예시적인 핀들이라는 점을 알아야 한다. 배열(7500)에서, 전력 라인들(p)이 경계(7408)에 걸쳐서 서로 일렬로 있다.
도 75를 보다 전반적으로 참조하면, 본 개시내용의 실시예에 따르면, 집적 회로 구조체는 기판의 제1 방향을 따라 평행하고 제1 방향에 직교하는 제2 방향을 따라 피치를 갖는 복수의 게이트 라인(7402)을 포함한다. 셀 유형의 제1 버전(7300C)은 복수의 게이트 라인(7402)의 제1 부분 위에 있다. 셀 유형의 제1 버전(7300C)은 제2 방향을 따라 제2 피치를 갖는 제1 복수의 인터커넥트 라인을 포함하며, 제2 피치는 제1 피치보다 더 작다. 셀 유형의 제2 버전(7300D)은 제2 방향을 따라 셀 유형의 제1 버전(7300C)에 측방향으로 인접해 있는 복수의 게이트 라인(7402)의 제2 부분 위에 있다. 셀 유형의 제2 버전(7300D)은 제2 방향을 따라 제2 피치를 갖는 제2 복수의 인터커넥트 라인을 포함한다. 셀 유형의 제2 버전(7300D)은 셀 유형의 제1 버전(7300C)과 구조적으로 상이하다.
실시예에서, 셀 유형의 제1 버전(7300C)의 제1 복수의 인터커넥트 라인의 개개의 것들이 제1 에지(예컨대, 좌측 에지)에서는 제1 방향을 따라 복수의 게이트 라인(7402)의 개개의 것들과 정렬되지만, 제2 방향을 따라 셀 유형의 제1 버전(7300C)의 제2 에지(예컨대, 우측 에지)에서는 정렬되지 않는다. 하나의 이러한 실시예에서, 셀 유형(7300C)의 제1 버전은 NAND 셀의 제1 버전이다. 셀 유형의 제2 버전(7300D)의 제2 복수의 인터커넥트 라인의 개개의 것들이 제1 에지(예컨대, 좌측 에지)에서는 제1 방향을 따라 복수의 게이트 라인(7402)의 개개의 것들과 정렬되지 않지만, 제2 방향을 따라 셀 유형의 제2 버전(7300D)의 제2 에지(예컨대, 우측 에지)에서는 정렬된다. 하나의 이러한 실시예에서, 셀 유형(7300D)의 제2 버전은 NAND 셀의 제2 버전이다.
또 다른 실시예에서, 제1 및 제2 버전들은 셀 유형들(7300A 및 7300B)로부터 선택된다. 셀 유형의 제1 버전(7300A)의 제1 복수의 인터커넥트 라인의 개개의 것들이 제2 방향을 따라 셀 유형의 제1 버전(7300A)의 양쪽 에지에서 제1 방향을 따라 복수의 게이트 라인(7402)의 개개의 것들과 정렬된다. 일 실시예에서, 셀 유형의 제1 버전(7300A)은 인버터 셀의 제1 버전이다. 셀 유형의 제2 버전(7300B)의 제2 복수의 인터커넥트 라인의 개개의 것들이 제2 방향을 따라 셀 유형의 제2 버전(7300B)의 양쪽 에지에서 제1 방향을 따라 복수의 게이트 라인(7402)의 개개의 것들과 그렇지 않았으면 정렬되지 않을 것임을 알아야 한다. 일 실시예에서, 셀 유형의 제2 버전(7300B)은 인버터 셀의 제2 버전이다.
도 76은 본 개시내용의 실시예에 따른, 상이한 버전들을 갖는 표준 셀들에 기초한 예시적인 용인가능하지 않은(불합격(fail)) 레이아웃을 예시한다. 도 76을 참조하면, 레이아웃(7600)은 경계들(7406 및 7408) 사이에서 좌에서 우로의 순서로 배치된 바와 같은 유형 7300C/7300D의 3개의 셀을 포함하며: 7300D는 첫 번째 7300C와 접하고 두 번째 7300C로부터 이격되어 있다. 도시된 바와 같이, 7300C와 7300D 사이의 적절한 선택은 대응하는 게이트 라인들(7402) 상의 E 또는 O 지정들의 정렬에 기초한다. 그렇지만, 레이아웃(7600)은 또한 경계(7408) 아래에 좌에서 우로의 순서로 배치된 바와 같은 유형 7300A/7300B의 셀들을 포함하며: 첫 번째 7300A는 두 번째 7300A로부터 이격되어 있다. 레이아웃(7600)은, 두 번째 7300A가 좌측으로 한 라인 이동되어 있다는 점에서, 7500과 상이하다. 비록 7300A와 7300B 사이의 선택이 대응하는 게이트 라인들(7402) 상의 E 또는 O 지정들의 정렬에 기초해야 하지만, 그렇지 않고 제2 셀(7300A)이 오정렬되어 있으며, 그의 하나의 결과는 오정렬된 전력(p) 라인들이다. 레이아웃(7600)에서 더티 위치맞춤(DR)이 일어나기 때문에 레이아웃(7600)은 불합격 셀(fail cell)이다.
도 77은 본 개시내용의 실시예에 따른, 상이한 버전들을 갖는 표준 셀들에 기초한 또 다른 예시적인 용인가능한(합격) 레이아웃을 예시한다. 도 77을 참조하면, 레이아웃(7700)은 경계들(7406 및 7408) 사이에서 좌에서 우로의 순서로 배치된 바와 같은 유형 7300C/7300D의 3개의 셀을 포함하며: 7300D는 첫 번째 7300C와 접하고 두 번째 7300C로부터 이격되어 있다. 7300C와 7300D 사이의 선택은 대응하는 게이트 라인들(7402) 상의 E 또는 O 지정들의 정렬에 기초한다. 레이아웃(7700)은 또한 경계(7408) 아래에 좌에서 우로의 순서로 배치된 바와 같은 유형 7300A/7300B의 셀들을 포함하며: 7300A는 7300B로부터 이격되어 있다. 7300B의 위치는 레이아웃(7600)에서의 7300A의 위치와 동일하지만, 선택된 셀(7300B)은 대응하는 게이트 라인들(7402) 상의 O 지정의 적절한 정렬에 기초한다. 레이아웃(7700)은 레이아웃(7700)에서 어떤 더티 위치맞춤(DR)도 일어나지 않는다는 의미에서 합격 셀이다. p가 전력을 나타내고, a, b, c 또는 o가 예시적인 핀들이라는 점을 알아야 한다. 배열(7700)에서, 전력 라인들(p)이 경계(7408)에 걸쳐서 서로 일렬로 있다.
도 76 및 도 77을 전체적으로 참조하면, 집적 회로 구조체에 대한 레이아웃을 제조하는 방법은 제1 방향을 따라 평행한 복수의 게이트 라인(7402)의 교번하는 것들을 제2 방향을 따라 짝수(E) 또는 홀수(O)로서 지정하는 단계를 포함한다. 복수의 게이트 라인(7402) 위의 셀 유형에 대한 위치가 이어서 선택된다. 이 방법은 또한, 그 위치에 의존하여 셀 유형의 제1 버전과 셀 유형의 제2 버전 사이에서 선택하는 단계를 포함하며, 제2 버전은 제1 버전과 구조적으로 상이하고, 여기서 셀 유형의 선택된 버전은 제2 방향을 따라 셀 유형의 에지들에서 인터커넥트들에 대한 짝수(E) 또는 홀수(O) 지정을 가지며, 여기서 셀 유형의 에지들의 지정은 인터커넥트들 아래의 복수의 게이트 라인의 개개의 것들의 지정과 매칭된다.
또 다른 양태에서, 하나 이상의 실시예는 핀 전계 효과 트랜지스터(FET) 아키텍처에 포함된 핀 기반 구조체 상에 금속 저항기들을 제조하는 것에 관한 것이다. 실시예에서, 이러한 정밀 저항기들은, 보다 빠른 데이터 전송 속도를 위해 요구된 고속 IO들로 인해, SoC(system-on-chip) 기술의 기본 컴포넌트로서 주입된다. 이러한 저항기들은 낮은 변동 및 거의 제로의 온도 계수들을 갖는 특성들로 인해 (CSI/SERDES와 같은) 고속 아날로그 회로 및 스케일링된 IO 아키텍처의 실현을 가능하게 할 수 있다. 일 실시예에서, 본 명세서에 설명된 저항기는 튜닝가능한 저항기(tunable resistor)이다.
맥락을 제공하기 위해, 현재 공정 기술에 사용되는 전통적인 저항기들은 전형적으로 두 가지 부류: 일반 저항기(general resistor) 또는 정밀 저항기(precision resistor) 중 하나에 속한다. 트렌치 콘택트 저항기들과 같은 일반 저항기들은 비용 중립적(cost-neutral)이지만 이용되는 제조 방법들에 내재된 변동들 또는 저항기들의 연관된 큰 온도 계수들, 또는 둘 다로 인한 높은 변동 때문에 손해를 입을 수 있다. 정밀 저항기들은 변동 및 온도 계수 이슈들을 완화시킬 수는 있지만, 종종 보다 높은 공정 비용 및 요구되는 제조 동작들의 수의 증가라는 대가를 치른다. 폴리실리콘 정밀 저항기들의 집적이 하이-k/금속 게이트 공정 기술에서는 점점 더 어려운 것으로 밝혀지고 있다.
실시예들에 따르면, 핀 기반 박막 저항기들(TFR들)이 기술된다. 일 실시예에서, 이러한 저항기들은 거의 제로의 온도 계수를 갖는다. 일 실시예에서, 이러한 저항기들은 치수 제어(dimensional control)로 인해 감소된 변동을 나타낸다. 본 개시내용의 하나 이상의 실시예에 따르면, 집적 정밀 저항기가 fin-FET 트랜지스터 아키텍처 내에서 제조된다. 하이-k/금속 게이트 공정 기술에서 사용되는 전통적인 저항기들은 전형적으로 텅스텐 트렌치 콘택트들(TCN), 웰 저항기들, 또는 폴리실리콘 정밀 저항기들인 점을 알아야 한다. 이러한 저항기들은 공정 비용 또는 복잡성을 부가하거나, 사용되는 제조 공정들에서의 변동들로 인한 높은 변동 및 열악한 온도 계수들로부터 손해를 입는다. 이와 대조적으로, 실시예에서, 핀-집적 박막 저항기의 제조는 알려진 접근법들에 대한 비용 중립적이고, 양호한 (0에 가까운) 온도 계수, 및 낮은 변동 대안을 가능하게 한다.
추가 맥락을 제공하기 위해, 최첨단 정밀 저항기들은 2차원(2D) 금속 박막들 또는 고농도로 도핑된 폴리 라인들을 사용하여 제조되었다. 이러한 저항기들은 고정 값들의 템플릿들로 이산화(discretize)되는 경향이 있으며, 따라서 저항 값들의 보다 미세한 그래뉼래리티를 달성하기가 어렵다.
이상의 이슈들 중 하나 이상을 해결하도록, 본 개시내용의 하나 이상의 실시예에 따르면, 실리콘 핀 백본과 같은 핀 백본을 사용한 고밀도 정밀 저항기의 설계가 본 명세서에 설명된다. 일 실시예에서, 이러한 고밀도 정밀 저항기의 장점들은 고밀도가 핀 패킹 밀도(fin packing density)를 사용하여 달성될 수 있다는 것을 포함한다. 그에 부가하여, 일 실시예에서, 이러한 저항기는 활성 트랜지스터들과 동일한 레벨에 집적되어, 콤팩트한 회로의 제조를 가져온다. 실리콘 핀 백본의 사용은 높은 패킹 밀도를 허용할 수 있고 저항기의 저항을 제어하도록 다중 자유도(multiple degrees of freedom)을 제공할 수 있다. 그에 따라, 특정 실시예에서, 핀 패터닝 공정의 유연성이 광범위한 저항 값들을 제공하는 데 이용되어, 튜닝가능한 정밀 저항기 제조를 가져온다.
핀 기반 정밀 저항기에 대한 예시적인 기하형태로서, 도 78은 본 개시내용의 실시예에 따른, 핀 기반 박막 저항기 구조체의 부분 절단 평면도 및 대응하는 단면도를 예시하고 있으며, 여기서 단면도는 부분 절단 평면도의 a-a' 축에 따라 절취된 것이다.
도 78을 참조하면, 집적 회로 구조체(7800)는 기판(7804) 위쪽의 트렌치 격리 영역(7814)을 관통하여 돌출하는 반도체 핀(7802)을 포함한다. 일 실시예에서, 묘사된 바와 같이, 반도체 핀(7802)은 기판(7804)으로부터 돌출하고 기판(7804)과 연속적이다. 반도체 핀은 상단 표면(7805), (핀이 이 뷰에서 커버되어 있기 때문에 부분 절단 평면도에서 파선으로 도시된) 제1 단부(7806), (핀이 이 뷰에서 커버되어 있기 때문에 부분 절단 평면도에서 파선으로 도시된) 제2 단부(7808), 및 제1 단부(7806)와 제2 단부(7808) 사이의 한 쌍의 측벽(7807)을 포함한다. 측벽들(7807)이 실제로는 부분 절단 평면도에서 층(7812)에 의해 커버된다는 점을 알아야 한다.
격리 층(7812)은 반도체 핀(7802)의 상단 표면(7805), 제1 단부(7806), 제2 단부(7808), 및 한 쌍의 측벽(7807)과 등각이다. 금속 저항기 층(7810)은 반도체 핀(7802)의 상단 표면(7805)(금속 저항기 층 부분(7810A)), 제1 단부(7806)(금속 저항기 층 부분(7810B)), 제2 단부(7808)(금속 저항기 층 부분(7810C)), 및 한 쌍의 측벽(7807)(금속 저항기 층 부분들(7810D))과 등각인 격리 층(7814)과 등각이다. 특정의 실시예에서, 묘사된 바와 같이, 금속 저항기 층(7810)은 측벽들(7807)에 인접해 있는 발 형태의 피처(footed feature)(7810E)를 포함한다. 격리 층(7812)은 금속 저항기 층(7810)을 반도체 핀(7802)으로부터 그리고, 따라서, 기판(7804)으로부터 전기적으로 격리시킨다.
실시예에서, 금속 저항기 층(7810)은, 금속 저항기 층 부분(7810)의 저항이 그로부터 제조된 박막 저항기(TFR)의 동작 온도들의 범위에 걸쳐 크게 변하지 않는다는 점에서, 거의 제로의 온도 계수를 제공하기에 적절한 재료로 이루어져 있다. 실시예에서, 금속 저항기 층(7810)은 티타늄 질화물(TiN) 층이다. 또 다른 실시예에서, 금속 저항기 층(7810)은 텅스텐(W) 금속 층이다. 티타늄 질화물(TiN) 또는 텅스텐(W) 대신에 또는 이와 조합하여 다른 금속들이 금속 저항기 층(7810)에 대해 사용될 수 있다는 점을 알아야 한다. 실시예에서, 금속 저항기 층(7810)은 대략 2 내지 5 나노미터 범위의 두께를 갖는다. 실시예에서, 금속 저항기 층(7810)은 대략 100 내지 100, 000 ohms/square 범위의 비저항을 갖는다.
실시예에서, 애노드 전극 및 캐소드 전극은 금속 저항기 층(7810)에 전기적으로 연결되며, 그의 예시적인 실시예들은 도 84와 관련하여 이하에서 보다 상세히 기술된다. 하나의 이러한 실시예에서, 금속 저항기 층(7810), 애노드 전극, 및 캐소드 전극은 정밀 박막 저항기(TFR) 수동 디바이스를 형성한다. 실시예에서, 도 78의 구조체(7800)에 기초한 TFR은 핀(7802) 높이, 핀(7802) 폭, 금속 저항기 층(7810) 두께 및 총 핀(7802) 길이에 기초한 저항의 정밀 제어를 허용한다. 이 자유도들은 회로 설계자가 선택된 저항 값을 달성하는 것을 허용할 수 있다. 그에 부가하여, 저항기 패터닝이 핀 기반이기 때문에, 트랜지스터 밀도의 스케일에서 고밀도가 가능하다.
실시예에서, 최첨단 finFET 처리 동작들이 핀 기반 저항기를 제조하기에 적절한 핀을 제공하기 위해 사용된다. 이러한 접근법의 장점은, 회로들 내의 집적의 용이성을 가능하게 해주는, 그의 고밀도 및 활성 트랜지스터들에의 근접성에 있을 수 있다. 또한, 아래에 놓인 핀의 기하형태의 유연성은 광범위한 저항 값들을 가능하게 한다. 예시적인 처리 스킴에서, 핀이 먼저 백본 리소그래피 및 스페이서화 접근법(backbone lithography and spacerization approach)을 사용하여 패터닝된다. 핀이 이어서 저항기의 높이를 설정하도록 리세싱되는 격리 산화물로 커버된다. 이어서 절연 산화물이, 전도성 막을, 아래에 놓인 실리콘 기판과 같은, 아래에 놓인 기판으로부터 분리시키기 위해 핀 상에 등각으로 퇴적된다. 이어서 금속 또는 고농도로 도핑된 폴리실리콘 막이 핀 상에 퇴적된다. 이어서 막이 정밀 저항기를 생성하도록 스페이서화(spacerize)된다.
예시적인 처리 스킴에서, 도 79 내지 도 83은 본 개시내용의 실시예에 따른, 핀 기반 박막 저항기 구조체를 제조하는 방법에서의 다양한 동작들을 나타내는 평면도들 및 대응하는 단면도들을 예시하고 있다.
도 79를 참조하면, 평면도 및 평면도의 b-b' 축을 따라 절취된 대응하는 단면도가 반도체 기판(7801) 상에 백본 템플릿 구조체(7902)를 형성한 이후의 공정 흐름의 국면을 예시하고 있다. 이어서 측벽 스페이서 층(7904)이 백본 템플릿 구조체(7902)의 측벽 표면들과 등각으로 형성된다. 실시예에서, 백본 템플릿 구조체(7902)를 패터닝한 이후에, 등각 산화물 재료가 퇴적되고 이어서 측벽 스페이서 층(7904)을 제공하도록 이방성으로 에칭(스페이서화)된다.
도 80을 참조하면, 평면도는, 예컨대, 리소그래피 마스킹 및 노광 공정에 의해, 측벽 스페이서 층(7904)의 영역(7906)의 노광 이후의 공정 흐름의 국면을 예시하고 있다. 이어서 영역(7906)에 포함된 측벽 스페이서 층(7904)의 부분들이, 예컨대, 에칭 공정에 의해 제거된다. 제거된 부분들은 궁극적인 핀 정의를 위해 사용될 그런 부분들이다.
도 81을 참조하면, 평면도 및 평면도의 c-c' 축을 따라 절취된 대응하는 단면도는 핀 패터닝 마스크(예컨대, 산화물 핀 패터닝 마스크)를 형성하기 위해 도 80의 영역(7906)에 포함된 측벽 스페이서 층(7904)의 부분들을 제거한 이후의 공정 흐름의 국면을 예시하고 있다. 이어서 백본 템플릿 구조체(7902)가 제거되고 남아 있는 패터닝 마스크가 기판(7801)을 패터닝하기 위한 에칭 마스크로서 사용된다. 기판(7801)의 패터닝 및 핀 패터닝 마스크의 후속 제거 시에, 반도체 핀(7802)은 지금 패터닝된 반도체 기판(7804)으로부터 돌출하여 그와 연속적인 채로 유지된다. 도 78과 관련하여 앞서 기술된 바와 같이, 반도체 핀(7802)은 상단 표면(7805), 제1 단부(7806), 제2 단부(7808), 및 제1 단부와 제2 단부 사이의 한 쌍의 측벽(7807)을 갖는다.
도 82를 참조하면, 평면도 및 평면도의 d-d' 축을 따라 절취된 대응하는 단면도는 트렌치 격리 층(7814)의 형성 이후의 공정 흐름의 국면을 예시하고 있다. 실시예에서, 트렌치 격리 층(7814)은 절연 재료의 퇴적 및 핀 높이를 정의하도록 핀 높이(Hsi)를 정의하기 위한 후속 리세싱에 의해 형성된다.
도 83을 참조하면, 평면도 및 평면도의 e-e' 축을 따라 절취된 대응하는 단면도는 격리 층(7812)의 형성 이후의 공정 흐름의 국면을 예시하고 있다. 실시예에서, 격리 층(7812)이 화학적 기상 퇴적(CVD) 공정에 의해 형성된다. 격리 층(7812)이 반도체 핀(7802)의 상단 표면(7805), 제1 단부(7806), 제2 단부(7808), 및 한 쌍의 측벽(7807)과 등각으로 형성된다. 이어서 금속 저항기 층(7810)이 반도체 핀(7802)의 상단 표면, 제1 단부, 제2 단부, 및 한 쌍의 측벽과 등각인 격리 층(7812)과 등각으로 형성된다.
실시예에서, 금속 저항기 층(7810)이 블랭킷 퇴적 및 후속하는 이방성 에칭 공정을 사용하여 형성된다. 실시예에서, 금속 저항기 층(7810)이 원자 층 퇴적(ALD)을 사용하여 형성된다. 실시예에서, 금속 저항기 층(7810)이 2 내지 5 나노미터 범위의 두께로 형성된다. 실시예에서, 금속 저항기 층(7810)은 티타늄 질화물(TiN) 층 또는 텅스텐(W) 층이거나 이를 포함한다. 실시예에서, 금속 저항기 층(7810)은 100 내지 100, 000ohms/square 범위의 비저항을 갖도록 형성된다.
후속 처리 동작에서, 한 쌍의 애노드 또는 캐소드 전극이 형성될 수 있고 도 83의 구조체의 금속 저항기 층(7810)에 전기적으로 연결될 수 있다. 일 예로서, 도 84는 본 개시내용의 실시예에 따른, 애노드 또는 캐소드 전극 콘택트들에 대한 각종의 예시적인 위치들을 갖는 핀 기반 박막 저항기 구조체의 평면도를 예시하고 있다.
도 84를 참조하면, 제1 애노드 또는 캐소드 전극, 예컨대, 8400, 8402, 8404, 8406, 8408, 8410 중 하나가 금속 저항기 층(7810)에 전기적으로 연결된다. 제2 애노드 또는 캐소드 전극, 예컨대, 8400, 8402, 8404, 8406, 8408, 8410 중 또 다른 하나가 금속 저항기 층(7810)에 전기적으로 연결된다. 실시예에서, 금속 저항기 층(7810), 애노드 전극, 및 캐소드 전극은 정밀 박막 저항기(TFR) 수동 디바이스를 형성한다. 저항이 제1 애노드 또는 캐소드 전극과 제2 애노드 또는 캐소드 전극 사이의 거리에 기초하여 선택될 수 있다는 점에서 정밀 TFR 수동 디바이스가 튜닝가능할 수 있다. 각종의 실제 전극들, 예컨대, 8400, 8402, 8404, 8406, 8408, 8410 및 다른 가능한 것들을 형성하고 이어서 인터커넥션 회로에 기초하여 실제 페어링(actual pairing)을 선택하는 것에 의해 옵션들이 제공될 수 있다. 대안적으로, 단일 애노드 또는 캐소드 페어링이 형성될 수 있으며, 각각에 대한 위치들은 TFR 디바이스의 제조 동안 선택된다. 어느 경우든지, 실시예에서, 애노드 또는 캐소드 전극들 중 하나에 대한 위치는 핀(7802)의 단부에 (예컨대, 위치(8400 또는 8402)에) 있거나, 핀(7802)의 코너에(예컨대, 위치(8404, 8406 또는 8408)에), 또는 코너들 사이의 전이부(transition)의 중심에(예컨대, 위치(8410)에) 있다.
예시적인 실시예에서, 제1 애노드 또는 캐소드 전극은 반도체 핀(7802)의 제1 단부(7806)에 근접하여, 예컨대, 위치(8400)에서, 금속 저항기 층(7810)에 전기적으로 연결된다. 제2 애노드 또는 캐소드 전극은 반도체 핀(7802)의 제2 단부(7808)에 근접하여, 예컨대, 위치(8402)에서, 금속 저항기 층(7810)에 전기적으로 연결된다.
또 다른 예시적인 실시예에서, 제1 애노드 또는 캐소드 전극은 반도체 핀(7802)의 제1 단부(7806)에 근접하여, 예컨대, 위치(8400)에서, 금속 저항기 층(7810)에 전기적으로 연결된다. 제2 애노드 또는 캐소드 전극은 반도체 핀(7802)의 제2 단부(7808)로부터 원위에서, 예컨대, 위치(8410, 8408, 8406 또는 8404)에서, 금속 저항기 층(7810)에 전기적으로 연결된다.
또 다른 예시적인 실시예에서, 제1 애노드 또는 캐소드 전극은 반도체 핀(7802)의 제1 단부(7806)로부터 원위에서, 예컨대, 위치(8404 또는 8406)에서, 금속 저항기 층(7810)에 전기적으로 연결된다. 제2 애노드 또는 캐소드 전극은 반도체 핀(7802)의 제2 단부(7808)로부터 원위에서, 예컨대, 위치(8410 또는 8408)에서, 금속 저항기 층(7810)에 전기적으로 연결된다.
보다 구체적으로는, 본 개시내용의 하나 이상의 실시예에 따르면, 핀 기반 트랜지스터 아키텍처의 토포그래픽 피처(topographical feature)가 임베디드 저항기(embedded resistor)를 제조하기 위한 기초로서 사용된다. 일 실시예에서, 정밀 저항기가 핀 구조체 상에 제조된다. 특정 실시예에서, 이러한 접근법은 정밀 저항기와 같은 수동 컴포넌트의 초고밀도 집적을 가능하게 한다.
각종의 핀 기하형태들이 핀 기반 정밀 저항기를 제조하는 데 적절하다는 점을 알아야 한다. 도 85a 내지 도 85d는 본 개시내용의 실시예에 따른, 핀 기반 정밀 저항기를 제조하기 위한 다양한 핀 기하형태들의 평면도들을 예시한다.
실시예에서, 도 85a 내지 도 85c를 참조하면, 반도체 핀(7802)은 비선형 반도체 핀이다. 일 실시예에서, 반도체 핀(7802)이 기판 위쪽의 트렌치 격리 영역을 관통하여 돌출한다. 금속 저항기 층(7810)은 비선형 반도체 핀(7802)과 등각인 격리 층(도시되지 않음)과 등각이다. 일 실시예에서, 2개 이상의 애노드 또는 캐소드 전극(8400)이 금속 저항기 층(7810)에 전기적으로 연결되고, 예시적인 선택적 위치들은 도 85a 내지 도 85c에서 파선 원들로 도시되어 있다.
비선형 핀 기하형태는, 예를 들어, 단일 코너(예컨대, L자 형상), 2개의 코너(예컨대, U자 형상), 4개의 코너(예컨대, S자 형상), 또는 6개의 코너(예컨대, 도 78의 구조) - 이들로 제한되지 않음 - 와 같은 하나 이상의 코너를 포함한다. 실시예에서, 비선형 핀 기하형태는 개방 구조 기하형태(open structure geometry)이다. 또 다른 실시예에서, 비선형 핀 기하형태는 폐쇄 구조 기하형태(closed structure geometry)이다.
비선형 핀 기하형태에 대한 개방 구조 기하형태의 예시적인 실시예들로서, 도 85a는 L자 형상의 개방 구조 기하형태를 제공하도록 하나의 코너를 갖는 비선형 핀을 예시하고 있다. 도 85b는 U자 형상의 개방 구조 기하형태를 제공하도록 2개의 코너를 갖는 비선형 핀을 예시하고 있다. 개방 구조의 경우에, 비선형 반도체 핀(7802)은 상단 표면, 제1 단부, 제2 단부, 및 제1 단부와 제2 단부 사이의 한 쌍의 측벽을 갖는다. 금속 저항기 층(7810)은 상단 표면, 제1 단부, 제2 단부, 및 제1 단부와 제2 단부 사이의 한 쌍의 측벽과 등각인 격리 층(도시되지 않음)과 등각이다.
특정 실시예에서, 도 85a 및 도 85b를 다시 참조하면, 제1 애노드 또는 캐소드 전극이 개방 구조 비선형 반도체 핀의 제1 단부에 근접하여 금속 저항기 층(7810)에 전기적으로 연결되고, 제2 애노드 또는 캐소드 전극이 개방 구조 비선형 반도체 핀의 제2 단부에 근접하여 금속 저항기 층(7810)에 전기적으로 연결된다. 또 다른 특정 실시예에서, 제1 애노드 또는 캐소드 전극이 개방 구조 비선형 반도체 핀의 제1 단부에 근접하여 금속 저항기 층(7810)에 전기적으로 연결되고, 제2 애노드 또는 캐소드 전극이 개방 구조 비선형 반도체 핀의 제2 단부로부터 원위에서 금속 저항기 층(7810)에 전기적으로 연결된다. 또 다른 특정 실시예에서, 제1 애노드 또는 캐소드 전극이 개방 구조 비선형 반도체 핀의 제1 단부로부터 원위에서 금속 저항기 층(7810)에 전기적으로 연결되고, 제2 애노드 또는 캐소드 전극이 개방 구조 비선형 반도체 핀의 제2 단부로부터 원위에서 금속 저항기 층(7810)에 전기적으로 연결된다.
비선형 핀 기하형태에 대한 폐쇄 구조 기하형태의 예시적인 실시예로서, 도 85c는 정사각형 형상의 또는 직사각형 형상의 폐쇄 구조 기하형태를 제공하도록 4개의 코너를 갖는 비선형 핀을 예시하고 있다. 폐쇄 구조의 경우에, 비선형 반도체 핀(7802)은 상단 표면 및 한 쌍의 측벽 그리고, 특히, 내부 측벽 및 외부 측벽을 갖는다. 그렇지만, 폐쇄 구조는 노출된 제1 및 제2 단부들을 포함하지 않는다. 금속 저항기 층(7810)은 핀(7802)의 상단 표면, 내부 측벽, 및 외부 측벽과 등각인 격리 층(도시되지 않음)과 등각이다.
또 다른 실시예에서, 도 85d를 참조하면, 반도체 핀(7802)은 선형 반도체 핀이다. 일 실시예에서, 반도체 핀(7802)이 기판 위쪽의 트렌치 격리 영역을 관통하여 돌출한다. 금속 저항기 층(7810)은 선형 반도체 핀(7802)과 등각인 격리 층(도시되지 않음)과 등각이다. 일 실시예에서, 2개 이상의 애노드 또는 캐소드 전극(8400)이 금속 저항기 층(7810)에 전기적으로 연결되고, 예시적인 선택적인 위치들은 도 85d에서 파선 원들로 도시되어 있다.
또 다른 양태에서, 본 개시내용의 실시예에 따르면, 리소그래피를 위한 고분해능 위상 시프트 마스크(PSM) 제조를 위한 새로운 구조들이 기술된다. 이러한 PSM 마스크들은 일반(직접) 리소그래피 또는 상보적 리소그래피(complementary lithography)에 대해 사용될 수 있다.
포토리소그래피는 통상적으로 제조 공정에서 포토레지스트의 층에 패턴들을 형성하기 위해 사용된다. 포토리소그래피 공정에서, 에칭될 아래에 놓인 층 위에 포토레지스트 층이 퇴적된다. 전형적으로, 아래에 놓인 층은 반도체 층이지만, 임의 유형의 하드마스크 또는 유전체 재료일 수 있다. 이어서 포토레지스트 층이 포토마스크(photomask) 또는 레티클(reticle)을 통해 방사선에 선택적으로 노광된다. 이어서 포토레지스트가 현상되고, "포지티브" 포토레지스트의 경우에, 방사선에 노광되는 포토레지스트의 그런 부분들이 제거된다.
웨이퍼를 패터닝하기 위해 사용되는 포토마스크 또는 레티클은, "스테퍼(stepper)"또는 "스캐너(scanner)"라고 통상적으로 알려져 있는, 포토리소그래피 노광 도구 내에 배치된다. 스테퍼 또는 스캐너 머신에서, 포토마스크 또는 레티클은 방사선 소스와 웨이퍼 사이에 배치된다. 포토마스크 또는 레티클은 전형적으로 석영 기판(quartz substrate) 상에 배치된 패터닝된 크롬(흡수체 층)으로 형성된다. 방사선이 크롬이 없는 위치들에서는 포토마스크 또는 레티클의 석영 섹션들을 통해 실질적으로 감쇠되지 않고 통과한다. 이와 대조적으로, 방사선이 마스크의 크롬 부분들은 통과하지 않는다. 마스크에 입사하는 방사선이 석영 섹션들을 완전히 통과하거나 크롬 섹션들에 의해 완전히 차단되기 때문에, 이 유형의 마스크는 바이너리 마스크(binary mask)라고 지칭된다. 방사선이 마스크를 선택적으로 통과한 후에, 마스크의 이미지를 일련의 렌즈들을 통해 포토레지스트에 투사함으로써 마스크 상의 패턴이 포토레지스트에 전사된다.
포토마스크 또는 레티클 상의 피처들이 서로 점점 더 가까워짐에 따라, 마스크 상의 피처들의 크기가 광원의 파장에 필적할 때 회절 효과들이 효력을 나타내기 시작한다. 회절은 포토레지스트 상에 투사된 이미지를 블러링시켜, 열악한 분해능을 초래한다.
회절 패턴들이 포토레지스트의 원하는 패터닝을 방해하는 것을 방지하기 위한 하나의 접근법은 포토마스크 또는 레티클에서의 선택된 개구들을 시프터(shifter)라고 알려진 투명 층으로 커버하는 것이다. 시프터는 노광 광선(exposing ray)들의 세트들 중 하나의 세트를 또 다른 인접한 세트와 위상이 어긋나게 시프트시키며, 이는 회절로 인한 간섭 패턴을 무효화시킨다. 이 접근법은 위상 시프트 마스크(PSM) 접근법이라고 지칭된다. 그럼에도 불구하고, 마스크 생산에서 결함들을 감소시키고 처리량을 증가시키는 대안의 마스크 제조 스킴들이 리소그래피 공정 개발의 중요한 중점 분야들이다.
본 개시내용의 하나 이상의 실시예는 리소그래피 마스크들을 제조하는 방법들 및 결과적인 리소그래피 마스크들에 관한 것이다. 맥락을 제공하기 위해, 반도체 업계에 의해 제시된 공격적인 디바이스 스케일링 목표들을 충족시키기 위한 요구사항은 리소그래피 마스크들이 보다 작은 피처들을 높은 충실도로 패터닝할 수 있는 것에 의존한다. 그렇지만, 점점 더 작은 피처들을 패터닝하기 위한 접근법들은 마스크 제조에 대한 엄청난 과제들을 제기한다. 이와 관련하여, 오늘날 널리 사용되는 리소그래피 마스크들은 피처들을 패터닝하기 위해 위상 시프트 마스크(PSM) 기술의 개념에 의존한다. 그렇지만, 점점 더 작은 패턴들을 생성하면서 결함들을 감소시키는 것은 마스크 제조에서의 가장 큰 장애물들 중 하나로 남는다. 위상 시프트 마스크의 사용은 몇 가지 단점이 있을 수 있다. 첫째, 위상 시프트 마스크의 설계는 상당한 자원들을 필요로 하는 비교적 복잡한 절차이다. 둘째, 위상 시프트 마스크의 성질로 인해, 위상 시프트 마스크에 결함들이 존재하는지 여부를 체크하는 것이 어렵다. 위상 시프트 마스크들에서의 이러한 결함들은 마스크 자체를 생산하는 데 이용되는 현재의 집적 스킴들로부터 비롯된다. 일부 위상 시프트 마스크들은 두꺼운 광 흡수 재료들을 패터닝하고 이어서 그 패턴을 위상 시프팅을 돕는 2차 층(secondary layer)에 전사하는 번거롭고 얼마간 결함이 발생하기 쉬운 접근법을 채택한다. 설상가상으로, 흡수체 층은 플라스마 에칭을 두 번 거치며, 결과적으로 로딩 효과(loading effect), 반응성 이온 에칭 래그(reactive ion etch lag), 충전(charging) 및 재현가능 효과(reproducible effect)들과 같은 플라스마 에칭의 원하지 않는 효과들이 마스크 생산에서의 결함들을 초래한다.
디바이스 스케일링을 가능하게 해주도록 무결함 리소그래피 마스크들을 제조하기 위한 재료들 및 신규의 집적 기술들에서의 혁신이 높은 우선순위로 남아 있다. 그에 따라, 위상 시프트 마스크 기술의 모든 이점들을 이용하기 위해, (i) 시프터 층을 고충실도로 패터닝하는 것 및 (ii) 흡수체를 최종 제조 국면들 동안 한 번만 패터닝하는 것을 이용하는 신규의 집적 스킴이 필요할 수 있다. 그에 부가하여, 이러한 제조 스킴은 또한 재료 선택의 유연성, 제조 동안의 감소된 기판 손상, 및 마스크 제조에서의 증가된 처리량과 같은 다른 장점들을 제공할 수 있다.
도 86은 본 개시내용의 실시예에 따른, 리소그래피 마스크 구조체(8601)의 단면도를 예시하고 있다. 리소그래피 마스크(8601)는 다이 내 영역(in-die region)(8610), 프레임 영역(8620) 및 다이-프레임 계면(die-frame interface) 영역(8630)을 포함한다. 다이-프레임 계면 영역(8630)은 다이 내 영역(8610) 및 프레임 영역(8620)의 인접한 부분들을 포함한다. 다이 내 영역(8610)은 기판(8600) 상에 바로 다음에 배치된 패터닝된 시프터 층(8606)을 포함하며, 여기서 패터닝된 시프터 층은 측벽들을 갖는 피처들을 갖는다. 프레임 영역(8620)은 다이 내 영역(8610)을 둘러싸고 기판(8600) 상에 바로 다음에 배치되는 패터닝된 흡수체 층(8602)을 포함한다.
기판(8600) 상에 배치된 다이-프레임 계면 영역(8630)은 이중 층 스택(dual layer stack)(8640)을 포함한다. 이중 층 스택(8640)은 하부 패터닝된 시프터 층(8606) 상에 배치된 상부 층(8604)을 포함한다. 이중 층 스택(8640)의 상부 층(8604)은 프레임 영역(8620)의 패터닝된 흡수체 층(8602)과 동일한 재료로 이루어져 있다.
실시예에서, 패터닝된 시프터 층(8606)의 피처들의 최상부 표면(8608)은 다이-프레임 계면 영역의 피처들의 최상부 표면(8612)과 상이하고 또한 프레임 영역에서의 피처들의 최상부 표면(8614)과 상이한 높이를 갖는다. 게다가, 실시예에서, 다이-프레임 계면 영역의 피처들의 최상부 표면(8612)의 높이는 프레임 영역의 피처들의 최상부 표면(8614)의 높이와 상이하다. 위상 시프터 층(8606)의 전형적인 두께는 40 내지 100nm 범위에 있는 반면, 흡수체 층의 전형적인 두께는 30 내지 100nm 범위에 있다. 실시예에서, 프레임 영역(8620)에서의 흡수체 층(8602)의 두께는 50nm이고, 다이-프레임 계면 영역(8630)에서 시프터 층(8606) 상에 배치된 흡수체 층(8604)의 결합 두께(combined thickness)는 120nm이며, 프레임 영역에서의 흡수체의 두께는 70nm이다. 실시예에서, 기판(8600)은 석영이고, 패터닝된 시프터 층은 몰리브덴-실리사이드, 몰리브덴-실리콘 산질화물, 몰리브덴-실리콘 질화물, 실리콘 산질화물, 또는 실리콘 질화물 - 이들로 제한되지 않음 - 과 같은 재료를 포함하고, 흡수체 재료는 크롬이다.
본 명세서에 개시된 실시예들은 매우 다양한 상이한 유형들의 집적 회로들 또는 마이크로전자 디바이스들을 제조하기 위해 사용될 수 있다. 이러한 집적 회로들의 예들은 프로세서, 칩셋 컴포넌트, 그래픽 프로세서, 디지털 신호 프로세서, 마이크로컨트롤러 등을 포함하지만, 이에 제한되지는 않는다. 다른 실시예들에서, 반도체 메모리가 제조될 수 있다. 또한, 집적 회로들 또는 다른 마이크로전자 디바이스들은 본 기술분야에 알려진 매우 다양한 전자 디바이스들에서 이용될 수 있다. 예를 들어, 컴퓨터 시스템(예를 들어, 데스크톱, 랩톱, 서버), 셀룰러폰, 개인용 전자 장치(personal electronics) 등에서. 집적 회로들은 시스템들에서의 버스 및 다른 컴포넌트들과 결합될 수 있다. 예를 들어, 프로세서는 하나 이상의 버스에 의해 메모리, 칩셋 등에 결합될 수 있다. 프로세서, 메모리, 및 칩셋 각각은 본 명세서에 개시된 접근법들을 이용하여 잠재적으로 제조될 수 있다.
도 87은 본 개시내용의 일 구현에 따른 컴퓨팅 디바이스(8700)를 예시하고 있다. 컴퓨팅 디바이스(8700)는 보드(8702)를 하우징한다. 보드(8702)는 프로세서(7904) 및 적어도 하나의 통신 칩(8706)을 포함하지만 이에 제한되지는 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(8704)는 보드(8702)에 물리적으로 그리고 전기적으로 결합된다. 일부 구현들에서, 적어도 하나의 통신 칩(8706)이 또한 보드(8702)에 물리적으로 그리고 전기적으로 결합된다. 추가 구현들에서, 통신 칩(8706)은 프로세서(8704)의 일부이다.
응용에 의존하여, 컴퓨팅 디바이스(8700)는 보드(8702)에 물리적으로 그리고 전기적으로 결합될 수 있거나 또는 결합되지 않을 수 있는 다른 컴포넌트들을 포함할 수 있다. 이러한 다른 컴포넌트들은 휘발성 메모리(예컨대, DRAM), 비휘발성 메모리(예컨대, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서(crypto processor), 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS(global positioning system) 디바이스, 컴퍼스, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 디바이스[예컨대, 하드 디스크 드라이브, CD(compact disk), DVD(digital versatile disk) 등]를 포함하지만, 이에 한정되지는 않는다.
통신 칩(8706)은 컴퓨팅 디바이스(8700)로의/로부터의 데이터의 전송을 위한 무선 통신을 가능하게 한다. "무선"이라는 용어 및 그 파생어는, 비고체 매체를 통한 변조된 전자기 복사(modulated electromagnetic radiation)의 이용을 통하여 데이터를 통신할 수 있는 회로들, 디바이스들, 시스템들, 방법들, 기술들, 통신 채널들 등을 설명하는데 이용될 수 있다. 그 용어는 관련 디바이스들이 임의의 배선을 포함하지 않음을 내포하지 않지만, 일부 실시예들에서는 그렇지 않을 수도 있다. 통신 칩(8706)은 Wi-Fi[IEEE802.11 군(family)], WiMAX(IEEE802.16 군), IEEE802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 그들의 파생물들(derivatives)과, 3G, 4G, 5G 및 그 이상으로 지정되는 임의의 다른 무선 프로토콜들을 포함하지만 그에 한정되지는 않는 다수의 무선 표준 또는 프로토콜 중 임의의 것을 구현할 수 있다. 컴퓨팅 디바이스(8700)는 복수의 통신 칩(8706)을 포함할 수 있다. 예를 들어, 제1 통신 칩(8706)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신(shorter range wireless communications)에 전용될 수 있고 제2 통신 칩(8706)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, 및 다른 것들과 같은 장거리 무선 통신(longer range wireless communications)에 전용될 수 있다.
컴퓨팅 디바이스(8700)의 프로세서(8704)는 프로세서(8704) 내에 패키징된 집적 회로 다이를 포함한다. 본 개시내용의 실시예들의 일부 구현들에서, 프로세서의 집적 회로 다이는, 본 개시내용의 구현들에 따라 제작된 집적 회로 구조체들과 같은, 하나 이상의 구조체를 포함한다. "프로세서"라는 용어는 레지스터들 또는 메모리로부터의 전자 데이터를 처리하여, 그 전자 데이터 또는 둘 다를 레지스터들 또는 메모리, 또는 둘 다에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 디바이스 또는 디바이스의 부분을 지칭할 수 있다.
통신 칩(8706)은 또한 통신 칩(8706) 내에 패키징된 집적 회로 다이를 포함한다. 본 개시내용의 또 다른 구현에 따르면, 통신 칩의 집적 회로 다이는 본 개시내용의 구현들에 따라 제작된다.
추가의 구현들에서, 컴퓨팅 디바이스(8700) 내에 하우징된 또 다른 컴포넌트는 본 개시내용의 실시예들의 구현들에 따라 제작된 집적 회로 다이를 포함할 수 있다.
다양한 실시예들에서, 컴퓨팅 디바이스(8700)는 랩톱, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라모바일 PC, 휴대폰, 데스크톱 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 음악 플레이어, 또는 디지털 비디오 레코더일 수 있다. 추가 구현들에서, 컴퓨팅 디바이스(8700)는 데이터를 처리하는 임의의 다른 전자 디바이스일 수 있다.
도 88은 본 개시내용의 하나 이상의 실시예를 포함하는 인터포저(8800)를 예시하고 있다. 인터포저(8800)는 제1 기판(8802)을 제2 기판(8804)에 브리징(bridge)하기 위해 사용되는 개재 기판(intervening substrate)이다. 제1 기판(8802)은 예를 들어, 집적 회로 다이(integrated circuit die)일 수 있다. 제2 기판(8804)은 예를 들어, 메모리 모듈, 컴퓨터 머더보드, 또는 또 다른 집적 회로 다이일 수 있다. 일반적으로, 인터포저(8800)의 목적은 더 넓은 피치로의 연결의 확장 또는 상이한 연결로의 연결의 재라우팅이다. 예를 들어, 인터포저(8800)는 제2 기판(8804)에 차후에 결합될 수 있는 BGA(ball grid array)(8806)에 집적 회로 다이를 결합시킬 수 있다. 일부 실시예들에서, 제1 및 제2 기판들(8802/8804)은 인터포저(8800)의 대향 측면들(opposing sides)에 부착된다. 다른 실시예들에서, 제1 및 제2 기판들(8802/8804)은 인터포저(8800)의 동일한 측면에 부착된다. 추가 실시예에서, 3개 이상의 기판이 인터포저(8800)에 의해 인터커넥트된다.
인터포저(8800)는 에폭시 수지, 유리섬유-강화(fiberglass-reinforced) 에폭시 수지, 세라믹 재료, 또는 폴리이미드(polyimide)와 같은 폴리머 재료로 형성될 수 있다. 추가적 구현들에서, 인터포저는 실리콘, 게르마늄, 및 다른 III-V족 및 IV족 재료와 같은, 반도체 기판에 사용하기 위한 상술한 동일 재료들을 포함할 수 있는 대안적인 단단하거나 탄력적인 재료로 형성될 수 있다.
인터포저는 금속 인터커넥트들(8808) 및 TSV들(through-silicon vias)(8812)을 포함하지만 이에 제한되지는 않는 비아들(8810)을 포함할 수 있다. 인터포저(8800)는 수동 및 능동 디바이스들 둘 다를 포함하는 임베디드 디바이스들(8814)을 추가로 포함할 수 있다. 그러한 디바이스들은 커패시터, 디커플링 커패시터, 저항기, 인덕터, 퓨즈, 다이오드, 변압기, 센서, 및 ESD(electrostatic discharge) 디바이스를 포함하지만, 이들에 한정되지는 않는다. 무선 주파수(RF) 디바이스, 전력 증폭기, 전력 관리 디바이스, 안테나, 어레이, 센서, 및 MEMS 디바이스와 같은 더 복잡한 디바이스가 인터포저(8000) 상에 또한 형성될 수 있다. 본 개시내용의 실시예들에 따르면, 본 명세서에 개시된 장치들 또는 공정들은 인터포저(8800)의 제조에서 또는 인터포저(8800)에 포함된 컴포넌트들의 제조에서 사용될 수 있다.
도 89는 본 개시내용의 실시예에 따른, 본 명세서에 설명된 하나 이상의 공정에 따라 제조된 또는 본 명세서에 설명된 하나 이상의 피처를 포함하는 집적 회로(IC)를 이용하는 모바일 컴퓨팅 플랫폼(8900)의 아이소메트릭 뷰(isometric view)이다.
모바일 컴퓨팅 플랫폼(8900)은 전자 데이터 디스플레이, 전자 데이터 처리, 및 무선 전자 데이터 전송 각각을 위해 구성된 임의의 휴대용 디바이스일 수 있다. 예를 들어, 모바일 컴퓨팅 플랫폼(8900)은, 태블릿, 스마트폰, 랩톱 컴퓨터 등 중 임의의 것일 수 있고, 예시적인 실시예에서 터치스크린(용량성, 유도성, 저항성 등)인 디스플레이 스크린(8905), 칩 레벨(SoC) 또는 패키지 레벨 집적 시스템(8910), 및 배터리(8913)를 포함한다. 예시된 바와 같이, 보다 높은 트랜지스터 패킹 밀도에 의해 가능하게 되는 시스템(8910)에서의 집적 레벨이 클수록, 배터리(8913) 또는, 솔리드 스테이트 드라이브와 같은 비휘발성 스토리지에 의해 점유될 수 있는 모바일 컴퓨팅 플랫폼(8900)의 부분이 커지거나, 개선된 플랫폼 기능을 위한 트랜지스터 게이트 수가 많아진다. 이와 유사하게, 시스템(8910)에서의 각각의 트랜지스터의 캐리어 이동도가 클수록, 기능이 더 좋다. 이에 따라, 본 명세서에 기재된 기술들은 모바일 컴퓨팅 플랫폼(8900)에서의 성능 및 폼 팩터 개선들을 가능하게 해줄 수 있다.
집적 시스템(8910)이 확대도(8920)에 추가로 예시되어 있다. 예시적인 실시예에서, 패키징된 디바이스(8977)는 본 명세서에 설명된 하나 이상의 공정에 따라 제조된 또는 본 명세서에 설명된 하나 이상의 피처를 포함하는 적어도 하나의 메모리 칩(예컨대, RAM) 또는 적어도 하나의 프로세서 칩(예컨대, 멀티코어 마이크로프로세서 및/또는 그래픽 프로세서)을 포함한다. 패키징된 디바이스(8977)는, 전력 관리 집적 회로(power management integrated circuit, PMIC)(8915), 광대역 RF(무선) 송신기 및/또는 수신기를 포함하는 RF(무선) 집적 회로(RFIC)(8925)(예컨대, 디지털 베이스밴드를 포함하고, 아날로그 프론트 엔드 모듈은 송신 경로 상의 전력 증폭기 및 수신 경로 상의 저잡음 증폭기를 추가로 포함함), 및 이들의 제어기(8911) 중 하나 이상과 함께 보드(8960)에 추가로 결합될 수 있다. 기능적으로, PMIC(8915)는 배터리 전력 조절, DC-DC 변환 등을 수행하고, 따라서 배터리(8913)에 결합된 입력 및 다른 기능 모듈들 전부에의 전류 공급을 제공하는 출력을 갖는다. 추가로 예시되는 대로, 예시적 실시예에서, RFIC(8925)는, 이에 제한되지는 않지만, Wi-Fi(IEEE802.11 군), WiMAX(IEEE802.16 군), IEEE802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 그의 파생물 뿐만 아니라 3G, 4G, 5G 및 그 이상으로 지정된 임의의 다른 무선 프로토콜을 포함하는, 다수의 무선 표준 또는 프로토콜 중 임의의 것을 구현하기 위해 제공된 안테나에 결합된 출력을 갖는다. 대안의 구현들에서, 이 보드-레벨 모듈들 각각은 패키징된 디바이스(8977)의 패키지 기판에 결합된 개별적인 IC들 상에 또는 패키징된 디바이스(8977)의 패키지 기판에 결합된 단일 IC(SoC) 내에 집적될 수 있다.
또 다른 양태에서, 집적 회로(IC) 칩 또는 다이를 보호하기 위해 그리고 또한 외부 회로에의 전기 인터페이스를 다이에 제공하기 위해 반도체 패키지들이 사용된다. 더 작은 전자 디바이스들에 대한 수요 증가에 따라, 반도체 패키지들은 훨씬 더 콤팩트하게 설계되고 더 큰 회로 밀도를 지원해야만 한다. 게다가, 더 높은 성능의 디바이스에 대한 요구는 후속의 어셈블리 처리와 양립가능한 얇은 패키징 프로파일 및 낮은 전체 뒤틀림(warpage)을 가능하게 하는 개선된 반도체 패키지에 대한 필요성을 초래한다.
실시예에서, 세라믹 또는 유기 패키지 기판에의 와이어 본딩이 사용된다. 또 다른 실시예에서, C4 공정이 다이를 세라믹 또는 유기 패키지 기판에 마운팅하기 위해 사용된다. 특히, C4 솔더 볼 연결부들은 반도체 디바이스들과 기판들 사이에 플립 칩 인터커넥션들을 제공하도록 구현될 수 있다. 플립 칩 또는 C4(Controlled Collapse Chip Connection)는 와이어 본드들 대신에 솔더 범프들을 이용하는, 집적 회로(IC) 칩들, MEMS 또는 컴포넌트들과 같은 반도체 디바이스들에 대해 사용되는 일종의 마운팅(mounting)이다. 솔더 범프들은 기판 패키지의 상단 측에 위치된 C4 패드들 상에 퇴적된다. 반도체 디바이스를 기판에 마운팅하기 위해, 반도체 디바이스가 활성 측면이 마운팅 영역과 아래로 마주하게 뒤집힌다. 솔더 범프들은 반도체 디바이스를 기판에 직접 연결하기 위해 사용된다.
도 90은 본 개시내용의 실시예에 따른, 플립 칩 마운팅 방식 다이(flip-chip mounted die)의 단면도를 예시한다.
도 90을 참조하면, 장치(9000)는 본 개시내용의 실시예에 따른, 본 명세서에 설명된 하나 이상의 공정에 따라 제조된 또는 본 명세서에 설명된 하나 이상의 피처를 포함하는 집적 회로(IC)와 같은 다이(9002)를 포함한다. 다이(9002)는 그 상에 금속화된 패드들(9004)을 포함한다. 세라믹 또는 유기 기판과 같은 패키지 기판(9006)은 그 상에 연결부들(9008)을 포함한다. 다이(9002)와 패키지 기판(9006)이 금속화된 패드들(9004) 및 연결부들(9008)에 결합된 솔더 볼들(9010)에 의해 전기적으로 연결된다. 언더필 재료(underfill material)(9012)가 솔더 볼들(9010)을 둘러싼다.
플립 칩을 처리하는 것은 종래의 IC 제조와 유사할 수 있으며, 몇 개의 부가 동작을 갖는다. 제조 공정의 거의 끝에서, 부착 패드들이 금속화되어 이들이 솔더를 더 많이 수용하도록 한다. 이것은 전형적으로 몇 가지 처리로 구성된다. 작은 솔더 도트(dot of solder)가 이어서 각각의 금속화된 패드 상에 퇴적된다. 칩들이 이어서 평상시와 같이 웨이퍼로부터 커팅 아웃된다. 플립 칩을 회로에 부착하기 위하여, 칩이 뒤집혀 솔더 도트들이 아래에 놓인 전자 회로 또는 회로 기판 상의 커넥터들 상에 놓인다. 이어서, 전형적으로 초음파 또는 대안적으로 리플로우(reflow) 솔더 공정을 이용하여 솔더가 재용융되어 전기 연결부를 생성한다. 이것은 또한 칩 회로와 아래에 놓인 마운팅 사이에 작은 공간을 남긴다. 대부분의 경우, 이어서, 전기 절연 접착제가 "언더필(underfill)"되어 더 강한 기계적 연결부를 제공하고, 히트 브리지를 제공하고, 칩 및 시스템의 나머지의 차별적인 가열로 인해 솔더 조인트가 스트레스 받지 않도록 보장한다.
다른 실시예들에서, TSV(through silicon via) 및 실리콘 인터포저와 같은 보다 새로운 패키징 및 다이-다이간(die-to-die) 인터커넥트 접근법들이, 본 개시내용의 실시예에 따른, 본 명세서에 설명된 하나 이상의 공정에 따라 제조된 또는 본 명세서에 설명된 하나 이상의 피처를 포함하는 집적 회로(IC)를 통합하는 고성능 멀티-칩 모듈(Multi-Chip Module, MCM) 및 시스템 인 패키지(System in Package, SiP)를 제조하도록 구현된다.
따라서, 본 개시내용의 실시예들은 진보된 집적 회로 구조체 제조를 포함한다.
특정 실시예들이 위에서 설명되었지만, 이들 실시예들은 본 개시내용의 범위를 한정하도록 의도되지 않으며, 단일 실시예만이 특정 특징과 관련하여 설명되는 경우에도 그러하다. 본 개시내용에 제공된 특징들의 예들은 별도 언급이 없는 한 제한이라기보다는 예시인 것으로 의도된다. 이상의 설명은, 본 개시내용의 이점을 갖는 본 기술분야의 통상의 기술자에게 명백할 것인 바와 같이, 이러한 대안들, 수정들, 및 등가물들을 커버하는 것으로 의도되어 있다.
본 개시내용의 범위는, 그것이 본 명세서에서 다뤄진 문제들 중 임의의 것 또는 전부를 완화하든 그렇지 않든지 간에, 본 명세서에 (명시적으로 또는 함축적으로) 개시된 임의의 특징 또는 특징들의 결합, 또는 그의 임의의 일반화를 포함한다. 그에 따라, 본 출원(또는 그에 대한 우선권을 주장하는 출원)의 심사 동안 임의의 이러한 특징들의 조합에 대한 새로운 청구항들이 작성될 수 있다. 특히, 첨부된 청구항들을 참조하면, 종속 청구항들로부터의 특징들이 독립 청구항들의 특징들과 조합될 수 있고, 제각기 독립 청구항들로부터의 특징들이 단순히 첨부된 청구항들에 열거된 특정 조합들만이 아니라 임의의 적절한 방식으로 조합될 수 있다.
하기 예는 추가 실시예들과 관련된다. 상이한 실시예의 다양한 특징은 다양한 상이한 응용에 적합하도록 포함된 일부 특징 및 배제된 다른 특징과 다양하게 결합될 수 있다.
예시적인 실시예 1: 집적 회로 구조체는 제1 방향을 따라 가장 긴 치수를 갖는 제1 복수의 반도체 핀을 포함하고, 여기서 제1 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제1 방향에 직교하는 제2 방향으로 제1 양만큼 서로 이격되어 있다. 집적 회로 구조체는 제1 방향을 따라 가장 긴 치수를 갖는 제2 복수의 반도체 핀을 추가로 포함하고, 여기서 제2 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제2 방향으로 제1 양만큼 서로 이격되고, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 가장 가까운 반도체 핀들은 제2 방향으로 제2 양만큼 서로 이격되고, 제2 양은 제1 양보다 크지만 제1 양의 2배 미만이다.
예시적 실시예 2: 예시적 실시예 1의 집적 회로 구조체로서, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀은 실리콘을 포함한다.
예시적 실시예 3: 예시적 실시예 1 또는 2의 집적 회로 구조체로서, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀은 아래에 놓인 단결정질 실리콘 기판과 연속적이다.
예시적 실시예 4: 예시적 실시예 1, 2 또는 3의 집적 회로 구조체로서, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 개개의 것들은 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 개개의 것들의 상단으로부터 하단으로 제2 방향을 따라 외측으로 테이퍼링되는 측벽들을 갖는다.
예시적 실시예 5: 예시적 실시예 1, 2, 3 또는 4의 집적 회로 구조체로서, 제1 복수의 반도체 핀이 정확히 5개의 반도체 핀을 갖고, 제2 복수의 반도체 핀도 정확히 5개의 반도체 핀을 갖는다.
예시적 실시예 6: 집적 회로 구조체를 제조하는 방법이 제1의 1차 백본 구조체 및 제2의 1차 백본 구조체를 형성하는 단계를 포함한다. 방법은 또한 제1의 1차 백본 구조체 및 제2의 1차 백본 구조체의 측벽들에 인접하는 1차 스페이서 구조체들을 형성하는 단계를 포함하고, 여기서 제1의 1차 백본 구조체와 제2의 1차 백본 구조체 사이의 1차 스페이서 구조체들은 병합된다. 방법은 또한 제1의 1차 백본 구조체 및 제2의 1차 백본 구조체를 제거하고 제1, 제2, 제3 및 제4의 2차 백본 구조체들을 제공하는 단계를 포함하고, 제2 및 제3의 2차 백본 구조체들은 병합된다. 방법은 또한 제1, 제2, 제3 및 제4의 2차 백본 구조체들의 측벽들에 인접하는 2차 스페이서 구조체들을 형성하는 단계를 포함한다. 방법은 또한 제1, 제2, 제3 및 제4의 2차 백본 구조체들을 제거하는 단계를 포함한다. 방법은 또한 반도체 재료에 반도체 핀들을 형성하기 위해 2차 스페이서 구조체들로 반도체 재료를 패터닝하는 단계를 포함한다.
예시적 실시예 7: 예시적 실시예 6의 방법으로서, 제1의 1차 백본 구조체 및 제2의 1차 백본 구조체는 제1의 1차 백본 구조체와 제2의 1차 백본 구조체 사이의 서브 설계 규칙 간격으로 패터닝된다.
예시적 실시예 8: 예시적 실시예 6 또는 7의 방법으로서, 반도체 재료는 실리콘을 포함한다.
예시적 실시예 9: 예시적 실시예 6, 7 또는 8의 방법으로서, 반도체 핀들의 개개의 것들은 반도체 핀들의 개개의 것들의 상단으로부터 하단으로 제2 방향을 따라 외측으로 테이퍼링되는 측벽들을 갖는다.
예시적 실시예 10: 예시적 실시예 6, 7, 8 또는 9의 방법으로서, 반도체 핀들은 아래에 놓인 단결정질 실리콘 기판과 연속적이다.
예시적 실시예 11: 예시적 실시예 6, 7, 8, 9 또는 10의 방법으로서, 2차 스페이서 구조체들로 반도체 재료를 패터닝하는 단계는 제1 방향을 따라 가장 긴 치수를 갖는 제1 복수의 반도체 핀을 형성하는 단계 - 제1 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제1 방향에 직교하는 제2 방향으로 제1 양만큼 서로 이격됨 -, 및 제1 방향을 따라 가장 긴 치수를 갖는 제2 복수의 반도체 핀을 형성하는 단계 - 제2 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제2 방향으로 제1 양만큼 서로 이격되고, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 가장 가까운 반도체 핀들은 제2 방향으로 제2 양만큼 서로 이격되고, 제2 양은 제1 양보다 더 큼 - 를 포함한다.
예시적 실시예 12: 예시적 실시예 11의 방법으로서, 제2 양은 제1 양의 2배 미만이다.
예시적 실시예 13: 예시적 실시예 12의 방법으로서, 제2 양은 제1 양의 적어도 2배이다.
예시적 실시예 14: 예시적 실시예 11, 12 또는 13의 방법으로서, 제1 복수의 반도체 핀이 정확히 5개의 반도체 핀을 갖고, 제2 복수의 반도체 핀이 정확히 5개의 반도체 핀을 갖는다.
예시적인 실시예 15: 집적 회로 구조체는 제1 방향을 따라 가장 긴 치수를 갖는 제1 복수의 반도체 핀을 포함하고, 제1 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제1 방향에 직교하는 제2 방향으로 제1 양만큼 서로 이격되어 있다. 집적 회로 구조체가 제1 방향을 따라 가장 긴 치수를 갖는 제2 복수의 반도체 핀을 추가로 포함하고, 제2 복수의 반도체 핀의 인접한 개개의 반도체 핀들은 제2 방향으로 제1 양만큼 서로 이격되고, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 가장 가까운 반도체 핀들은 제2 방향으로 제2 양만큼 서로 이격되고, 제2 양은 제1 양보다 더 크고, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀은 제거된 핀의 아티팩트를 포함하지 않는 영역에 의해 이격된다.
예시적 실시예 16: 예시적 실시예 15의 집적 회로 구조체로서, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀은 실리콘을 포함한다.
예시적인 실시예 17: 예시적인 실시예 15 또는 16의 집적 회로 구조체로서, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀은 아래에 놓인 단결정질 실리콘 기판과 연속적이다.
예시적 실시예 18: 예시적 실시예 15, 16 또는 17의 집적 회로 구조체로서, 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 개개의 것들은 제1 복수의 반도체 핀 및 제2 복수의 반도체 핀의 개개의 것들의 상단으로부터 하단으로 제2 방향을 따라 외측으로 테이퍼링되는 측벽들을 갖는다.
예시적 실시예 19: 예시적 실시예 15, 16, 17 또는 18의 집적 회로 구조체로서, 제1 복수의 반도체 핀이 정확히 5개의 반도체 핀을 갖고, 제2 복수의 반도체 핀이 정확히 5개의 반도체 핀을 갖는다.
예시적 실시예 20: 예시적 실시예 15, 16, 17, 18 또는 19의 집적 회로 구조체로서, 제2 양은 제1 양보다 더 크고 그 2배보다 더 작다.

Claims (20)

  1. 집적 회로 구조체로서,
    실리콘을 포함하는 기판;
    상기 기판과 연속하는 제1 핀;
    상기 기판과 연속하는 제2 핀 - 상기 제2 핀은 상기 제1 핀으로부터 제1 양만큼 측방향으로 이격됨 -;
    상기 기판과 연속하는 제3 핀 - 상기 제3 핀은 상기 제2 핀으로부터 제2 양만큼 측방향으로 이격되고, 상기 제2 양은 상기 제1 양의 2배 초과 3배 미만임 -;
    상기 기판과 연속하는 제4 핀 - 상기 제4 핀은 상기 제3 핀으로부터 상기 제1 양만큼 측방향으로 이격됨 -; 및
    상기 제1 핀, 상기 제2 핀, 상기 제3 핀 및 상기 제4 핀 위의 게이트 구조체를 포함하는 집적 회로 구조체.
  2. 제1항에 있어서, 상기 기판은 단결정질 실리콘 기판인 집적 회로 구조체.
  3. 제1항에 있어서, 상기 제2 핀은 상기 기판의 제1 곡면 표면에 의해 상기 제1 핀으로부터 분리되는 집적 회로 구조체.
  4. 제3항에 있어서, 상기 제4 핀은 상기 기판의 제2 곡면 표면에 의해 상기 제3 핀으로부터 분리되는 집적 회로 구조체.
  5. 제3항에 있어서, 상기 제3 핀은 상기 기판의 평면 표면에 의해 상기 제2 핀으로부터 분리되는 집적 회로 구조체.
  6. 제4항에 있어서, 상기 제3 핀은 상기 기판의 평면 표면에 의해 상기 제2 핀으로부터 분리되는 집적 회로 구조체.
  7. 제1항에 있어서, 상기 제3 핀은 상기 기판의 평면 표면에 의해 상기 제2 핀으로부터 분리되는 집적 회로 구조체.
  8. 제1항에 있어서, 상기 제1 양은 제1 피치이고, 상기 제2 양은 제2 피치인 집적 회로 구조체.
  9. 제1항에 있어서, 상기 제1 핀은 외측으로 테이퍼링되는 측벽들을 갖고, 상기 제2 핀은 외측으로 테이퍼링되는 측벽들을 갖고, 상기 제3 핀은 외측으로 테이퍼링되는 측벽들을 갖고, 상기 제4 핀은 외측으로 테이퍼링되는 측벽들을 갖는 집적 회로 구조체.
  10. 제1항에 있어서, 상기 제1 핀, 상기 제2 핀, 상기 제3 핀 및 상기 제4 핀의 하부 부분에 측방향으로 인접하고 접촉하는 격리 구조체를 추가로 포함하는 집적 회로 구조체.
  11. 제1항에 있어서, 상기 게이트 구조체는 하이-k 게이트 유전체 층 및 금속 게이트 전극을 포함하는 집적 회로 구조체.
  12. 집적 회로 구조체로서,
    실리콘을 포함하는 기판;
    상기 기판과 연속하는 제1 핀;
    상기 기판과 연속하는 제2 핀 - 상기 제2 핀은 제1 양만큼 상기 제1 핀으로부터 측방향으로 이격되고, 상기 제2 핀은 제1 곡률 반경을 갖는 상기 기판의 제1 표면에 의해 상기 제1 핀으로부터 분리됨 -;
    상기 기판과 연속하는 제3 핀 - 상기 제3 핀은 제2 양만큼 상기 제2 핀으로부터 측방향으로 이격되고, 상기 제2 양은 상기 제1 양의 2배 초과 3배 미만이고, 상기 제3 핀은 제2 곡률 반경을 갖는 상기 기판의 제2 표면에 의해 상기 제2 핀으로부터 분리되고, 상기 제2 곡률 반경은 상기 제1 곡률 반경보다 작음 -;
    상기 기판과 연속하는 제4 핀 - 상기 제4 핀은 상기 제1 양만큼 상기 제3 핀으로부터 측방향으로 이격되고, 상기 제4 핀은 제3 곡률 반경을 갖는 상기 기판의 제3 표면에 의해 상기 제3 핀으로부터 분리되고, 상기 제3 곡률 반경은 상기 제2 곡률 반경보다 큼 -; 및
    상기 제1 핀, 상기 제2 핀, 상기 제3 핀 및 상기 제4 핀 위의 게이트 구조체를 포함하는 집적 회로 구조체.
  13. 제12항에 있어서, 상기 기판은 단결정질 실리콘 기판인 집적 회로 구조체.
  14. 제12항에 있어서, 상기 제1 양은 제1 피치이고, 상기 제2 양은 제2 피치인 집적 회로 구조체.
  15. 제12항에 있어서, 상기 제1 핀은 외측으로 테이퍼링되는 측벽들을 갖는 집적 회로 구조체.
  16. 제15항에 있어서, 상기 제2 핀은 외측으로 테이퍼링되는 측벽들을 갖는 집적 회로 구조체.
  17. 제16항에 있어서, 상기 제3 핀은 외측으로 테이퍼링되는 측벽들을 갖는 집적 회로 구조체.
  18. 제17항에 있어서, 상기 제4 핀은 외측으로 테이퍼링되는 측벽들을 갖는 집적 회로 구조체.
  19. 제12항에 있어서, 상기 제1 핀, 상기 제2 핀, 상기 제3 핀 및 상기 제4 핀의 하부 부분에 측방향으로 인접하고 접촉하는 격리 구조체를 추가로 포함하는 집적 회로 구조체.
  20. 제12항에 있어서, 상기 게이트 구조체는 하이-k 게이트 유전체 층 및 금속 게이트 전극을 포함하는 집적 회로 구조체.
KR1020227046027A 2017-11-30 2017-12-29 진보된 집적 회로 구조체 제조를 위한 핀 패터닝 KR20230006054A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593149P 2017-11-30 2017-11-30
US62/593,149 2017-11-30
KR1020207012600A KR20200083981A (ko) 2017-11-30 2017-12-29 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
PCT/US2017/069131 WO2019108237A1 (en) 2017-11-30 2017-12-29 Fin patterning for advanced integrated circuit structure fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207012600A Division KR20200083981A (ko) 2017-11-30 2017-12-29 진보된 집적 회로 구조체 제조를 위한 핀 패터닝

Publications (1)

Publication Number Publication Date
KR20230006054A true KR20230006054A (ko) 2023-01-10

Family

ID=63963951

Family Applications (8)

Application Number Title Priority Date Filing Date
KR1020227046027A KR20230006054A (ko) 2017-11-30 2017-12-29 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
KR1020207012600A KR20200083981A (ko) 2017-11-30 2017-12-29 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
KR1020180126705A KR20190064426A (ko) 2017-11-30 2018-10-23 진보된 집적 회로 구조체 제조를 위한 게이트 컷 및 핀 트림 격리부
KR1020180128307A KR20190064430A (ko) 2017-11-30 2018-10-25 진보된 집적 회로 구조체 제조를 위한 트렌치 격리부
KR1020180128213A KR20190064429A (ko) 2017-11-30 2018-10-25 진보된 집적 회로 구조체 제조를 위한 트렌치 콘택트 구조체들
KR1020180128976A KR20190064432A (ko) 2017-11-30 2018-10-26 진보된 집적 회로 구조체 제조를 위한 대체 게이트 구조체들
KR1020180129027A KR102523128B1 (ko) 2017-11-30 2018-10-26 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들
KR1020210072211A KR20210069612A (ko) 2017-11-30 2021-06-03 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들

Family Applications After (7)

Application Number Title Priority Date Filing Date
KR1020207012600A KR20200083981A (ko) 2017-11-30 2017-12-29 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
KR1020180126705A KR20190064426A (ko) 2017-11-30 2018-10-23 진보된 집적 회로 구조체 제조를 위한 게이트 컷 및 핀 트림 격리부
KR1020180128307A KR20190064430A (ko) 2017-11-30 2018-10-25 진보된 집적 회로 구조체 제조를 위한 트렌치 격리부
KR1020180128213A KR20190064429A (ko) 2017-11-30 2018-10-25 진보된 집적 회로 구조체 제조를 위한 트렌치 콘택트 구조체들
KR1020180128976A KR20190064432A (ko) 2017-11-30 2018-10-26 진보된 집적 회로 구조체 제조를 위한 대체 게이트 구조체들
KR1020180129027A KR102523128B1 (ko) 2017-11-30 2018-10-26 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들
KR1020210072211A KR20210069612A (ko) 2017-11-30 2021-06-03 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들

Country Status (8)

Country Link
US (37) US11881520B2 (ko)
EP (6) EP3718142A4 (ko)
JP (2) JP7272776B2 (ko)
KR (8) KR20230006054A (ko)
CN (16) CN115831969A (ko)
DE (1) DE102018127129A1 (ko)
TW (10) TWI808100B (ko)
WO (1) WO2019108237A1 (ko)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20170061952A (ko) * 2015-11-27 2017-06-07 에스케이하이닉스 주식회사 보호회로
US10937783B2 (en) * 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108695382B (zh) * 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN108735741B (zh) * 2017-04-13 2020-10-09 联华电子股份有限公司 存储器元件中的存储点接触结构与其制作方法
CN108807532B (zh) * 2017-04-28 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN109524302B (zh) * 2017-09-20 2020-12-15 华邦电子股份有限公司 半导体组件及其制造方法
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10727835B2 (en) * 2017-10-10 2020-07-28 Tacho Holdings, Llc Three-dimensional logic circuit
US10276794B1 (en) * 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
TWI835515B (zh) * 2017-11-30 2024-03-11 美商英特爾股份有限公司 用於先進積體電路結構製造的主動閘極結構上方的接觸
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10818562B2 (en) 2017-11-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and testing method thereof
US11881520B2 (en) * 2017-11-30 2024-01-23 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
TWI817576B (zh) * 2017-11-30 2023-10-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
KR102432655B1 (ko) 2017-12-21 2022-08-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11237877B2 (en) * 2017-12-27 2022-02-01 Intel Corporation Robot swarm propagation using virtual partitions
US10439047B2 (en) * 2018-02-14 2019-10-08 Applied Materials, Inc. Methods for etch mask and fin structure formation
US10332819B1 (en) * 2018-03-29 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11239149B2 (en) * 2018-04-02 2022-02-01 Intel Corporation Metal interconnect fuse memory arrays
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10600876B2 (en) * 2018-05-08 2020-03-24 Globalfoundries Inc. Methods for chamfering work function material layers in gate cavities having varying widths
CN110556337B (zh) * 2018-05-31 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10790198B2 (en) * 2018-08-08 2020-09-29 Globalfoundries Inc. Fin structures
US10672770B2 (en) 2018-08-14 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11211479B2 (en) * 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US11444174B2 (en) * 2018-08-17 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with Fin end spacer dummy gate and method of manufacturing the same
KR102534246B1 (ko) 2018-08-30 2023-05-18 삼성전자주식회사 반도체 장치
US10886269B2 (en) * 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
CN110957361B (zh) * 2018-09-26 2023-09-19 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10964816B2 (en) * 2018-09-27 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for boosting performance of FinFETs via strained spacer
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US11244867B2 (en) * 2018-09-28 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin end spacer plug and method of manufacturing the same
US20200111704A1 (en) * 2018-10-04 2020-04-09 Globalfoundries Inc. Methods of forming stress liners using atomic layer deposition to form gapfill seams
US10686033B2 (en) * 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10692775B2 (en) 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US11587782B2 (en) * 2018-11-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
US11538937B2 (en) * 2019-01-04 2022-12-27 Intel Corporation Fin trim plug structures having an oxidation catalyst layer surrounded by a recessed dielectric material
US11004687B2 (en) 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes
US10916470B2 (en) * 2019-03-01 2021-02-09 Globalfoundries Inc. Modified dielectric fill between the contacts of field-effect transistors
CN111725137B (zh) * 2019-03-20 2023-06-23 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
US11552169B2 (en) * 2019-03-27 2023-01-10 Intel Corporation Source or drain structures with phosphorous and arsenic co-dopants
US11094784B2 (en) * 2019-04-08 2021-08-17 International Business Machines Corporation Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor
CN111863711B (zh) * 2019-04-29 2023-06-06 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI744663B (zh) * 2019-07-02 2021-11-01 國立臺灣師範大學 混合式儲存記憶體
US20210005728A1 (en) 2019-07-02 2021-01-07 National Taiwan Normal University Storage memory device
JP7292140B2 (ja) * 2019-07-25 2023-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11195938B2 (en) * 2019-07-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Device performance by fluorine treatment
US10878160B1 (en) * 2019-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Analog cells utilizing complementary mosfet pairs
CN117727761A (zh) * 2019-08-20 2024-03-19 联华电子股份有限公司 半导体装置
US11075123B2 (en) * 2019-09-16 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming isolation structure having improved gap-fill capability
US11189561B2 (en) 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric
CN114375493A (zh) * 2019-09-19 2022-04-19 东京毅力科创株式会社 半导体装置的制作方法
CN110661064A (zh) * 2019-09-29 2020-01-07 京东方科技集团股份有限公司 移相器及其制备和封装方法
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
KR20210043842A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 장치
US11424165B2 (en) * 2019-10-16 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor
US11211470B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
EP3813124A1 (en) 2019-10-22 2021-04-28 Imec VZW Split replacement metal gate integration
US10823888B1 (en) * 2019-11-12 2020-11-03 Applied Materials, Inc. Methods of producing slanted gratings with variable etch depths
WO2021108136A1 (en) * 2019-11-25 2021-06-03 Corning Incorporated Bonded articles and methods for forming the same
US11682731B2 (en) * 2019-12-02 2023-06-20 Intel Corporation Fin smoothing and integrated circuit structures resulting therefrom
US11189600B2 (en) * 2019-12-11 2021-11-30 Samsung Electronics Co., Ltd. Method of forming sacrificial self-aligned features for assisting die-to-die and die-to-wafer direct bonding
KR20210080662A (ko) 2019-12-20 2021-07-01 삼성전자주식회사 반도체 장치
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
US20210202321A1 (en) * 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. High Voltage Devices
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11043469B1 (en) * 2020-02-19 2021-06-22 Nanya Technology Corporation Method of forming three dimensional semiconductor structure
US20210257462A1 (en) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors
US11450736B2 (en) * 2020-03-25 2022-09-20 Intel Corporation Source/drain regions in integrated circuit structures
US11201151B2 (en) 2020-03-27 2021-12-14 Intel Corporation Resonant fin transistor (RFT)
US11462282B2 (en) * 2020-04-01 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory structure
TWI809384B (zh) * 2020-04-28 2023-07-21 台灣積體電路製造股份有限公司 積體電路結構及其形成方法
DE102020119859A1 (de) 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung von hybrid-isolationsregionen durch aussparen und erneutes abscheiden
US11404323B2 (en) * 2020-04-29 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of hybrid isolation regions through recess and re-deposition
DE102021104073B4 (de) * 2020-04-30 2024-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtetes metall-gate für multigate-vorrichtung und herstellungsverfahren
US11637042B2 (en) 2020-04-30 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate for multigate device
US11502199B2 (en) * 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
US20210391245A1 (en) * 2020-06-11 2021-12-16 Nanya Technology Corporation Semiconductor package device
US11113443B1 (en) * 2020-06-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with thicker metal lines on lower metallization layer
US11374006B2 (en) * 2020-06-12 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US20210407996A1 (en) * 2020-06-26 2021-12-30 Ashish Agrawal Gate-all-around integrated circuit structures having strained dual nanoribbon channel structures
US11527653B2 (en) * 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
CN113517274A (zh) * 2020-07-24 2021-10-19 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11335806B2 (en) * 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof
KR20220077741A (ko) 2020-12-02 2022-06-09 삼성전자주식회사 반도체 메모리 소자
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device
US20220199833A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Field-effect transistor (fet) with self-aligned ferroelectric capacitor and methods of fabrication
KR20220092104A (ko) * 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자
CN112864097B (zh) * 2021-01-14 2022-06-24 长鑫存储技术有限公司 半导体结构及其制作方法
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11323070B1 (en) 2021-04-16 2022-05-03 Apple Inc. Oscillator with fin field-effect transistor (FinFET) resonator
CN113517313B (zh) * 2021-04-26 2023-04-18 长江先进存储产业创新中心有限责任公司 三维存储器及其制造方法
TWI789748B (zh) * 2021-04-26 2023-01-11 友達光電股份有限公司 電子裝置及其製造方法
KR20220148630A (ko) * 2021-04-29 2022-11-07 삼성전자주식회사 반도체 메모리 소자
US11652153B2 (en) 2021-05-07 2023-05-16 Micron Technology, Inc. Replacement gate formation in memory
US20220399336A1 (en) * 2021-06-15 2022-12-15 Intel Corporation Fin cut in neighboring gate and source or drain regions for advanced integrated circuit structure fabrication
US20230034482A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact Profile Optimization For Ic Device Performance Improvement
US11967626B2 (en) 2021-09-14 2024-04-23 Sandisk Technologies Llc Field effect transistors with gate fins and method of making the same
WO2023043504A1 (en) * 2021-09-14 2023-03-23 Sandisk Technologies Llc Field effect transistors with gate fins and method of making the same
TWI802013B (zh) * 2021-09-17 2023-05-11 力晶積成電子製造股份有限公司 半導體裝置及其製造方法
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material
US20230132912A1 (en) * 2021-11-01 2023-05-04 Globalfoundries U.S. Inc. Logic cell layout design for high density transistors
TWI798922B (zh) * 2021-11-08 2023-04-11 財團法人工業技術研究院 半導體結構及其製造方法
US20230207696A1 (en) * 2021-12-23 2023-06-29 Mohammad Hasan Integrated circuits with gate plugs to induce compressive channel strain
TW202329404A (zh) * 2022-01-12 2023-07-16 南亞科技股份有限公司 具有鰭片的半導體結構
US11895820B2 (en) 2022-01-19 2024-02-06 Nanya Technology Corporation Method of manufacturing memory device having word line with improved adhesion between work function member and conductive layer
US11937420B2 (en) 2022-01-19 2024-03-19 Nanya Technology Corporation Memory device having word line with improved adhesion between work function member and conductive layer
TWI833234B (zh) * 2022-01-19 2024-02-21 南亞科技股份有限公司 具有字元線之記憶體元件
CN115083918B (zh) * 2022-07-19 2022-11-04 合肥晶合集成电路股份有限公司 晶体管及其制造方法
TWI833669B (zh) * 2023-05-02 2024-02-21 南亞科技股份有限公司 具有複合式硬遮罩的半導體裝置結構及其製備方法

Family Cites Families (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837609A (en) * 1987-09-09 1989-06-06 American Telephone And Telegraph Company, At&T Bell Laboratories Semiconductor devices having superconducting interconnects
JP3252578B2 (ja) * 1993-12-27 2002-02-04 ソニー株式会社 平面型絶縁ゲート電界効果トランジスタの製法
US5994220A (en) * 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
TW400605B (en) * 1999-01-16 2000-08-01 United Microelectronics Corp The manufacturing method of the Shallow Trench Isolation (STI)
US6159782A (en) * 1999-08-05 2000-12-12 Advanced Micro Devices, Inc. Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant
JP2001135718A (ja) * 1999-11-08 2001-05-18 Nec Corp トレンチ分離構造の作製方法
JP4644924B2 (ja) * 2000-10-12 2011-03-09 ソニー株式会社 半導体装置およびその製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
KR100338783B1 (en) * 2000-10-28 2002-06-01 Samsung Electronics Co Ltd Semiconductor device having expanded effective width of active region and fabricating method thereof
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6653200B2 (en) * 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US6774387B2 (en) * 2001-06-26 2004-08-10 Ovonyx, Inc. Programmable resistance memory element
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US8222680B2 (en) * 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6821834B2 (en) * 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US7148526B1 (en) * 2003-01-23 2006-12-12 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
KR100471173B1 (ko) * 2003-05-15 2005-03-10 삼성전자주식회사 다층채널을 갖는 트랜지스터 및 그 제조방법
US6846752B2 (en) * 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US6812119B1 (en) * 2003-07-08 2004-11-02 Advanced Micro Devices, Inc. Narrow fins by oxidation in double-gate finfet
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
US7344972B2 (en) * 2004-04-21 2008-03-18 Intel Corporation Photosensitive dielectric layer
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
JP2006120953A (ja) * 2004-10-22 2006-05-11 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR100602121B1 (ko) * 2004-12-03 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
JP2007005721A (ja) * 2005-06-27 2007-01-11 Toshiba Corp 半導体装置およびその製造方法
US7335587B2 (en) * 2005-06-30 2008-02-26 Intel Corporation Post polish anneal of atomic layer deposition barrier layers
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7223650B2 (en) * 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
KR100663366B1 (ko) * 2005-10-26 2007-01-02 삼성전자주식회사 자기 정렬된 부유게이트를 갖는 플래시메모리소자의제조방법 및 관련된 소자
US9477658B2 (en) * 2005-10-26 2016-10-25 Cortica, Ltd. Systems and method for speech to speech translation using cores of a natural liquid architecture system
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
DE102005057073B4 (de) * 2005-11-30 2011-02-03 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
US20070227181A1 (en) 2006-04-04 2007-10-04 Eduardo Leon Condenser shroud assembly for a direct current air conditioning system
US7521775B2 (en) * 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US7968425B2 (en) * 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
KR101036771B1 (ko) * 2006-07-25 2011-05-25 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
US20080049613A1 (en) * 2006-08-24 2008-02-28 Motorola, Inc. Method and system for providing a quality of service change warning at a user equipment
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
US7898037B2 (en) * 2007-04-18 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact scheme for MOSFETs
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
KR100855834B1 (ko) * 2007-05-25 2008-09-01 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7923337B2 (en) * 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
TW200901382A (en) * 2007-06-26 2009-01-01 Nanya Technology Corp Structure of a buried word line
US7476578B1 (en) * 2007-07-12 2009-01-13 International Business Machines Corporation Process for finFET spacer formation
US7811877B2 (en) * 2007-07-16 2010-10-12 Applied Materials, Inc. Method of controlling metal silicide formation
US7534675B2 (en) * 2007-09-05 2009-05-19 International Business Machiens Corporation Techniques for fabricating nanowire field-effect transistors
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US8486823B2 (en) * 2008-03-07 2013-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming through via
US8178417B2 (en) * 2008-04-22 2012-05-15 Globalfoundries Singapore Pte. Ltd. Method of forming shallow trench isolation structures for integrated circuits
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US8058119B2 (en) * 2008-08-27 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device scheme of HKMG gate-last process
DE102008059648B4 (de) * 2008-11-28 2011-12-22 Advanced Micro Devices, Inc. Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102009023250B4 (de) * 2009-05-29 2012-02-02 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement-Herstellverfahren mit erhöhter Ätzstoppfähigkeit während der Strukturierung von siliziumnitridenthaltenden Schichtstapeln durch Vorsehen einer chemisch hergestellten Oxidschicht während der Halbleiterbearbeitung
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US8008669B2 (en) * 2009-07-27 2011-08-30 International Business Machines Corporation Programmable anti-fuse structure with DLC dielectric layer
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8592918B2 (en) * 2009-10-28 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming inter-device STI regions and intra-device STI regions using different dielectric materials
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102009047306B4 (de) * 2009-11-30 2015-02-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
US8373238B2 (en) * 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8119473B2 (en) * 2009-12-31 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature anneal for aluminum surface protection
DE102010001403B4 (de) * 2010-01-29 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
US8729627B2 (en) * 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US10128261B2 (en) * 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
DE102010040064B4 (de) * 2010-08-31 2012-04-05 Globalfoundries Inc. Verringerte Schwellwertspannungs-Breitenabhängigkeit in Transistoren, die Metallgateelektrodenstrukturen mit großem ε aufweisen
US8299625B2 (en) * 2010-10-07 2012-10-30 International Business Machines Corporation Borderless interconnect line structure self-aligned to upper and lower level contact vias
US8455330B2 (en) * 2010-10-12 2013-06-04 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8314034B2 (en) 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
DE102011005718B4 (de) * 2011-03-17 2012-10-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Verringern der Äquivalenzdicke von Dielektriika mit großem ε in Feldeffekttranistoren durch Ausführen eines Ausheizprozesses bei geringer Temperatur
DE102011076696B4 (de) * 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8551833B2 (en) * 2011-06-15 2013-10-08 International Businesss Machines Corporation Double gate planar field effect transistors
CN102956457B (zh) 2011-08-22 2015-08-12 中国科学院微电子研究所 半导体器件结构及其制作方法、及半导体鳍制作方法
US8674433B2 (en) * 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8466027B2 (en) * 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US9028157B2 (en) * 2011-12-15 2015-05-12 Intel Corporation Efficient backside-emitting/collecting grating coupler
US8907431B2 (en) * 2011-12-16 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple threshold voltages
US8896066B2 (en) * 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
CN104011835B (zh) * 2011-12-22 2016-10-26 英特尔公司 栅极对准接触部及其制造方法
CN113345952A (zh) * 2011-12-22 2021-09-03 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
WO2013101237A1 (en) * 2011-12-31 2013-07-04 Intel Corporation Hard mask etch stop for tall fins
US8691681B2 (en) * 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8928086B2 (en) * 2013-01-09 2015-01-06 International Business Machines Corporation Strained finFET with an electrically isolated channel
US9287179B2 (en) * 2012-01-19 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Composite dummy gate with conformal polysilicon layer for FinFET device
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8637371B2 (en) * 2012-02-16 2014-01-28 International Business Machines Corporation Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same
US8517769B1 (en) * 2012-03-16 2013-08-27 Globalfoundries Inc. Methods of forming copper-based conductive structures on an integrated circuit device
US8772114B2 (en) * 2012-03-30 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate semiconductor device and method of fabricating thereof
US9627310B2 (en) * 2012-04-11 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned interconnects
US20130277766A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Multiple high-k metal gate stacks in a field effect transistor
US9647066B2 (en) * 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US8912606B2 (en) * 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US8877578B2 (en) * 2012-05-18 2014-11-04 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US8697511B2 (en) * 2012-05-18 2014-04-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9024355B2 (en) * 2012-05-30 2015-05-05 International Business Machines Corporation Embedded planar source/drain stressors for a finFET including a plurality of fins
US8981481B2 (en) * 2012-06-28 2015-03-17 Intel Corporation High voltage three-dimensional devices having dielectric liners
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
CN103531474B (zh) * 2012-07-02 2016-04-20 中国科学院微电子研究所 半导体器件制造方法
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8710660B2 (en) * 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9728464B2 (en) * 2012-07-27 2017-08-08 Intel Corporation Self-aligned 3-D epitaxial structures for MOS device fabrication
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US9337318B2 (en) * 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9082853B2 (en) * 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8896067B2 (en) * 2013-01-08 2014-11-25 International Business Machines Corporation Method of forming finFET of variable channel width
US9305797B2 (en) * 2013-01-17 2016-04-05 Applied Materials, Inc. Polysilicon over-etch using hydrogen diluted plasma for three-dimensional gate etch
US8975094B2 (en) * 2013-01-21 2015-03-10 Globalfoundries Inc. Test structure and method to facilitate development/optimization of process parameters
US9564353B2 (en) * 2013-02-08 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with reduced parasitic capacitance and methods of forming the same
US8859372B2 (en) * 2013-02-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Double channel doping in transistor formation
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
KR20140108960A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법
JP6198671B2 (ja) 2013-05-02 2017-09-20 富士フイルム株式会社 エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法
US9219062B2 (en) 2013-05-24 2015-12-22 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
DE112013007003T5 (de) 2013-06-20 2016-01-07 Intel Corporation Nicht planare Halbleitervorrichtung mit dotierter Unterrippenregion und Verfahren zu deren Herstellung
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
KR102089682B1 (ko) * 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9093298B2 (en) * 2013-08-22 2015-07-28 Texas Instruments Incorporated Silicide formation due to improved SiGe faceting
US9633835B2 (en) * 2013-09-06 2017-04-25 Intel Corporation Transistor fabrication technique including sacrificial protective layer for source/drain at contact location
EP3050087B1 (en) * 2013-09-27 2020-04-29 Intel Corporation Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US20150145041A1 (en) * 2013-11-22 2015-05-28 International Business Machines Corporation Substrate local interconnect integration with finfets
KR102131379B1 (ko) 2013-12-19 2020-07-08 인텔 코포레이션 자기 정렬 게이트 에지 및 로컬 상호접속 및 그 제조 방법
KR102366087B1 (ko) * 2013-12-23 2022-02-23 인텔 코포레이션 다수의 핀 피치 구조에 걸쳐 곧고, 높고, 균일한 핀을 위한 진보된 에칭 기법
WO2015099680A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Pre-sculpting of si fin elements prior to cladding for transistor channel applications
US9406778B2 (en) * 2014-01-15 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9379010B2 (en) * 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9362404B2 (en) * 2014-02-21 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Doping for FinFET
US20150243663A1 (en) * 2014-02-24 2015-08-27 United Microelectronics Corp. Method for manufacturing semiconductor device and device manufactured using the same
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
KR102190673B1 (ko) * 2014-03-12 2020-12-14 삼성전자주식회사 중간갭 일함수 금속 게이트 전극을 갖는 반도체 소자
US9318582B2 (en) * 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9780216B2 (en) * 2014-03-19 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Combination FinFET and methods of forming same
US20150270175A1 (en) * 2014-03-19 2015-09-24 Globalfoundries Inc. Partially crystallized fin hard mask for fin field-effect-transistor (finfet) device
WO2015147842A1 (en) * 2014-03-27 2015-10-01 Intel Corporation Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
KR102377372B1 (ko) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9570554B2 (en) * 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391173B2 (en) * 2014-04-22 2016-07-12 International Business Machines Corporation FinFET device with vertical silicide on recessed source/drain epitaxy regions
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
US9640625B2 (en) * 2014-04-25 2017-05-02 Globalfoundries Inc. Self-aligned gate contact formation
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN105225951B (zh) * 2014-05-30 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9508826B2 (en) * 2014-06-18 2016-11-29 Globalfoundries Inc. Replacement gate structure for enhancing conductivity
US20150372139A1 (en) * 2014-06-18 2015-12-24 GLOBALFOUNDERS Inc. Constraining epitaxial growth on fins of a finfet device
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US9209186B1 (en) * 2014-06-26 2015-12-08 Globalfoundries Inc. Threshold voltage control for mixed-type non-planar semiconductor devices
US9837354B2 (en) * 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
KR102192350B1 (ko) * 2014-08-05 2020-12-18 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법
KR20160020870A (ko) * 2014-08-14 2016-02-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
CN105374871B (zh) * 2014-08-22 2020-05-19 联华电子股份有限公司 鳍状结构及其形成方法
US9305845B2 (en) 2014-09-04 2016-04-05 International Business Machines Corporation Self-aligned quadruple patterning process
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
TWI557784B (zh) * 2014-09-18 2016-11-11 聯華電子股份有限公司 鰭式場效電晶體的製造方法
KR102259080B1 (ko) * 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US9922880B2 (en) * 2014-09-26 2018-03-20 Qualcomm Incorporated Method and apparatus of multi threshold voltage CMOS
TWI600159B (zh) * 2014-10-01 2017-09-21 聯華電子股份有限公司 半導體元件及其製作方法
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US9490176B2 (en) * 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
KR102321209B1 (ko) 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9543416B2 (en) * 2014-11-07 2017-01-10 Globalfoundries Inc. Methods of forming products with FinFET semiconductor devices without removing fins in certain areas of the product
KR102236555B1 (ko) * 2014-11-11 2021-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102217246B1 (ko) 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9576801B2 (en) * 2014-12-01 2017-02-21 Qualcomm Incorporated High dielectric constant/metal gate (HK/MG) compatible floating gate (FG)/ferroelectric dipole non-volatile memory
US9679917B2 (en) * 2014-12-23 2017-06-13 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
US9406676B2 (en) * 2014-12-29 2016-08-02 Globalfoundries Inc. Method for forming single diffusion breaks between finFET devices and the resulting devices
US9876114B2 (en) * 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
KR102282980B1 (ko) * 2015-01-05 2021-07-29 삼성전자주식회사 실리사이드를 갖는 반도체 소자 및 그 형성 방법
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9390981B1 (en) 2015-02-05 2016-07-12 Globalfoundries Inc. Method of forming a complementary metal oxide semiconductor structure with N-type and P-type field effect transistors having symmetric source/drain junctions and optional dual silicides
KR102259917B1 (ko) * 2015-02-23 2021-06-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102320820B1 (ko) * 2015-02-24 2021-11-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9449880B1 (en) 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
CN106033745B (zh) * 2015-03-19 2020-07-07 联华电子股份有限公司 半导体元件及其形成方法
KR102352153B1 (ko) * 2015-03-25 2022-01-17 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
KR102318410B1 (ko) * 2015-04-01 2021-10-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102311929B1 (ko) * 2015-04-01 2021-10-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20160125208A (ko) * 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
US9406775B1 (en) * 2015-04-27 2016-08-02 Globalfoundries Inc. Method for creating self-aligned compact contacts in an IC device meeting fabrication spacing constraints
KR102342079B1 (ko) * 2015-05-20 2021-12-21 삼성전자주식회사 반도체 장치 제조 방법
KR102460718B1 (ko) * 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
KR102310081B1 (ko) 2015-06-08 2021-10-12 삼성전자주식회사 반도체 장치의 제조 방법
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9553092B2 (en) * 2015-06-12 2017-01-24 Globalfoundries Inc. Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs
US9418897B1 (en) * 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9355914B1 (en) * 2015-06-22 2016-05-31 International Business Machines Corporation Integrated circuit having dual material CMOS integration and method to fabricate same
TWI664732B (zh) * 2015-06-23 2019-07-01 聯華電子股份有限公司 半導體結構及製程
US9455317B1 (en) * 2015-06-24 2016-09-27 International Business Machines Corporation Nanowire semiconductor device including lateral-etch barrier region
WO2016209253A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Transistor fin formation via cladding on sacrifical core
KR102375846B1 (ko) * 2015-06-26 2022-03-17 인텔 코포레이션 게이트-올-어라운드 트랜지스터들을 위한 gaas 상의 부정형 ingaas
US20170022609A1 (en) * 2015-07-20 2017-01-26 Applied Materials, Inc. Heteroleptic Diazadiene-Containing Tungsten Precursors for Thin Film Deposition
US9601495B2 (en) * 2015-07-30 2017-03-21 Globalfoundries Inc. Three-dimensional semiconductor device with co-fabricated adjacent capacitor
US9837416B2 (en) 2015-07-31 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
KR102352157B1 (ko) * 2015-09-01 2022-01-17 삼성전자주식회사 집적회로 소자
US9564358B1 (en) * 2015-09-09 2017-02-07 International Business Machines Corporation Forming reliable contacts on tight semiconductor pitch
CN106531618B (zh) * 2015-09-15 2021-05-18 联华电子股份有限公司 具有金属栅极结构的半导体元件的功函数调整方法
US9991385B2 (en) * 2015-09-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced volume control by recess profile control
CN114300363A (zh) 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9524911B1 (en) * 2015-09-18 2016-12-20 Globalfoundries Inc. Method for creating self-aligned SDB for minimum gate-junction pitch and epitaxy formation in a fin-type IC device
US9911824B2 (en) 2015-09-18 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with multi spacer
US10177240B2 (en) 2015-09-18 2019-01-08 International Business Machines Corporation FinFET device formed by a replacement metal-gate method including a gate cut-last step
US9859392B2 (en) * 2015-09-21 2018-01-02 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US9806089B2 (en) * 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US20170086298A1 (en) * 2015-09-23 2017-03-23 Tin Poay Chuah Substrate including structures to couple a capacitor to a packaged device and method of making same
US9679978B2 (en) * 2015-09-24 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
EP3353811A4 (en) * 2015-09-25 2019-05-01 Intel Corporation RESISTANCE REDUCTION UNDER TRANSISTOR SPACERS
US10121879B2 (en) * 2015-09-28 2018-11-06 International Business Machines Corporation Forming odd number of fins by sidewall imaging transfer
KR102476356B1 (ko) * 2015-10-07 2022-12-09 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9583600B1 (en) * 2015-10-08 2017-02-28 United Microelectronics Corp. Semiconductor device and method for fabricating the same
DE102015013915A1 (de) 2015-10-27 2017-04-27 Florian Eichenhofer Maschinensystem zur Herstellung eines Hybridbauteils
US9666474B2 (en) * 2015-10-30 2017-05-30 International Business Machines Corporation Uniform dielectric recess depth during fin reveal
US9673331B2 (en) * 2015-11-02 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9728505B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature
US10020304B2 (en) 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9570571B1 (en) * 2015-11-18 2017-02-14 International Business Machines Corporation Gate stack integrated metal resistors
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US9461044B1 (en) * 2015-11-30 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9793404B2 (en) * 2015-11-30 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon germanium p-channel FinFET stressor structure and method of making same
CN106847685A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 高k金属栅晶体管的形成方法
US9954081B2 (en) * 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9564428B1 (en) * 2015-12-15 2017-02-07 International Business Machines Corporation Forming metal-insulator-metal capacitor
US9564446B1 (en) * 2015-12-16 2017-02-07 International Business Machines Corporation SRAM design to facilitate single fin cut in double sidewall image transfer process
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
KR102458309B1 (ko) * 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9614086B1 (en) * 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US9627389B1 (en) * 2016-01-21 2017-04-18 Globalfoundries Inc. Methods to form merged spacers for use in fin generation in IC devices
US10068901B2 (en) * 2016-01-25 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device including transistors with different threshold voltages
US9536789B1 (en) * 2016-01-27 2017-01-03 International Business Mashines Corporation Fin-double-gated junction field effect transistor
US9721949B1 (en) * 2016-01-29 2017-08-01 GlobalFoundries, Inc. Method of forming super steep retrograde wells on FinFET
US9876083B2 (en) * 2016-01-29 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
US10068904B2 (en) 2016-02-05 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device
US9496225B1 (en) * 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill
US9947788B2 (en) * 2016-02-09 2018-04-17 Globalfoundries Inc. Device with diffusion blocking layer in source/drain region
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US9972537B2 (en) * 2016-02-24 2018-05-15 Globalfoundries Inc. Methods of forming graphene contacts on source/drain regions of FinFET devices
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9786502B2 (en) * 2016-03-10 2017-10-10 United Microelectronics Corp. Method for forming fin structures for non-planar semiconductor device
FR3049110B1 (fr) * 2016-03-21 2018-06-15 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un transistor a effet de champ a capacite parasite reduite
TWI699885B (zh) * 2016-03-22 2020-07-21 聯華電子股份有限公司 半導體結構與其製作方法
TWI678732B (zh) * 2016-03-22 2019-12-01 聯華電子股份有限公司 一種形成半導體鰭狀結構的方法
TWI612674B (zh) * 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US20190013246A1 (en) * 2016-03-28 2019-01-10 Intel Corporation Aligned pitch-quartered patterning for lithography edge placement error advanced rectification
US10249501B2 (en) * 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
JP6714801B2 (ja) 2016-03-31 2020-07-01 インテル・コーポレーション 高分解能のフォトマスク又はレチクル及びその製造方法
US20170288041A1 (en) * 2016-04-05 2017-10-05 Globalfoundries Inc. Method for forming a doped region in a fin using a variable thickness spacer and the resulting device
US9685406B1 (en) * 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
US9755073B1 (en) * 2016-05-11 2017-09-05 International Business Machines Corporation Fabrication of vertical field effect transistor structure with strained channels
US10109507B2 (en) * 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US9768077B1 (en) * 2016-06-02 2017-09-19 International Business Machines Corporation Low resistance dual liner contacts for Fin Field-Effect Transistors (FinFETs)
US10204202B2 (en) * 2016-06-29 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy fin cell placement in an integrated circuit layout
US9640540B1 (en) * 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10522536B2 (en) * 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10332877B2 (en) * 2016-08-21 2019-06-25 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US10049974B2 (en) * 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US10083962B2 (en) * 2016-09-02 2018-09-25 International Business Machines Corporation Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition
US9881918B1 (en) * 2016-09-30 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US9741823B1 (en) * 2016-10-28 2017-08-22 Internation Business Machines Corporation Fin cut during replacement gate formation
CN108122852B (zh) * 2016-11-28 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10109523B2 (en) * 2016-11-29 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cleaning wafer after CMP
US10170367B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN108122913B (zh) * 2016-11-30 2019-09-27 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR102633141B1 (ko) * 2016-12-07 2024-02-02 삼성전자주식회사 집적회로 소자
US10037912B2 (en) * 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10497811B2 (en) * 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9991131B1 (en) * 2017-02-27 2018-06-05 Globalfoundries Inc. Dual mandrels to enable variable fin pitch
US10177041B2 (en) * 2017-03-10 2019-01-08 Globalfoundries Inc. Fin-type field effect transistors (FINFETS) with replacement metal gates and methods
US10002791B1 (en) * 2017-04-06 2018-06-19 International Business Machines Corporation Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS
US10002795B1 (en) * 2017-04-12 2018-06-19 International Business Machines Corporation Method and structure for forming vertical transistors with shared gates and separate gates
US10186456B2 (en) * 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
KR102221220B1 (ko) * 2017-05-24 2021-03-03 삼성전자주식회사 반도체 장치
US10037919B1 (en) * 2017-05-31 2018-07-31 Globalfoundries Inc. Integrated single-gated vertical field effect transistor (VFET) and independent double-gated VFET
US10644134B2 (en) * 2017-05-31 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gate formation with varying work function layers
US10109531B1 (en) * 2017-06-08 2018-10-23 United Microelectronics Corp. Semiconductor structure having a bump lower than a substrate base and a width of the bump larger than a width of fin shaped structures, and manufacturing method thereof
US9911736B1 (en) * 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10515952B2 (en) * 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10403742B2 (en) * 2017-09-22 2019-09-03 Globalfoundries Inc. Field-effect transistors with fins formed by a damascene-like process
CN109599336B (zh) * 2017-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10290544B2 (en) * 2017-10-10 2019-05-14 Globalfoundries Inc. Methods of forming conductive contact structures to semiconductor devices and the resulting structures
CN109712934B (zh) * 2017-10-26 2021-06-22 联华电子股份有限公司 一种制作半导体元件的方法
US10734379B2 (en) * 2017-11-30 2020-08-04 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
DE102018126911A1 (de) * 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
US20190164890A1 (en) * 2017-11-30 2019-05-30 Intel Corporation Pitch-divided interconnects for advanced integrated circuit structure fabrication
US10796968B2 (en) * 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10707133B2 (en) * 2017-11-30 2020-07-07 Intel Corporation Trench plug hardmask for advanced integrated circuit structure fabrication
US11881520B2 (en) * 2017-11-30 2024-01-23 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US10796951B2 (en) * 2017-11-30 2020-10-06 Intel Corporation Etch-stop layer topography for advanced integrated circuit structure fabrication
US10243053B1 (en) * 2018-01-22 2019-03-26 Globalfoundries Inc. Gate contact structure positioned above an active region of a transistor device

Also Published As

Publication number Publication date
TW201926571A (zh) 2019-07-01
US11031487B2 (en) 2021-06-08
EP3718142A1 (en) 2020-10-07
KR20190064433A (ko) 2019-06-10
US20200321449A1 (en) 2020-10-08
US11342445B2 (en) 2022-05-24
EP3514826A2 (en) 2019-07-24
EP3718142A4 (en) 2021-09-22
US10121875B1 (en) 2018-11-06
US20190164968A1 (en) 2019-05-30
EP4220719A2 (en) 2023-08-02
TWI808100B (zh) 2023-07-11
US10741669B2 (en) 2020-08-11
US20190165172A1 (en) 2019-05-30
CN113410233A (zh) 2021-09-17
US10777656B2 (en) 2020-09-15
US10541316B2 (en) 2020-01-21
US10818774B2 (en) 2020-10-27
US11948997B2 (en) 2024-04-02
TWI797172B (zh) 2023-04-01
US20200027965A1 (en) 2020-01-23
TW201926717A (zh) 2019-07-01
CN109860180A (zh) 2019-06-07
CN115831969A (zh) 2023-03-21
US20200388697A1 (en) 2020-12-10
US11646359B2 (en) 2023-05-09
US20210249524A1 (en) 2021-08-12
JP2019102797A (ja) 2019-06-24
EP4328973A2 (en) 2024-02-28
US20210013323A1 (en) 2021-01-14
US20190165147A1 (en) 2019-05-30
WO2019108237A1 (en) 2019-06-06
US11063133B2 (en) 2021-07-13
CN109860182A (zh) 2019-06-07
US20190245060A1 (en) 2019-08-08
US20190164814A1 (en) 2019-05-30
US20190164836A1 (en) 2019-05-30
US20190164897A1 (en) 2019-05-30
US11640985B2 (en) 2023-05-02
TW201937694A (zh) 2019-09-16
TW202316576A (zh) 2023-04-16
TWI836816B (zh) 2024-03-21
US11482611B2 (en) 2022-10-25
JP2023099087A (ja) 2023-07-11
US10460993B2 (en) 2019-10-29
US11581420B2 (en) 2023-02-14
US10121882B1 (en) 2018-11-06
US10957782B2 (en) 2021-03-23
US11881520B2 (en) 2024-01-23
US20230126174A1 (en) 2023-04-27
TW202315052A (zh) 2023-04-01
US11011616B2 (en) 2021-05-18
US11664439B2 (en) 2023-05-30
CN109860179A (zh) 2019-06-07
US20200105906A1 (en) 2020-04-02
KR20190064429A (ko) 2019-06-10
TW201935695A (zh) 2019-09-01
TWI790294B (zh) 2023-01-21
US20190165146A1 (en) 2019-05-30
KR102523128B1 (ko) 2023-04-19
TW201926547A (zh) 2019-07-01
TW201935660A (zh) 2019-09-01
US20230261089A1 (en) 2023-08-17
US20210091206A1 (en) 2021-03-25
KR20200083981A (ko) 2020-07-09
US11411095B2 (en) 2022-08-09
US20200343366A1 (en) 2020-10-29
EP4220719A3 (en) 2023-08-16
US10886383B2 (en) 2021-01-05
TWI781235B (zh) 2022-10-21
US10790378B2 (en) 2020-09-29
KR20190064432A (ko) 2019-06-10
US11088261B2 (en) 2021-08-10
CN109860185A (zh) 2019-06-07
CN115732568A (zh) 2023-03-03
US20210066475A1 (en) 2021-03-04
US10854732B2 (en) 2020-12-01
CN109860186A (zh) 2019-06-07
US10615265B2 (en) 2020-04-07
TWI802598B (zh) 2023-05-21
CN109860181A (zh) 2019-06-07
US20200227413A1 (en) 2020-07-16
US20190164765A1 (en) 2019-05-30
US20210043754A1 (en) 2021-02-11
US20210249523A1 (en) 2021-08-12
US20200335603A1 (en) 2020-10-22
US20230131757A1 (en) 2023-04-27
US20210143051A1 (en) 2021-05-13
EP3514826A3 (en) 2019-10-02
EP4181213A1 (en) 2023-05-17
CN109860177A (zh) 2019-06-07
US20240162332A1 (en) 2024-05-16
CN109860178A (zh) 2019-06-07
US20190165136A1 (en) 2019-05-30
US20210234022A1 (en) 2021-07-29
CN111194482A (zh) 2020-05-22
US20190164969A1 (en) 2019-05-30
JP7272776B2 (ja) 2023-05-12
CN109860151A (zh) 2019-06-07
US10304940B1 (en) 2019-05-28
EP3493249A1 (en) 2019-06-05
US20190164808A1 (en) 2019-05-30
KR20190064430A (ko) 2019-06-10
US11404559B2 (en) 2022-08-02
TW201926684A (zh) 2019-07-01
US11955532B2 (en) 2024-04-09
US10727313B2 (en) 2020-07-28
KR20210069612A (ko) 2021-06-11
US20190165131A1 (en) 2019-05-30
TW201935686A (zh) 2019-09-01
TWI797169B (zh) 2023-04-01
KR20190064426A (ko) 2019-06-10
US20200044049A1 (en) 2020-02-06
US10930753B2 (en) 2021-02-23
US20210217877A1 (en) 2021-07-15
US10777655B2 (en) 2020-09-15
CN109860187A (zh) 2019-06-07
US10854731B2 (en) 2020-12-01
US20200013876A1 (en) 2020-01-09
CN109860141A (zh) 2019-06-07
EP4328973A3 (en) 2024-05-29
DE102018127129A1 (de) 2019-06-06
US11581419B2 (en) 2023-02-14
TWI835754B (zh) 2024-03-21
CN109860176A (zh) 2019-06-07

Similar Documents

Publication Publication Date Title
KR102523128B1 (ko) 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들
US11508626B2 (en) Dual metal silicide structures for advanced integrated circuit structure fabrication
US20200286792A1 (en) Trench plug hardmask for advanced integrated circuit structure fabrication
EP3493270A1 (en) Continuous gate and fin spacer for advanced integrated circuit structure fabrication
EP3493269A1 (en) Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
EP3493256A2 (en) Pitch-divided interconnects for advanced integrated circuit structure fabrication
EP3493247A1 (en) Etch-stop layer topography for advanced integrated circuit structure fabrication
EP3493271A1 (en) Fin end plug structures for advanced integrated circuit structure fabrication
KR20230054642A (ko) 진보된 집적 회로 구조체 제조를 위한 에피택셜 소스 또는 드레인 구조체들
TWI817576B (zh) 用於先進積體電路結構製造之異質金屬線組成

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal