JP2017034261A - 印刷可能半導体素子を製造して組み立てるための方法及びデバイス - Google Patents

印刷可能半導体素子を製造して組み立てるための方法及びデバイス Download PDF

Info

Publication number
JP2017034261A
JP2017034261A JP2016172185A JP2016172185A JP2017034261A JP 2017034261 A JP2017034261 A JP 2017034261A JP 2016172185 A JP2016172185 A JP 2016172185A JP 2016172185 A JP2016172185 A JP 2016172185A JP 2017034261 A JP2017034261 A JP 2017034261A
Authority
JP
Japan
Prior art keywords
semiconductor element
printable
printable semiconductor
substrate
electrical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016172185A
Other languages
English (en)
Inventor
ラルフ, ジー. ヌッツォ,
G Nuzzo Ralph
ラルフ, ジー. ヌッツォ,
ジョン, エー. ロジャーズ,
A Rogers John
ジョン, エー. ロジャーズ,
エティエンヌ メナード,
Menard Etienne
エティエンヌ メナード,
ケオン ジェー リー,
Keon-Jae Lee
ケオン ジェー リー,
ダール‐ヤン カン,
Dahl-Young Khang
ダール‐ヤン カン,
ユギャン サン,
Yugang Sun
ユギャン サン,
マシュー メイトル,
Meitl Matthew
マシュー メイトル,
ツェンタオ ツウ,
Zhengtao Zhu
ツェンタオ ツウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Illinois
Original Assignee
University of Illinois
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Illinois filed Critical University of Illinois
Publication of JP2017034261A publication Critical patent/JP2017034261A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • H01L21/02628Liquid deposition using solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1292Multistep manufacturing methods using liquid deposition, e.g. printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03926Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate comprising a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • H01L31/1896Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates for thin-film semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of group III and group V of the periodic system
    • H01L33/32Materials of the light emitting region containing only elements of group III and group V of the periodic system containing nitrogen
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0183Selective deposition
    • B81C2201/0185Printing, e.g. microcontact printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/033Manufacturing methods by local deposition of the material of the bonding area
    • H01L2224/0331Manufacturing methods by local deposition of the material of the bonding area in liquid form
    • H01L2224/0332Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03614Physical or chemical etching by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05553Shape in top view being rectangular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8012Aligning
    • H01L2224/80121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/8085Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/80855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/80862Heat curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8312Aligning
    • H01L2224/83121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83193Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83862Heat curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00012Relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/0665Epoxy resin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12036PN diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12043Photo diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13063Metal-Semiconductor Field-Effect Transistor [MESFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15159Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15162Top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/1579Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/707Integrated with dissimilar structures on a common substrate having different types of nanoscale structures or devices on a common substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/724Devices having flexible or movable element

Abstract

【課題】印刷可能半導体素子を製造するとともに、印刷可能半導体素子を基板表面上に組み立てるための方法及びデバイスを提供する。
【解決手段】印刷可能半導体素子150の少なくとも一部は、エラストマー転写スタンプ等のコンフォーマブルな高分子転写デバイス175、高分子転写デバイス又は複合高分子転写デバイスの接触面170とコンフォーマル接触され、それにより、印刷可能半導体素子150の少なくとも一部が接触面150上に接着される。コンフォーマブルな転写デバイス175の接触面170上に堆積された印刷可能半導体素子150は、好ましくは接触面170と基板160の受け面との間でコンフォーマルな接触を成す態様で基板160の受け面と接触される。接触面170は、基板160の受け面と接触される印刷可能半導体素子150から分離され、それにより、印刷可能は半導体素子150が受け面上に組み立てられる。
【選択図】図1

Description

関連出願の相互参照
本出願は、この開示内容と矛盾しない範囲でその全体が参照として本明細書に組み込まれる2004年6月4日、2004年8月11日、2005年2月4日、2005年3月18日、2005年5月4日にそれぞれ出願された米国仮特許出願第60/577,077号、第60/601,061号、第60/650,305号、第60/663,391号、第60/677,617号の利益を主張する。
1994年における印刷された全ての高分子トランジスタの最初のデモンストレーション以来、プラスチック基板上にフレキシブル集積電子デバイスを備える可能性に満ちた新たなクラスの電子システムに多大な興味が向けられてきた[Garnier,F.,Hajlaoui,R.,Yassar,A.Srivastava,P.,Science,第265刊、1684〜1686頁]。最近、かなりの研究が、フレキシブルプラスチック電子デバイスにおける導体素子、誘電体素子、半導体素子のための新たな溶解処理可能な材料の開発へと向けられてきている。しかしながら、フレキシブルなエレクトロニクスの発達は、新たな溶解処理可能な材料の開発によってのみならず、新たなデバイス部品構成、効率的なデバイス及びデバイス部品処理方法、プラスチック基板に適用できる高分解能パターニング技術によっても促進される。このような材料、デバイス構成、製造方法が新たなクラスのフレキシブル集積電子デバイス、システム、回路の急速な出現において欠くことができない役割を果たすことが期待される。
フレキシブルなエレクトロニクスの分野における関心は、主に、この技術によって与えられる幾つかの重要な利点から起こっている。第1に、プラスチック基板材料の機械的な耐久性は、機械的な応力により引き起こされる損傷及び/又は電子的性能の低下を起こし難くする。第2に、これらの基板材料の固有の柔軟性により、これらの基板材料を、脆弱な従来のシリコン系電子デバイスでは不可能な多数の有用なデバイス構成を与える多くの形状へと統合することができる。例えば、湾曲可能なフレキシブルエレクトロニクスデバイスは、従来のシリコンに基づく技術では容易に達成されない電子ペーパー、装着型コンピュータ、大面積高分解能ディスプレイ等の新たなデバイスの製造を可能にすると期待される。最後に、溶解処理可能な部品材料とプラスチック基板とを組み合わせると、大きな基板面積にわたって低コストで電子デバイスを形成できる連続高速印刷技術による製造が可能となる。
しかしながら、良好な電子的性能を示すフレキシブル電子デバイスの設計及び製造は、多くの重大な難問を与える。第1に、従来のシリコン系電子デバイスを形成する良く発達した方法は、殆どのプラスチック材料に適合しない。例えば、単結晶シリコン又はゲルマニウム半導体等の従来の高品質な無機半導体部品は、一般に、殆どのプラスチック基板の融解温度又は分解温度を大幅に超える温度(>1000℃)で薄膜を成長させることにより処理される。また、殆どの無機半導体は、本来、溶解に基づく処理及び供給を可能にする従来の溶媒に溶け難い。第2に、多くのアモルファスシリコン、有機又は無機有機混成の半導体は、プラスチック基板への組み込みに適合しており、比較的低い温度で処理することができるが、これらの材料は、良好な電子的性能を得ることができる集積電子デバイスを提供できる電子的特性を有していない。例えば、これらの材料から成る半導体素子を有する薄膜トラジスタは、相補的な単結晶シリコン系デバイスよりも大きさが約3オーダー小さい電界効果移動度を示す。これらの限界の結果、フレキシブル電子デバイスは、現在、非放射ピクセルを有するアクティブマトリクスフラットパネルディスプレイのためのスイッチング素子や発光ダイオードでの使用等、高い性能を必要としない特定の用途に限られる。
最近、プラスチック基板上の集積電子デバイスの様々なエレクトロニクス用途への適用を拡大するべく、当該集積電子デバイスの電子的性能を伸ばすことにおいて進展が見られている。例えば、プラスチック基板材料における処理に適合し且つアモルファスシリコン、有機又は無機有機混成の半導体素子を有する薄膜トランジスタよりもかなり高いデバイス性能特性を示す幾つかの新しい薄膜トランジスタ(TFT)構造が出現した。1つの種類の高性能フレキシブル電子デバイスは、アモルファスシリコン薄膜のパルスレーザアニーリングにより製造される多結晶シリコン薄膜半導体素子に基づいている。この種のフレキシブル電子デバイスは高いデンバイス電子性能特性を与えるが、パルスレーザアニーリングの使用は、このようなデバイスの製造の容易性及び自由度を制限し、それにより、コストが大幅に増大してしまう。他の有望な新たな種類の高性能フレキシブル電子デバイスは、多数のマクロ電子デバイス及びマイクロ電子デバイスにおける能動機能素子としてナノワイヤ、ナノリボン、ナノ粒子、カーボンナノチューブ等の溶解処理可能なナノスケール材料を使用するデバイスである。
別個の単結晶ナノワイヤ又はナノリボンの使用は、高いデバイス性能特性を示すプラスチック基板上の印刷可能電子デバイスを設けることができる手段として評価されてきた。Duanらは、半導体チャンネルとしての複数の選択的に方向付けられた単結晶シリコンナノワイヤ又はCdSナノリボンを有する薄膜トランジスタ構成について記載している[Duan,X.,Niu,C.,Sahl,V.,Chen,J.,Parce,J.,Empedocles,S.,Goldman,J.,Nature,第425刊、274〜278頁]。著者は、150ナノメートル以下の厚さを有する単結晶シリコンナノワイヤ又はCdSナノリボンが、溶液中に分散されるとともに薄膜トランジスタにおける半導体素子を形成するために流れ方向付けアライメント方法を使用して基板の表面上に組み立てられる、プラスチック基板上での溶解処理に適合するとされる製造プロセスについて報告している。著者によって与えられる光学顕微鏡写真は、開示された製造プロセスが、ほぼ平行な方向で且つ約500ナノメートル〜約1000ナノメートルだけ互いに離間されたナノワイヤ又はナノリボンから成る単層を形成することを示唆している。著者は、個々のナノワイヤ又はナノリボンにおける比較的高い固有の電界効果移動度(≒119cm−1−1)について報告しているが、全体のデバイス電界効果移動度は、最近、Duanらにより報告された固有の電界効果移動度値よりも「大きさが約2オーダー低い」ものであると決定された[Mitzi,D.B,Kosbar,L.L,Murray,C.E.,Copel,M.Afzali,A.,Nature,第428刊、299〜303頁]。このデバイス電界効果移動度は、従来の単結晶無機薄膜トランジスタのデバイス電界効果移動度よりも数オーダー大きさが低く、恐らく、Duanらにおいて開示された方法及びデバイス構成を使用して別個のナノワイヤ又はナノリボンをアライメントし、密に詰め込み、電気的に接触させることの実際の難しさに起因している。
多結晶無機半導体薄膜に対する前駆物質としてナノ結晶溶液を使用することが、高いデバイス性能特性を示すプラスチック基板上の印刷可能電子デバイスを設けることができる手段として検討されてきた。Ridleyらは、電界効果トランジスタのための半導体素子を設けるために約2ナノメートルの寸法を有する溶液カドミウムセレナイドナノ結晶がプラスチック適合温度で処理される溶解処理製造方法について開示している。著者は、カドミウムセレナイドのナノ結晶溶液中での低温粒子成長が数百個のナノ結晶を取り囲む単結晶領域を形成する方法について報告している。Ridleyらは、有機半導体素子を有する匹敵するデバイスに対して向上された電気的特性を報告しているが、これらの技術によって得られるデバイス移動度(≒1cm−1−1)は、従来の単結晶無機薄膜トランジスタのデバイス電界効果移動度よりも数オーダー大きさが低い。Ridleyらのデバイス構成及び製造方法により達成される電界効果移動度における限界は、恐らく、個々のナノ粒子間で成される電気的な接触に起因している。特に、ナノ結晶溶液を安定化させ且つ凝集を防止するために有機末端基を使用すると、高いデバイス電界効果移動度を与えるために必要な隣り合うナノ粒子間の良好な電気接触の形成が妨げられる場合がある。
Duanら及びRidleyらは、プラスチック基板上に薄膜トランジスタを製造するための方法を提供しているが、本明細書に記載されたデバイス構成は、電極、半導体及び/又は誘電体等の機械的に硬質なデバイス部品を使用している。良好な機械的特性を有するプラスチック基板の選択は、屈曲方向又は歪曲方向で予備成形できる電子デバイスを与える場合がある。しかしながら、このような動きは、個々の硬質トランジスタデバイス部品上に機械的な歪みを形成することが予期される。この機械的な歪みは、例えばクラッキングにより個々の部品に損傷を引き起こす場合があるとともに、デバイス部品間の電気的な接触を低下させ或いは妨げる場合がある。
以上から分かるように、現在にあっては、プラスチック基板上に集積電子半導体含有デバイスを製造するための方法及びデバイス構造が技術的に必要である。プラスチック高分子基板上のアセンブリに適合する温度での効果的なデバイス製造を可能にするためには、良好な電気的特性を有する印刷可能半導体素子が必要とされる。また、大きな基板面積にわたる複合集積電気回路の連続高速印刷を可能にするためには、大面積プラスチック基板上に半導体材料を印刷する方法が必要とされる。最後に、様々な新たなフレキシブル電子デバイスを可能にするためには、屈曲された或いは歪曲されたデバイス方向で良好な電子的性能が得られる十分にフレキシブルな電子デバイスが必要とされる。
本発明は、プラスチック基板等の基板表面上に構造体及び/又は半導体を含む電子デバイス等のデバイスを製造するための方法、デバイス、デバイス部品を提供する。具体的には、本発明は、フレキシブルで低コストな印刷方法によって電子デバイス、光電子デバイス又は他の機能電子アセンブリを製造するための印刷可能半導体素子を提供する。本発明の目的は、一連の印刷技術により基板表面上に高精度に組み立てることができる、約数十ナノメートル〜約数十センチメートルの範囲の選択された物理的寸法を有する単一単結晶無機半導体等の半導体素子を製造するための方法及びデバイスを提供することである。本発明の他の目的は、大きな基板面積にわたって良好な配置精度及びパターン忠実度を与える乾式転写コンタクト印刷技術及び/又はソリューション印刷技術を使用して印刷可能半導体素子を組み立て及び/又はパターニングするための方法を提供することである。また、本発明の目的は、プラスチック基板によって支持される1つ以上の印刷可能半導体素子を備える良好な電子的性能の集積電子デバイス及び/又は光電子デバイス、特に、電界効果移動度、閾値電圧、on−off比等の良好な電子的性能特性を示す印刷可能半導体素子を有する十分にフレキシブルな薄膜トランジスタを提供することである。
1つの態様において、本発明は、印刷可能半導体素子等の1つ以上の印刷可能な部品を有する高性能電子及び/又は光電子デバイス又はデバイス部品を製造する方法を提供する。本発明の方法によって製造されてもよい電子デバイス及び光電子デバイスとしては、トランジスタ、ダイオード、発光ダイオード(LED)、レーザ、有機発光ダイオード(OLED)、マイクロ電子機械システム(MEMS)、ナノ電子機械システム(NEMS)が挙げられるが、これらに限定されない。特に、本発明は、印刷技術により半導体素子及び/又は他のデバイス部品を、従来の高温処理方法により製造される単結晶半導体系のデバイスに匹敵する性能特性を示す電子デバイス及び/又は光電子デバイス又はデバイス部品へと組み立てる方法を提供する。
プラスチック基板及び半導体基板等の低い融解温度又は分解温度を有する基板上におけるデバイス製造に役立つ本発明の一実施形態において、本発明の方法は、(1)1つ以上の別個の高品質な半導体素子を形成し、(2)これらの半導体素子と他のデバイス部品とを基板表面上に組み立て及び/又はパターニングするという独立に実行できる製造ステップを備えている。例えば、本発明は、高温(>1000℃)膜成長、ドーピング、他の処理技術等の従来の高温処理方法によって製造されるバルク単結晶無機半導体材料をマスキングしてエッチングすることにより独立の高品質の印刷可能な無機半導体が形成される方法を含んでいる。製造後、このような印刷可能な無機半導体は、比較的低い温度(<約400℃)で行なわれてもよい印刷技術により1つ以上の基板表面上に組み立てられる。独立に実行できる形成ステップ及びパターニング/組み立てステップを有する利点は、独立に実行可能な各製造ステップの効率、自由度、有用性を最適化する温度等の周囲条件及び周囲汚染レベル(すなわち、クリーンな室内条件が必要とされる)で各ステップを行なうことができるという点である。例えば、本方法によれば、高品質な単結晶半導体を形成するために必要な高温で半導体材料を製造することができる。しかしながら、半導体素子のパターニング及び/又は組み立ては、その後、プラスチック基板等の低い融解温度又は分解温度を有する基板上でのデバイス製造に有利な実質的に低い温度で行なわれてもよい。このようにして、著しい融解、分解又は損傷を基板表面に与えることなく幅広い範囲の基板表面上に高性能デバイスが製造されてもよい。半導体製造を半導体及び/又はデバイス組み立てから分離する他の利点は、クリーンな室内条件を必要とせず且つ大面積の基板上における連続的で高速なデバイス製造に適合する乾式転写印刷技術及びソリューション印刷技術等の幅広い範囲の低コストでフレキシブルな組立方法により、半導体素子を高性能デバイス及びデバイス部品へと集積できるという点である。本発明のこの態様において、本方法は、半導体ウエハ等の非プラスチック基板、例えばシリコンウエハ又はGaAsウエハ及びプラスチック基板を含むほぼ任意の材料を備える基板上での印刷に適合する。
他の態様において、本発明は、高性能電気デバイス及び光電子デバイス並びにデバイス部品へと集積するための印刷可能半導体素子を提供する。本発明において、用語「印刷可能」は、基板を高温(すなわち、約400℃以下の温度)に晒すことなく基板上又は基板中に転写でき、組み立てることができ、パターニングでき、組織化することができ及び/又は集積することができる材料、構造、デバイス、構成部品及び/又は集積機能デバイスに関連している。本発明の印刷可能半導体は、乾式転写コンタクト印刷方法及び/又はソリューション印刷方法により基板表面上に組み立て及び/又は集積することができる半導体構造を備えていてもよい。本発明の典型的な半導体素子は、単結晶シリコンウエハ、シリコンオンインシュレータウエハ、多結晶シリコンウエハ及びGaAsウエハを含むがこれらに限定されない様々な無機半導体材料の「トップダウン」処理によって製造されてもよい。高品質な半導体ウエハ、例えば従来の高温気相堆積処理技術を使用して形成される半導体ウエハから得られる印刷可能半導体素子は、良好な電子的性能を必要とする用途において有益である。これは、これらの材料が、ナノ結晶及びナノワイヤを形成するための従来の技術等の「ボトムアップ」処理技術を使用して作られる材料よりも良好な純度及び結晶化度合いを有しているからである。本発明の「トップ−ダウン」処理方法によって与えられる他の利点は、ナノワイヤ及びナノ粒子を製造するために一般に使用される「ボトム−アップ」処理方法とは異なり、印刷可能半導体素子及び印刷可能半導体素子の配列を明確な方向及びパターンで製造できるという点である。例えば、半導体素子は、トランジスタ配列又はダイオード配列等の機能デバイス又は機能デバイスの配列におけるこれらの素子の最終的な位置及び空間的方向に直接に対応する位置及び空間的方向を有する配列で製造されてもよい。
印刷可能半導体素子は、リボン(又はストリップ)、ディスク、板状体、ブロック、柱、円筒又はこれらの形状の任意の組み合わせ等の様々な形状を有する単一の単結晶無機半導体構造を備えていてもよい。本発明の印刷可能半導体素子は、幅広い範囲の物理的寸法、例えば、約10ナノメートル〜約100ミクロンの範囲の厚さ、約50ナノメートル〜約1ミリメートルの範囲の幅、約1ミクロン〜約1ミリメートルの範囲の長さを有していてもよい。ある用途においては、約10ナノメートルを越える厚さ及び約500ナノメートルを越える幅を有する半導体素子を使用することが好ましい。これは、これらの寸法が良好な電子的性能を示す電子デバイスを与えることができるからである。例えば、薄膜トランジスタは、約100cm−1−1以上、好ましくは約300cm−1−1以上、更に好ましくは約800cm−1−1以上のデバイス電界効果移動度を有している。また、様々な印刷技術により良好な配置精度及びパターン忠実度をもって約10ナノメートルを越える幅を有する半導体素子を基板上に組み立てることができる。
また、本発明の印刷可能半導体素子には、印刷可能半導体素子を半導体ウエハ等のマザー基板に対して機械的に接続するアライメント維持要素が設けられていてもよい。アライメント維持要素は、転写、組み立て及び/又は集積処理ステップ中に印刷可能半導体素子の選択された方向及び/又は位置を維持するために役立つ。また、アライメント維持要素は、転写、組み立て及び/又は集積処理ステップ中に半導体素子の選択されたパターンを規定する複数の半導体素子の相対的な位置及び方向を維持するために役立つ。本発明の方法において、アライメント維持要素は、コンフォーマブルな転写デバイスの接触面に対する印刷可能半導体素子の接触(結合)中に、選択された位置及び方向を保つ。本発明のこの態様において有益なアライメント維持要素は、コンフォーマブルな転写デバイスの移動時に、印刷可能半導体素子の選択された位置及び方向を大きく変えることなく、印刷可能半導体素子から離脱することができる。この離脱は、一般に、転写デバイスの移動中におけるアライメント維持要素の破壊又は解放により達成される。
本発明の1つの実施形態において、印刷可能半導体素子は、幅広い端部及び狭い中心領域によって特徴付けられるピーナッツ形状を有している。この実施形態において、アライメント維持要素は、幅広い端部の下側での不完全な等方性エッチング及び中心領域の下側での完全な等方性エッチングにより設けられる。この処理により、半導体素子は、半導体素子の各端部に対応する2つの点でマザー基板に対して接続される。他の実施形態において、印刷可能半導体素子は、中心長手方向軸に沿って延びるリボン形状を成している。この実施形態において、アライメント維持要素は、長手方向軸に沿うリボンの両端部をマザー基板に対して接続する。各実施形態においては、転写デバイスの接触面に対するリボン形状又はピーナッツ形状の半導体素子の結合及び転写デバイスの移動により、両方のアライメント維持要素が破壊されるとともに、印刷可能半導体素子がマザー基板から解放される。
本発明の印刷可能半導体素子は、独立に選択可能な物理的寸法、例えば高精度をもって選択できる幅、高さ、厚さ、表面粗さ、平坦度を有している。典型的な実施形態において、印刷可能半導体素子の物理的寸法は、約5%未満内となるように選択されてもよい。非常に均一な選択された物理的寸法を有する多数の印刷可能半導体素子は、本発明の方法を使用して製造されてもよい。典型的な実施形態では、変化が約1%未満の物理的寸法を有する多数の印刷可能半導体素子を製造することができる。したがって、本発明は、ナノワイヤを形成する従来の方法とは異なり、大きなサイズ及び形状の分布を伴わない印刷可能半導体素子を提供する。この手法の大きな利点は、半導体素子のサイズ及び形状のばらつきを許容するために本発明の印刷可能半導体素子を組み込む構造及びデバイスを形成する必要がないという点である。幾つかの実施形態において、本発明の印刷可能半導体素子は、非常に低い表面粗さ、例えば約0.5ナノメートル2乗平均平方根を下回る表面粗さを有している。本発明の印刷可能半導体素子は、1つ以上の平坦な表面を有していてもよい。この構造は幾つかのデバイス製造用途において有益である。なぜなら、平坦面は、導電、半導体及び/又は誘電デバイス部品等の他のデバイス部品との界面を形成するのに役立つからである。
また、本方法及び合成物は、高品質な半導体材料を備える印刷可能半導体素子を与える。高性能電気デバイスを製造するのに役立つ幾つかの実施形態において、印刷可能半導体素子は、高温処理技術により製造された従来の半導体ウエハ材料の約1000以下のファクタの純度を有している。例えば、本発明は、100万個の原子当たり約5〜25未満の酸素不純物、100万個の原子当たり約1〜5未満の炭素不純物、100万個の原子当たり(ppma)約1以下、好ましくは幾つかの用途では10億個の原子当たり(ppba)約100以下、更に好ましくは幾つかの用途では10億個の原子当たり(ppba)約1以下の重金属不純物を有する高純度半導体素子を提供する。半導体材料中の重金属の存在がそれらの電気的特性を大幅に低下させる可能性があるため、低レベル(例えば100万個の原子当たり約1未満)の重金属不純物を有する印刷可能半導体素子は、良好な電子的性能を必要とする用途及びデバイスにおいて有益である。
また、本発明の幾つかの態様の印刷可能半導体素子は、非常に低い抵抗率勾配、例えばそれらの面積にわたって約5%〜10%未満の変動を有している。本発明のこの態様は、ナノワイヤ及びナノ結晶材料などの「ボトムアップ」処理技術から得られる従来の半導体材料に関してドーピング均一性を高めた。また、本発明の印刷可能半導体素子は、非常に低い転位、例えば平方センチメートル当たり500未満の転位を示す半導体材料を備えていてもよい。高品質の半導体材料を備える半導体素子の使用は、良好な電子的性能を必要とするデバイス製造用途において有益である。
また、本方法及び合成物は、極めて均一な組成を有する印刷可能半導体素子を提供する。この場合、均一な組成とは、純度、ドーパント濃度、ドーパントの空間的分布、結晶化の程度に関する個々の均一性のことである。本発明の印刷可能半導体素子の組成に関する高い純度及び良好な均一性は、ナノワイヤ及びナノ結晶材料等の「ボトムアップ」処理技術から得られる従来の半導体材料から製造されたデバイスに対して高い信頼性を示す機能デバイスを提供する。
本発明の印刷可能半導体素子は、好ましくは10ナノメートル未満の平均表面位置からの偏りを示す、更に好ましくは幾つかの用途において1オングストローム未満の平均表面位置からの偏りを示すマイクロリボンの上面又は下面等の少なくとも1つの滑らかな表面を有していることが好ましい。本発明の印刷可能半導体素子の滑らかな表面により、集積電子デバイス又は光電子デバイス中の他のデバイス部品との効果的な電気的接触及び/又は物理的な集積を確立することができる。
或いは、本発明の印刷可能半導体素子は、誘電体構造、導電構造(例えば電極)、更なる半導体構造又はこれらの任意の組み合わせ等の1つ以上の更なる構造に対して動作可能に接続された半導体構造を有する複合半導体素子を備えていてもよい。印刷可能複合半導体素子は、複合電子デバイス又は光電子デバイスへと容易に且つ効果的に集積可能な材料及びデバイス部品を与える。また、本発明の組立方法により、隣り合う素子が互いに近接し、例えば互いに100ナノメートル〜1ミクロンの範囲内まで近接するような幾何学的な配列形態で印刷可能半導体素子を設けることができる。例えば、本発明の印刷可能半導体素子は、シリコン酸化物層等の無機誘電体構造に対して動作可能に接続された単結晶無機半導体等の高品質な半導体構造を有する単一構造を備えている。本発明のこの実施形態は、半導体及び誘電体部品を単一の処理ステップで組み立てることができるため、また、半導体及び誘電体部品の両方を備える単一構造の使用によりゲート電極から半導体素子又はソース及びドレイン電極への電流漏れ非常に低い絶縁体構造が得られるため、高性能の薄膜トランジスタの製造において特に有益である。他の実施形態において、本発明の印刷可能半導体素子は、基板表面上に容易に組み込むことができるダイオード、LED、トランジスタ、OLED等の集積機能デバイスを備えていてもよい。
本発明の方法及び構成は、ナノワイヤ及びナノ結晶等の「ボトムアップ」処理技術によって形成される半導体材料に基づくデバイスに関して高い信頼性を示す機能デバイスを製造できる処理プラットフォームを与える。この場合、信頼性とは、長い動作期間にわたって良好な電子的性能を示すことができる機能デバイスの能力のことであり、また、本方法及び構成を使用して製造されたデバイス全体の電気的特性に関する個々の均一性のことである。例えば、本発明のデバイスは、非常に均一な閾値電圧(例えば0.08V未満の標準偏差)及び非常に均一なデバイス移動度(約13%未満の標準偏差)を示す。これは、ナノワイヤ系のデバイスにわたる約40ファクタ及び約8ファクタの閾値電圧及びデバイス移動度のそれぞれの均一性の向上を表わしている。本発明の機能デバスの抜群の信頼性は、少なくとも部分的には、本発明の印刷可能半導体素子を使用して得られる組成及び物理的寸法の高度の均一性によって与えられる。
他の態様において、本発明は、第1の電極と、第2の電極と、上記第1及び第2の電極と電気的に接触した状態で位置される印刷可能半導体素子とを備える電気デバイスを提供する。良好な電気デバイス性能を必要とする用途において有益な1つの実施形態において、印刷可能半導体素子は、約20%以上、好ましくは幾つかの用途において約50%以上、更に好ましくは幾つかの用途において約80%以上の上記第1及び第2の電極間の充填比を与える物理的寸法及び形状を有する単一無機半導体構造を備えている。場合によって、この実施形態の電気デバイスは、更なる印刷可能半導体素子、例えば略長手方向に向けられ、場合によっては互いに物理的に接触しない印刷可能素子を更に備えていてもよい。重要なことには、本発明の複数の印刷可能半導体素子は、密に詰め込まれたナノワイヤ配列を含むシステムとは異なり、大きな充填比(例えば20%、50%、80%以上)及び良好な電子的性能を与える態様でデバイス又はデバイス配列内に構成されてもよい。1つの実施形態において、印刷可能半導体素子は、約500ナノメートル以上の少なくとも1つの断面寸法を有している。1つの実施形態において、印刷可能半導体素子は、幅に対する長さの比が約10以下であり、好ましくは幾つかの用途において約1.5以下である。1つの実施形態において、印刷可能半導体素子は、幅に対する厚さの比が約0.1以下であり、好ましくは幾つかの用途において約0.01以下である。
本発明のこの態様は、第1の電極と、第2の電極と、第1及び第2の電極と電気的に接触した状態で位置される複数の印刷可能半導体素子とを備える、トランジスタ、ダイオード、光起電デバイス、発光デバイス等の電気デバイスの配列を更に備えている。1つの実施形態において、電気デバイスの配列は、20個を超える印刷可能半導体素子、好ましくは幾つかの用途においては50個を越える印刷可能半導体素子、更に好ましくは幾つかの用途において100個を越える印刷可能半導体素子を備えている。良好な電気デバイス性能を必要とする用途において有益な1つの実施形態において、印刷可能半導体素子は、約20%以上、好ましくは幾つかの用途において約50%以上、更に好ましくは幾つかの用途において約80%以上の上記第1及び第2の電極間の充填比を与える。印刷可能半導体素子は、選択されたアライメント軸、例えば上記第1及び第2の電気接点の最も近い点同士を接続する軸に沿って延びる選択されたアライメント軸に対してほぼ長手方向に向けられてもよい。1つの実施形態において、印刷可能半導体素子の相対的な位置及び方向は、約5ミクロン以下の範囲内となるように選択される。半導体素子の良好な端部相互間の位置合わせ精度を与える1つの実施形態において、上記各印刷可能半導体素子は、所定の長さにわたって延びるとともに、第1及び第2の端部で終端する。この実施形態において、上記印刷可能半導体素子の第1の端部は第1の電極から5ミクロンの範囲内に位置され、上記印刷可能半導体素子の第2の端部は上記第2の電極から5ミクロンの範囲内に位置される。1つの実施形態において、本発明の電気デバイスの配列は複数の印刷可能半導体素子を備えており、これらの印刷可能半導体素子は、それらがほぼ長手方向に向けられ、互いに物理的に接触せず(すなわち、重なり合わず)、第1及び第2の電極と電気的に接触するような形態で設けられている。1つの実施形態において、電気デバイスの配列中の印刷可能半導体素子の少なくとも1つの物理的寸法、例えば平均長、平均幅及び/又は平均厚さは、約10%未満、好ましくは幾つかの用途において約5%未満しか変化しない。この実施形態において、配列中の印刷可能半導体素子は、互いに大きく(すなわち、約10%未満)変化しない選択された物理的寸法、例えば平均長、平均幅及び/又は平均厚さを有している。
他の態様において、本発明は、印刷可能半導体素子を有するトランジスタを提供する。1つの実施形態において、本発明のトランジスタは、ソース電極と、印刷可能半導体素子と、ゲイン電極と、ゲート電極とを備えている。この構成において、ソース電極及びゲイン電極はいずれも、印刷可能半導体素子と電気的に接触するとともに、印刷可能半導体素子によって分離され、また、ゲート電極は、誘電体により印刷可能半導体素子から分離される。印刷可能半導体素子は、約50ナノメートル以上、好ましくは幾つかの用途においては100ナノメートル以上、更に好ましくは幾つかの用途においては200ナノメートル以上の厚さを有する単一結晶無機半導体構造を備えていてもよい。また、本発明は、ソース電極及びドレイン電極と接触する複数の印刷可能半導体素子を有するトランジスタを含んでいる。単一のトランジスタ内での複数の印刷可能半導体素子の使用は、電界効果トランジスタ中のソース電極、ドレイン電極、ゲート電極、誘電体等の様々なデバイス部品における全体の位置精度許容誤差を減少させるため、幾つかの用途においては有益である。また、本発明は、印刷可能半導体素子が伸縮可能な印刷可能半導体素子である実施形態を含んでいる。本発明のトランジスタ中での1つ以上の伸縮可能な印刷可能半導体素子の使用は、屈曲された、伸張された、或いは、変形されたデバイス方向で良好なデバイス性能及び機械的耐久性を与えるため有益である。
他の実施形態において、本発明は、ポリイミド、ポリカーボネート又はマイラー基板等のプラスチック基板により支持され及び/又は当該プラスチック基板と物理的に接触される高性能トランジスタを提供する。本発明のこの実施形態のトランジスタは、シリコン又はゲルマニウム等の単結晶無機半導体構造を備える印刷可能半導体素子を有していてもよい。このようなデバイス構造は、電界効果移動度、閾値電圧、スイッチング周波数、on−off比等の良好なデバイス性能特性を示す。典型的な実施形態において、プラスチック基板上の薄膜トランジスタは、従来の高温処理方法により製造される結晶半導体を備える半導体素子を有するトランジスタのデバイス電界効果移動度に相当するデバイス電界効果移動度、例えば300cm−1−1以上、更に好ましくは800cm−1−1以上のデバイス電界効果移動度を有している。他の実施形態において、本発明は、最大約280MHzの周波数での動作等、高周波動作が可能な単結晶シリコン印刷可能半導体素子を有するSi−MOSトランジスタを提供する。
他の実施形態において、本発明は、印刷可能半導体素子を備える相補型金属酸化物半導体回路を提供する。例えば、2つの高濃度P(又はN)型ドープ領域間に低濃度N(又はP)型ドープ領域を有する印刷可能半導体素子は、CMOS回路を形成するために使用される。この能力は、CMOS技術がNMOS技術よりもかなり少ないワット損を有しているため、低い電力消費量を要する用途において特に興味深い。また、CMOS技術が静的なワット損を有していないため、この技術はバッテリ動作の電子システムに特に良く適する。最後に、CMOS技術を使用する回路構成は、通常、任意の優れた半導体技術よりもコンパクトであり、そのため、単位表面積当たりに多くのデバイスを集積することができる。
1つの実施形態において、本発明のこの態様のトランジスタの誘電体及び半導体部品は、単一複合印刷可能半導体素子を備えていてもよい。或いは、本発明のこの態様のトランジスタの誘電体、ゲート電極、半導体素子は、単一複合印刷可能半導体素子を備えていてもよい。集積された半導体及び絶縁体構造を有する複合印刷可能半導体素子の使用は、非常に漏れが低い非常に高品質な誘電体−半導体界面を薄膜トランジスタにおいて与えることができるため、幾つかの用途においては好ましい。また、集積された半導体及び絶縁体構造を有する複合印刷可能半導体素子の使用により、薄膜トランジスタ中に誘電体層を集積するためのスピンキャスティングステップを必要とすることなく、デバイス部品が効率的に組み立てられる。
他の実施形態において、本発明は、破壊されることなく大きな歪みに耐えることができる伸縮可能な半導体素子を提供する。本発明の伸縮可能な半導体導体素子は、著しい歪み、例えば約0.5%以上、好ましくは1%以上、更に好ましくは2%以上の歪みを受けるときであっても、良好な電子的性能を示すことができる。好ましくは幾つかの用途において本発明の伸縮可能な半導体素子は、フレキシブルであり、したがって1つ以上の軸に沿って大きく伸張させ、屈曲させ、湾曲させ、或いは、変形させることができる。また、フレキシブルである伸縮可能な半導体は、屈曲状態、伸張状態、収縮状態、湾曲状態及び/又は変形状態のときに良好な電子的性能を示すことができる。本発明の伸縮可能で且つフレキシブルな半導体素子は、印刷可能であってもよく、また、誘電体、電極及び他の半導体等の他のデバイス部品に対して動作可能に接続された半導体構造を有する複合半導体素子を備えていてもよい。本発明は、トランジスタ、ダイオード、LEDS、OLEDS、レーザ、マイクロ電子機械デバイス及びナノ電子機械デバイス等の伸縮可能及び/又はフレキシブルな半導体素子を有する様々な電子デバイス及び/又は光電子デバイスを含んでいる。
本発明の伸縮可能な半導体素子は、支持面を有するフレキシブル基板と、湾曲した内面を有する印刷可能半導体構造とを備えている。この実施形態において、半導体構造の湾曲した内面の少なくとも一部は、フレキシブル基板の支持面に対して結合される。本発明において有益な湾曲した内面を有する典型的な半導体構造は、湾曲半導体構造を備えている。この説明において、「湾曲半導体構造」とは、力を加えることにより生じる湾曲形態を有する半導体構造のことである。湾曲半導体構造は1つ以上の折り曲げ領域を有していてもよい。湾曲半導体構造は、コイル状の形態又は皺が寄った形態で存在していてもよい。湾曲した内面を有する半導体構造、例えば湾曲半導体構造は、歪み、例えば約30%未満の歪み、約10%未満の歪み、又は、1%未満の歪みを受ける形態でフレキシブル基板に対して結合されてもよい。
本発明の伸縮可能な半導体の湾曲した内面は、少なくとも1つの凸領域、少なくとも1つの凹領域、又は、少なくとも1つの凸領域と少なくとも1つの凹領域との組み合わせにより特徴付けられる輪郭形状を含むがこれらに限定されない、伸縮性又は柔軟性を与える任意の輪郭形状を有していてもよい。一実施形態において、伸縮可能及び/又はフレキシブルな半導体素子の湾曲した内面は、ほぼ周期的な波又はほぼ非周期的な波によって特徴付けられる輪郭形状を有している。この説明において、周期的又は非周期的な波は、正弦波、方形波、Aries関数、ガウス波、ローレンツ波、又は、これらの任意の組み合わせを含むがこれらに限定されない2次元又は3次元の波形であってもよい。例えば、本発明の伸縮可能で且つフレキシブルな半導体素子は、リボンの長さに沿って延びるほぼ周期的な波によって特徴付けられる輪郭形状を有する湾曲した内面を持つ湾曲半導体リボンを備えている。この実施形態の伸縮可能で且つフレキシブルな半導体素子は、リボンの長さに沿って延びる軸に沿って伸張することができ或いは収縮することができてもよく、また、1つ以上の他の軸に沿って湾曲でき或いは変形できてもよい。
本発明のこの実施形態における半導体構造の輪郭形状は、機械的に応力が加えられるとき或いは力が半導体素子に対して加えられるときに変化してもよい。したがって、典型的な半導体構造の輪郭形状を変えることができることで、著しい機械的損傷、破壊又は電気的性能の大幅な低下を伴うことなく半導体構造を拡張させ、収縮させ、屈曲させ、変形させ及び/又は湾曲させることができてもよい。半導体構造の湾曲した内面は、支持面に対して連続的に結合され(すなわち、湾曲した内面に沿うほぼ全ての点で結合され)てもよい。或いは、半導体構造の湾曲した内面が支持面に対して不連続的に結合されてもよい。この場合、湾曲した内面は、湾曲した内面に沿う選択された点で支持面に対して結合される。
また、本発明は、印刷可能半導体構造と例えば電気接点、電極、導電層、誘電体層、更なる半導体層(例えばドープ層、P−N接合等)等の更なる集積デバイス部品との組み合わせを備える伸縮可能な電子デバイス及び/又はデバイス部品を含んでおり、これらの全ては、フレキシブル基板の支持表面によって支持される湾曲した内面を有している。更なる集積デバイス部品の湾曲した内面形状により、大きな歪みを受ける場合でも良好な電子的性能を示し、例えば、伸張形態又は湾曲形態で半導体素子において良好な導電率及び絶縁を維持する。本発明のこの態様における更なる集積デバイス部品は、前述したように、湾曲形態、例えばコイル状形態又は皺の寄った形態等を成していてもよく、また、伸縮可能な半導体素子を製造するために使用される技術と同様の技術を使用して製造されてもよい。例えば、1つの実施形態において、伸縮可能な半導体素子を含む伸縮可能なデバイス部品は、独立に製造され、その後に互いに接続される。或いは、半導体を含むデバイスが平面形態で製造されてもよく、その結果として得られる平面デバイスは、その後、デバイス部品の全て又は一部に対して湾曲した内面を与えるように処理される。
本発明の印刷可能半導体素子は、様々なデバイス環境及び構成において有益な高い特性、例えば高い機械的特性、電気的特性、磁気特性及び/又は光学特性を示すヘテロ半導体素子を備えていてもよい。ヘテロ半導体素子は、1つ以上の添加物と組み合わされた半導体を備える複合構造である。この説明において、添加物は、それらが組み込まれる半導体とは異なる元素、分子、複合体、集合体及びその粒子を備えており、例えば、添加物は、異なる化学的組成及び/又は物理的状態(例えば、結晶状態、半結晶状態又はアモルファ状態)を有している。本発明のこの態様で有益な添加物としては、他の半導体材料、N型ドーパント、P型ドーパント、例えばヒ素、ボロン、アンチモン、構造的なエンハンサー、誘電体材料、導電材料が挙げられる。本発明のヘテロ半導体素子は、空間的に均一な組成を有する構造、例えば均一にドーピングされた半導体構造を含んでおり、また、空間的に不均一な組成を有する構造、例えば1つ、2つ、又は、3つの大きさで空間的に変化する濃度のドーパントを有する半導体構造(すなわち、半導体素子中のドーパント分布が空間的に不均一)を含んでいる。
他の態様において、ヘテロ半導体素子は、更なる集積機能デバイス部品、例えば誘電体層、電極、電気接点、ドープ接点層、P−N接合、更なる半導体層、電荷閉じ込めのための集積多層積層体を有する半導体構造を備えている。本発明のこの態様の更なる集積機能デバイス部品は、半導体含有構造及び非半導体含有構造の両方を含んでいる。1つの実施形態において、ヘテロ半導体素子は、トランジスタ、ダイオード又は太陽電池等の機能デバイス、又は、基板材料上に効果的にパターニングでき、組み立てることができ、及び/又は、相互に接続できる多要素機能デバイス部品とを備えている。
印刷可能なヘテロ半導体素子を使用すると、本発明の製造方法において特定の利益が得られる。第1に、本発明の「トップダウン」処理手法により、(i)半導体素子の空間的寸法を規定し、(ii)基板上に又は機能デバイス中に半導体素子を組み立てるというその後の製造ステップとは別個の製造ステップにおいて、ほぼ任意のタイプの半導体処理、例えば空間的に制御されたドーピングを行なうことができる。本発明の方法においてデバイス及びデバイス部品の組み立て及び相互接続から半導体処理を分離することにより、明確な濃度及び空間的ドーパント分布を伴うドープ領域と高い純度を示す集積された半導体多層積層体とを有する単結晶半導体を含む非常に高品質な半導体含有材料を形成するのに有益な様々な条件下で半導体の処理を実行することができる。例えば、半導体処理とデバイス部品組み立てとを分離すると、高温で且つ不純物のレベルが高度に制御された条件下で半導体処理を行なうことができる。第2に、複数の集積デバイス部品及び/又は機能デバイスを備えるヘテロ半導体素子を使用すると、機能デバス及び機能デバイス配列の効率的で高スループットな印刷を商業的に有利な方法で行なうことができる。例えば、複数の相互に接続されたデバイス部品を備えるヘテロ半導体素子を使用する本発明のデバイス製造方法は、正味の製造ステップ数を減少させ及び/又は幾つかのデバイスの製造に関与するコストを低減する。
他の態様において、本発明は、乾式転写コンタクト印刷技術又はソリューション印刷技術を含む様々な印刷方法により印刷可能半導体素子を基板上に又は基板中に組み立て、位置決めし、組織化し、転写し、パターニングし、及び/又は、集積する方法を提供する。本発明の印刷方法は、半導体素子の電気的特性及び/又は機械的特性に対して実質的に影響を及ぼさない態様で1つ以上の半導体素子を基板上に又は基板中に集積することができる。また、本発明の印刷方法は、基板の選択された領域上に又は当該領域中に選択された空間的方向で半導体素子を組み立てることができる。更に、本発明の印刷方法は、選択されたデバイス部品間に良好な導電率を確立し、選択されたデバイス部品間に良好な絶縁を確立し、及び/又は、デバイス部品間に良好な空間的アライメント及び相対的な位置決めを確立することにより、高性能な電子デバイス及び光電子デバイスを設ける態様で半導体素子及び他のデバイス部品を基板中及び/又は基板上に集積することができる。
本発明の1つの実施形態において、半導体素子は、乾式転写コンタクト印刷方法、例えばソフトリソグラフィマイクロ転写方法又はナノ転写方法により基板表面上に組み立てられる。1つの方法において、1つ以上の印刷可能半導体素子は、1つ以上の接触面を有するコンフォーマブルな転写デバイスと接触される。接触面と印刷可能半導体素子との間で成された接触は、半導体素子を接触面に対して結合し或いは接触面に対して関連付けられる。場合によっては、これらの素子の結合又は関連付けを容易にするため、接触面と印刷可能半導体素子との間にコンフォーマル接触が達成される。接触面上に配置された半導体素子の少なくとも一部は、その後、基板の受け面と接触される。場合によっては、コンフォーマブルな転写デバイスも、その上に半導体素子が配置された接触面と受け面の少なくとも一部との間にコンフォーマル接触を形成する。コンフォーマブルな転写デバイスの接触面と半導体素子との分離により半導体素子が受け面上に転写され、それにより、基板の受け面上に半導体素子が組み立てられる。デバイス製造用途において好ましい実施形態では、選択された領域で且つ選択された空間的方向で基板上に印刷可能半導体素子が位置決めされ及び/又は集積される。場合によっては、基板の大面積の受け面上でパターニングを行なうため、転写プロセスが複数回繰り返される。この実施形態において、印刷可能半導体素子を有する転写スタンプは、連続する各パターニングステップ毎に、受け面の異なる領域と接触される。このように、非常に大面積の受け面は、単一のマザーウエハから得られる半導体素子を有するパターンであってもよい。
本発明において乾式転写コンタクト印刷方法を使用する利点は、パターンを規定する半導体素子の選択された空間的方向を維持する態様で印刷可能半導体素子のパターンを基板表面上に転写して組み立てることができるという点である。本発明のこの態様は、選択されたデバイス構造又はデバイス構造の配列に直接に対応する明確な位置及び相対的な空間的方向で複数の印刷可能半導体素子が製造される用途において特に有益である。本発明の転写印刷方法は、印刷可能半導体素子及び/又はトランジスタ、光導波管、マイクロ電子機械システム、ナノ電子機械システム、レーザダイオード、完全に形成された回路を含むがこれらに限定されない印刷可能な半導体を含む機能デバイスを転写し、位置決めし、組み立てることができる。
他の実施形態において、本発明は、設けられた印刷可能な半導体の全てではなく一部が基板上に又は基板中に転写されて組み立てられる選択転写・組立方法を提供する。この実施形態において、コンフォーマブルな転写デバイスは、設けられた特定の印刷可能半導体素子に対して選択的に結合することができる。例えば、コンフォーマブルな転写デバイスは、凹領域及びレリーフ特徴形態を有するその外面上に、選択された3次元レリーフパターンを有していてもよい。この実施形態において、凹領域及びレリーフ特徴形態は、選択された印刷可能半導体素子だけがレリーフパターンにより与えられる1つ以上の接触面により接触され、その後、当該印刷可能半導体素子が基板表面上に転写されて組み立てられるように、位置決めされてもよい。或いは、コンフォーマブルな転写デバイスは、例えば接触面から延びる水酸基を有する化学的に改質された領域及び/又は1つ以上の接着表面コーティングを有する領域等の選択されたパターンの結合領域を有する1つの接触面又は複数の接触面を有していてもよい。この実施形態においては、接触面上の結合領域と接触する半導体素子だけが、転写デバイスに対して結合され、その後、基板表面上に転写されて組み立てられる。本発明の選択転写・組立方法の利点は、位置及び空間的方向の第1のセットにより特徴付けられる印刷可能半導体素子の第1のパターンを、第1のパターンとは異なり且つ選択されたデバイス構造又はデバイス構造の配列に対応する位置及び空間的方向の第2のセットにより特徴付けられる印刷可能半導体素子の第2のパターンを形成するために使用できるという点である。
本発明の典型的なコンフォーマブル転写デバイスは、エラストマー転写スタンプ又は複合多層パターニングデバイス等の乾式転写スタンプを備えている。本発明において役立つコンフォーマブルな転写デバイスは、その全体が参照として本明細書に組み込まれる2005年4月27日に米国特許商標局に出願された“Composite Patterning Devices for Soft Lithography”と題された米国特許出願第11/115,954号に記載されるような複数の高分子層を備えるパターニングデバイスを含んでいる。本発明の方法において使用できる典型的なパターニングデバイスは、低いヤング率を有する高分子層、例えばポリ(ジメチルシロキサン)(PDMS)層、好ましくは幾つかの用途において約1ミクロン〜約100ミクロンの範囲から選択される厚さを有する高分子層を備えている。ヤング率が低い高分子の使用は、1つ以上の印刷可能半導体素子、特に湾曲した露出面、粗い露出面、平坦な露出面、滑らかな露出面及び/又は輪郭を成す露出面を有する印刷可能半導体素子との良好なコンフォーマル接触を形成することができ且つ湾曲した基板表面、粗い基板表面、平坦な基板表面、滑らかな基板表面及び/又は輪郭を成す基板表面等の様々な表面形態を有する基板表面との良好なコンフォーマル接触を形成できる転写デバイスを提供するため、有益である。
場合によっては、本発明の転写デバイスは、内面と反対側の外面を有し且つヤング率が高い第2の層、例えばヤング率が高い高分子層、セラミック層、ガラス層又は金属層を更に備えていてもよい。この実施形態において、第1の高分子層の内面及び第2の高弾性率層の内面は、第2の高弾性率層の外面に対して加えられる力が第1の高分子層に対して伝えられるように配置される。本発明の転写デバイスにおける高弾性率の第2の高分子層(又はバッキング層)の使用は、良好な結合特性、転写特性、組み立て特性を与えることができる十分な正味の曲げ剛性を有する転写デバイスを提供するため、有益である。例えば、約1×10−7Nm〜約1×10−5Nmの範囲から選択される正味の曲げ剛性を有する転写デバイスを使用すると、半導体素子及び/又は基板表面とコンフォーマル接触を確立する際に接触面に対して結合される他の構造の位置の歪みが最小限に抑えられる。また、高弾性率の硬質なバッキング層の使用は、例えば印刷可能な半導体層のクラッキングを防止することにより転写中の印刷可能半導体素子の劣化を防止するのに有益である。この属性により、高い配置精度及び良好なパターン忠実度を示す印刷可能半導体素子を組み立てる方法及びデバイスが得られる。その全体が参照として本明細書に組み込まれる2005年4月27日に米国特許商標局に出願された“Composite Patterning Devices for Soft Lithography”と題された米国特許出願第11/115,954号に教示されるように、本発明の転写デバイスは、容易な処理、メンテナンス、良好な熱特性を与え且つ転写デバイスに加えられる力の均一な分布を接触面全体に対して与える高分子層を含む更なる層を備えていてもよい。
他の手法においては、転写をガイドするために「軟接着」の原理が使用される。ここで、転写素子上の表面材料の粘弾性は、剥離率によって決まる剥離力(すなわち、表面から対象物を除去できる力)を引き起こす。高い剥離率において、この力は、転写素子の静的表面エネルギが基板のそれより低い場合であっても対象物を基板から除去してそれらを転写素子上に転写できる程度に十分大きい。低い剥離率では、この力は低い。幾つかの実施形態では、対象物の配列を支持する転写素子を最終的な基板に対して接触させることにより、その後、素子をゆっくりと剥離させると、これらの対象物が転写素子から基板へと転写される。制御された剥離率を使用する本発明のこの手法は、本明細書で説明した他の転写手法と組み合わせて使用することができる。
本発明の転写デバイスは、単一の連続する接触面又は複数の不連続な接触面を有していてもよい。本発明の転写デバイスの接触面は、選択された物理的寸法を有するレリーフ特徴形態及び凹領域を有する選択された3次元寸法レリーフパターンにより規定されてもよい。本発明において有用な接触面は、ファンデルワールス力、共有結合、接着層、化学的に改質された領域、例えばその表面上に水酸基が配置された領域、双極子間力、又は、これらの組み合わせにより印刷可能半導体素子を結合できてもよい。本発明の転写デバイスは、任意の面積を持つ接触面を有していてもよい。
接触面から基板表面中又は基板表面上への印刷可能半導体素子の転写を容易にするために多くの方法が使用されてもよい。典型的な実施形態において、基板表面と接触面との表面エネルギの違いは、基板表面への転写を促進させる。例えば、転写は、表面エネルギが低いPDMS層から成る接触面から、表面エネルギが高い基板表面、例えばポリイミド表面、ポリカーボネート表面又はマイラー表面等に対して効果的に達成されてもよい。また、プラスチック基板表面は、転写される印刷可能半導体素子との接触前及び/又は接触中に加熱することにより軟化され或いは部分的に溶解され、それにより、基板中に組み込まれる半導体そしが形成されてもよい。接触面を半導体素子から分離する前に基板を冷却して硬化できることにより、効率的な転写が促進される。或いは、基板表面は、半導体素子のための基板の高い親和性を示す1つ以上の化学的に改質された領域を有していてもよい。例えば、改質された領域は、効率的な転写及び組み合わせを促進するため、1つ以上の接着層によって覆われてもよく、或いは、それらの領域が効果的な共有結合、引き付けるファンデルワールス力、双極子間力、又は、これらの組み合わせを半導体素子と共に受けるように改質されてもよい。或いは、部分的に重合された高分子前駆物質が半導体素子又は他のデバイス部品と接触された後に重合され、それにより、内部に半導体素子が組み込まれた基板が形成されてもよい。
典型的な実施形態においては、薄い解離層、例えば印刷可能半導体素子の形成及び組み立て中に基板上にパターニングされるフォトマスクとして使用されるフォトレジストの層でコーティングされた上端面を有する印刷可能半導体素子が製造される。コンフォーマブルな転写デバイスの接触面は、印刷可能半導体素子のコーティングされた表面とコンフォーマル接触される。解離層は、転写デバイスの接触面に対する印刷可能半導体素子の結合を容易にする。解離層でコーティングされない印刷可能半導体素子の表面は、その後、基板の受け面と接触される。次に、解離層がアセトン等の適当な溶媒に晒すことにより除去され、それにより、印刷可能半導体素子がコンフォーマブルな転写デバイスから分離される。場合によって、印刷可能半導体素子の転写を容易にするため、受け面が1つ以上の接着層でコーティングされてもよい。
本発明の他の実施形態においては、印刷可能半導体素子がソリューション印刷により基板表面上に組み立てられる。この説明において、用語「ソリューション印刷」とは、印刷可能半導体素子等の1つ以上の構造がキャリア流体や溶媒等のキャリア媒体中に分散されて基板表面の選択された領域へ一括して供給されるプロセスを示そうとするものである。1つの典型的なソリューション印刷方法において、基板表面の選択された領域に対する構造の供給は、パターニングを受ける基板表面の形態的特徴及び/又は物理的特徴とは無関係な方法によって達成される。他の実施形態において、印刷可能半導体素子は、溶媒が蒸発するまで又は静電気力、磁力又は音波によって与えられる力等の印加力が印刷可能半導体素子を溶液から基板の選択された領域へと引き出すまで、溶媒中に浮遊されたままである。この機能性は、早期の沈殿を避けるために必要な印刷可能半導体素子の適切な物理的寸法及び質量を選択することにより与えられてもよい。このように、本発明のソリューション印刷方法は、キャリア媒体中に浮遊された要素が重力に起因して溶液中を降下して統計的に基板の凹領域中に落ち込む幾つかの流体自己組み立て法とはかなり異なる。
本発明の基板の受け面上に印刷可能半導体素子を組み立てる方法は、印刷可能半導体素子をキャリア媒体中に分散させることによりキャリア媒体中に半導体素子を備える懸濁液を形成するステップを備えている。半導体素子は、懸濁液を受け面上にソリューション印刷することにより基板に対して供給され、それにより、半導体素子が上記受け面上に組み立てられる。この実施形態において、ソリューション印刷は、インクジェット印刷、熱転写印刷、スクリーン印刷を含むがこれらに限定されない当分野において既知である多くの技術によって行なわれてもよい。また、本発明のソリューション印刷方法は、自動組み立てアライメント技術を使用してもよい。1つの実施形態においては、例えば、パターニングされた疎水基及び親水基を有する印刷可能半導体素子のアライメント、位置決め、位置合わせ精度が、相補的なパターニングされた疎水領域(例えばメチル終端表面基)及び親水領域(例えばカルボン酸終端表面基)を有する受け面上で合わせられる。また、本発明のソリューション印刷方法は、アライメント、位置決め、位置合わせ精度を達成するために、分散された印刷可能半導体素子を含む液滴の毛管作用を使用してもよい。
場合によって、本発明においては、基板上における半導体素子及び/又は他のデバイス部品の方向、アライメント及び選択的堆積を制御するために多くの方法が使用されてもよい。これらの方法は、正確に定められた相対位置及び空間的方向を有する複数の相互に接続されたデバイス部品を備える複合集積電子デバイス及び光電子デバイスの製造を可能にする。例えば、特定の場所で且つ選択された空間的方向で基板表面上に半導体素子及び他のデバイス部品を容易に位置決めするため、静電気力、音波及び/又は静磁気力が使用されてもよい。或いは、半導体素子及び他のデバイス部品の正確な配置を達成するため、基板表面自体の特性及び/又は組成が選択された領域で改質されてもよい。例えば、基板表面の選択された領域は、それらが半導体素子のための選択的な親和性を示すように化学的に改質されてもよい。また、印刷可能半導体素子及び他のデバイス部品の選択的な集積、方向付け、アライメントを容易にするため、例えば特定の表面領域に電位ホールを形成することによって基板表面の電気的特性が変えられてもよい。
本発明の印刷方法は、高性能電子デバイス及び/又は光電子デバイスの製造において重要な多くの利点を有している。第1に、本発明の印刷方法は、無機単結晶半導体構造を、これらの構造をクラッキングによる損傷等の著しい損傷又は劣化を引き起こす十分大きい機械的歪みに晒すことなく、転写して組み立てることができる。第2に、本発明の印刷方法は、良好な配置精度(すなわち、受け面の選択された領域に対する良好な空間的位置合わせ精度)をもって、好ましくは基板上の絶対的に正しい方向及び位置から5ミクロン以下の空間的偏りをもって、基板表面の選択された領域上に選択された方向で1つ以上の半導体素子を位置決めることができる。第3に、本発明の印刷方法は、機能デバイス又はデバイス配列に対応する空間的形態等の選択された空間的形態に関して良好な忠実度を有する複数の半導体素子、他のデバイス素子、集積された機能デバイス、又は、これらの任意の組み合わせを備えるパターンを形成することができる。第4に、本発明の印刷方法は、比較的低い温度(すなわち、約400℃未満の温度)で実行されてもよく、したがって、様々な基板、特にプラスチック基板に適合する。最後に、本発明の印刷方法は、高性能電子デバイス及び/又は光電子デバイスを製造する低コストな手段を与えるとともに、クリーンな室内条件を必要としない。
印刷可能半導体素子を基板上又は基板中に組み立て、位置決めし、組織化し、転写し、パターニングし、及び/又は、集積する本発明の構成及び関連する方法は、1つ以上の半導体素子を備えるほぼ任意の構造を製造するために使用されてもよい。これらの方法は、複合集積電子デバイス又は光電子デバイス又はデバイス配列、例えばダイオード、発光ダイオード、太陽電池、トランジスタ(FET及びバイポーラ)、薄膜トランジスタの配列等を製造するのに特に有益である。本発明の構成及び関連する方法は、システムレベル集積電機回路、例えば相補型論理回路の製造において役立つ。この場合、印刷可能半導体素子は、明確な空間的方向で基板上に印刷されて相互に接続され、それにより、所望の回路構造が形成される。本発明のこの態様の1つの実施形態においては、複合集積回路を製造するために、選択されたドーパント濃度及びドーパント空間分布を有する印刷可能なN型及びP型ヘテロ半導体素子が組み立てられて相互に接続される。他の実施形態においては、複合集積回路を製造するために、異なる半導体材料を備える複数の印刷可能な半導体素子が同じ基板上に印刷されて相互に接続される。
しかしながら、本発明の組立方法は半導体に限定されない。むしろ、これらの方法は、半導体ではない様々な材料に広く適合する。本発明の方法によって転写され及び/又は組み立てられてもよい材料としては、SiO等の絶縁材料、導体等の接続材料、能動光学材料、受動光学材料、光ファイバ素子等の光学素子、用途を感知するための材料、磁性材料が挙げられるが、これらに限定されない。したがって、本方法、デバイス、デバイス部品は、様々なミクロサイズ及び/又はナノサイズの構造及び構造アセンブリ、例えばミクロ流体デバイス及び構造、NEMSデバイス及びNEMSデバイスの配列、MEMSデバイス及びMEMSデバイスの配列を製造するために使用されてもよい。特に、本発明の転写方法及び組立方法は、複数の印刷レベルを連続的にかぶせることにより複雑な3次元構造、例えば集積回路を形成するために使用されてもよい。
本発明の構成及び関連する製造方法、組立方法、相互接続方法は、デバイス、特に半導体ベースのデバイスを大面積の様々な基板上に製造するための有益である。本方法の利点は、熱可塑性材料、熱硬化性材料、強化高分子材料、複合高分子材料等の高分子材料を含む最もフレキシブルな基板に適合する温度でのデバイス組み立てに適合するという点である。しかしながら、本方法は、セラミック材料、ガラス、誘電体材料、導体、金属、半導体材料を含む硬質な及び/又は脆弱な基板上におけるデバイス製造にも同様に適用できる。脆弱な材料上におけるデバイス製造に対するこれらの方法の適用は、本発明の印刷方法を使用して基板に与えられる非常に低い力に起因している。また、本発明の構成及び製造方法は、紙、木材、ゴム等のより珍しい基板材料、及び、湾曲基板、湾曲硬質基板、凹面基板、凸面基板を含む輪郭形状を成す基板上でのデバイス製造にも適合する。例えば、本方法は、約10ミクロン〜約10メートルの範囲の曲率半径を有する硬質基板及びフレキシブル基板を含む基板上に印刷可能半導体素子及び他のデバイス部品(例えば、電極、誘電体層、P−N接合等)を組み立てて集積することができる。
他の態様において、本製造方法は、機能基板中への印刷可能半導体素子のヘテロ集積を行なうことができる。例えば、本発明の印刷方法は、明確な半導体領域、導電領域及び/又は絶縁領域を有する基板中に印刷可能半導体素子を堆積させて集積することができる。本製造方法の利点は、特に本発明の乾式転写コンタクト印刷方法との関連で、高い配置精度をもって集積回路や集積回路の部品等の機能基板上に選択された方向及び位置で印刷可能半導体素子を印刷することができるという点である。
本発明の印刷可能半導体素子は広範な材料から製造されてもよい。印刷可能半導体素子を製造するために有用な前駆物質材料としては、単結晶シリコンウエハ、多結晶シリコンウエハ、ゲルマニウムウエハ等のバルク半導体ウエハ;超薄シリコンウエハ等の超薄半導体ウエハ;P型又はN型ドープウエハ及び選択された空間分布のドーパントを有するウエハ等のドープ半導体ウエハ(シリコンオンインシュレータ等の半導体オンインシュレータウエハ(例えばSi−SiO、SiGe));シリコンオン基板ウエハ及びシリコンオンインシュレータ等の半導体オン基板ウエハを含む半導体ウエハソースが挙げられる。また、本発明の印刷可能半導体素子は、従来の方法を使用する半導体デバイス処理で使い残された切屑又は未使用の高品質又は再処理された半導体材料から製造されてもよい。また、本発明の印刷可能半導体素子は、犠牲層又は基板(例えばSiN又はSiO)上に堆積されてその後にアニールされるアモルファス、多結晶、単結晶半導体材料(例えば、多結晶シリコン、アモルファスシリコン、多結晶GaAs、アモルファスGaAs)の薄膜等の様々なナノウエハソースから製造されてもよい。
また、本発明は、印刷可能半導体素子及びフレキシブル半導体素子を形成する方法も含んでいる。これらの方法によれば、シリコンオンインシュレータウエハ、単結晶シリコンウエハ、多結晶結晶シリコンの薄膜、超薄シリコンウエハ、ゲルマニウムウエハ等の様々な前駆材料から印刷可能半導体素子及びフレキシブル半導体素子を製造することができる。また、これらの方法は、様々な形状及び物理的寸法を有する印刷可能半導体素子を形成することができる。また、本方法によれば、明確で相対的な空間的方向で印刷可能半導体素子の大きな配列/パターンを低コストに製造することができる。
他の態様において、本発明は、基板の受け面上に印刷可能半導体素子を組み立てるための方法であって、(1)単一無機半導体構造を備える上記印刷可能半導体素子を設けるステップと、(2)印刷可能半導体素子を、接触面を有するコンフォーマブルな転写デバイスと接触させ、接触面と印刷可能半導体素子との間の接触が印刷可能半導体素子を接触面に対して結合させ或いは関連付け、それにより、印刷可能半導体素子がその上に配置された接触面が形成されるステップと、(3)接触面上に配置された印刷可能半導体素子を基板の上記受け面と接触させるステップと、(4)コンフォーマブルな転写デバイスの接触面と印刷可能半導体素子とを分離させ、印刷可能半導体素子が受け面上に転写され、それにより、基板の受け面上に印刷可能半導体素子を組み立てるステップとを備える方法を提供する。1つの実施形態において、本発明のこの方法は、(1)それぞれが単一無機半導体構造を備える更なる複数の印刷可能半導体素子を設けるステップと、(2)印刷可能半導体素子を、接触面を有するコンフォーマブルな転写デバイスと接触させ、接触面と印刷可能半導体素子との間の接触が印刷可能半導体素子を接触面に対して結合させ或いは関連付けるとともに、印刷可能半導体素子の選択されたパターンを備える相対的な方向で印刷可能半導体素子がその上に配置された接触面を形成するステップと、(3)接触面上に配置された印刷可能半導体素子を基板の受け面と接触させるステップと、(4)コンフォーマブルな転写デバイスの接触面と印刷可能半導体素子とを分離させ、選択されたパターンを備える相対的な方向で印刷可能半導体素子が受け面上に転写されるステップとを更に備えている。
他の態様において、本発明は、基板の受け面上に印刷可能半導体素子を組み立てるための方法であって、(1)単一無機半導体構造を備える上記印刷可能半導体素子を設け、印刷可能半導体素子が約500ナノメートル以上の少なくとも1つの断面寸法を有するステップと、(2)半導体素子を溶媒中に分散させ、それにより、溶媒中に半導体素子を備える懸濁液を形成するステップと、(3)懸濁液を受け面上にソリューション印刷することにより半導体素子を基板へ供給し、それにより、受け面上に半導体素子を組み立てるステップとを備える方法を提供する。1つの実施形態において、本発明のこの方法は、(1)更なる印刷可能半導体素子を設け、更なる印刷可能半導体素子のそれぞれが約500ナノメートル以上の少なくとも1つの断面寸法を有するステップと、(2)半導体素子を溶媒中に分散させ、それにより、溶媒中に半導体素子を備える懸濁液を形成するステップと、(4)懸濁液を受け面上にソリューション印刷することにより半導体素子を基板へ供給し、それにより、受け面上に上記半導体素子を組み立てるステップとを更に備えている。
他の態様において、本発明は、印刷可能半導体素子を製造するための方法であって、(1)外面を有するウエハを設け、ウエハが無機半導体材料を備えるステップと、(2)マスクを適用することにより外面の選択された領域をマスキングするステップと、(3)ウエハの外面をエッチング(場合によっては、異方性エッチング)することにより、ウエハ上のレリーフ構造とウエハの少なくとも1つの露出面とを形成し、レリーフ構造が1つのマスキングされた側と1つ以上のマスキングされていない側とを有するステップと、(4)レリーフ構造のマスキングされていない側の少なくとも一部に対してマスクを適用するステップと、(5)ウエハの露出面を少なくとも部分的にエッチングすることにより、レリーフ構造の一部をウエハから解放して、印刷可能半導体素子を製造するステップとを備える方法を提供する。この実施形態において、マスクは、スパッタリング又は気相堆積等の傾斜堆積方法により或いは外面上のマスクの一部をマスキングされていない側へ流すことにより、レリーフ構造のマスキングされていない側に対して適用されてもよい。
他の態様において、本発明は、印刷可能半導体素子を製造するための方法であって、(1)外面を有するウエハを設け、ウエハが半導体を備えるステップと、(2)第1のマスクを適用することにより外面の選択された領域をマスキングするステップと、(3)ウエハの外面をエッチング(場合によって、異方性エッチング)することにより、複数のレリーフ構造を形成するステップと、(4)ウエハをアニールすることにより、アニール外面を形成するステップと、(5)第2のマスクを適用することによりアニール外面の選択された領域をマスキングするステップと、(6)アニール外面をエッチング(場合によって、異方性エッチング)することにより、印刷可能半導体素子を形成するステップとを備える方法を提供する。
他の態様において、本発明は、印刷可能半導体素子を製造するための方法であって、(1)外面を有する超薄ウエハを設け、ウエハが半導体を備え且つ外面と直交する軸に沿う選択された厚さを有するステップと、(2)マスクを適用することにより外面の選択された領域をマスキングするステップと、(3)ウエハの外面をエッチング(場合によって、異方性エッチング)し、ウエハが外面と直交する軸に沿う厚さの全体にわたってエッチングされ、それにより、印刷可能半導体素子を形成するステップとを備える方法を提供する。
他の実施形態において、本発明は、フレキシブルな半導体素子を形成するための方法であって、(1)内面を有する印刷可能な半導体構造を設けるステップと、(2)予め歪みが加えられた拡張状態の弾性基板を設け、弾性基板が外面を有しているステップと、(3)印刷可能な半導体構造の内面を、予め歪みが加えられた拡張状態の弾性基板の上記外面に対して結合させるステップと、弾性基板を緩和状態まで少なくとも部分的に弛緩させることができ、弾性基板の弛緩が印刷可能な半導体構造の内面を湾曲させ、それにより、湾曲した内面を有する伸縮可能な半導体素子を形成するステップとを備える方法を提供する。典型的な実施形態において、予め歪みが加えられた弾性基板は、第1の軸に沿って、第1の軸と直交する第2の軸に沿って、或いは、これらの両方の軸に沿って拡張される。予め歪みが加えられた拡張状態の弾性基板は、弾性基板を曲げることにより又は弾性基板を圧延(ローリング)することにより形成されてもよい。場合によって、本発明のこの態様の方法は、湾曲した内面を有する半導体をフレキシブルな受け基板に対して転写するステップを更に備えていてもよい。
他の実施形態において、本発明は、1つ以上のアライメント維持要素を介してマザーウエハに対して接続される印刷可能半導体素子を製造するための方法であって、(1)外面を有するマザーウエハを設け、ウエハが無機半導体材料を備えるステップと、(2)マスクを適用することにより外面の選択された領域をマスキングするステップと、(3)ウエハの外面をエッチングすることにより、レリーフ構造とウエハの少なくとも1つの露出面とを形成し、レリーフ構造が1つのマスキングされた側と1つ以上のマスキングされていない側とを有するステップと、(4)ウエハの露出面をエッチングするステップと、(5)レリーフ構造の完全な解放が妨げられるように露出面のエッチングを停止させ、それにより、1つ以上のアライメント維持要素を介してマザーウエハに対して接続される印刷可能半導体素子を製造するステップとを備える方法を提供する。この方法の1つの実施形態において、印刷可能半導体素子は、第1の端部及び第2の端部を持つピーナッツ形状を有している。この場合、アライメント維持要素は、印刷可能半導体素子の第1及び第2の端部をマザーウエハに対して接続する。この方法の他の実施形態において、印刷可能半導体素子は、第1の端部及び第2の端部を持つリボン形状を有している。この場合、アライメント維持要素は、印刷可能半導体素子の第1及び第2の端部をマザーウエハに対して接続する。
単結晶シリコンのリボンを備える印刷可能半導体素子を製造して組み立てるための本発明の典型的な方法を概略的に示している。 基板の受け面上に印刷可能半導体素子を組み立てるための選択的乾式転写コンタクト印刷方法を示す概略図を与えている。 本発明の選択的乾式転写コンタクト印刷方法において有益なデバイス、デバイス構造、デバイス部品を示す概略図である。 本発明の選択的乾式転写コンタクト印刷方法において有益なデバイス、デバイス構造、デバイス部品を示す概略図である。 本発明の選択的乾式転写コンタクト印刷方法において有益なデバイス、デバイス構造、デバイス部品を示す概略図である。 ポリカーボネートレンズ(FL100mm)の球面上に印刷されたフォトダイオードの配列の写真を示している。 球面ガラスレンズ(FL1000mm)の湾曲面上に印刷されたフォトダイオードの配列の走査型電子顕微鏡写真を示している。図3Eに示された画像のコントラストは、pドープ領域を示すために僅かに高められている。 図3Eに描かれたフォトダイオードの光反応を示す、電流(μA)とバイアス電位(ボルト)との間の関係のプロットを与えている。 乾式転写コンタクト印刷を使用する本発明の組立方法における印刷可能半導体素子の好ましい形状を示す斜視図である。 乾式転写コンタクト印刷を使用する本発明の組立方法における印刷可能半導体素子の好ましい形状を示す平面図である。 乾式転写コンタクト印刷を使用する本発明の組立方法における印刷可能半導体素子の好ましい形状を示す斜視図である。 乾式転写コンタクト印刷を使用する本発明の組立方法における印刷可能半導体素子の好ましい形状を示す平面図である。 選択された物理的寸法を有する単結晶シリコンのマイクロストリップを備える印刷可能半導体素子の光学顕微鏡写真を示している。 選択された物理的寸法を有する単結晶シリコンのマイクロストリップを備える印刷可能半導体素子の走査型電子顕微鏡写真を示している。 選択された物理的寸法を有する単結晶シリコンのマイクロストリップを備える印刷可能半導体素子の別の走査型電子顕微鏡写真を示している。 PDMSコーティングされたポリイミドシート上の単結晶シリコンマイクロストリップを備える転写された印刷可能半導体素子の画像を示している。 印刷可能半導体素子を有する薄膜トランジスタの光学顕微鏡写真画像を示している。 予め酸化されたSiウエハ上に形成されたデバイスの電流−電圧(IV)特性を示すプロットを与えている。 ITOゲート及び高分子誘電体でコーティングされたマイラーシート上に形成されたデバイスのVDS=0.1Vで測定された転写特性を示すプロットを与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。 集積ゲート電極、ゲート誘電体、半導体、ソース電極、ドレイン電極を備える印刷可能なデバイスを製造するための本発明の方法を示す図を与えている。 集積ゲート電極、ゲート誘電体、半導体、ソース電極、ドレイン電極を備える印刷可能なデバイスを製造するための本発明の方法を示す図を与えている。 集積ゲート電極、ゲート誘電体、半導体、ソース電極、ドレイン電極を備える印刷可能なデバイスを製造するための本発明の方法を示す図を与えている。 集積ゲート電極、ゲート誘電体、半導体、ソース電極、ドレイン電極を備える印刷可能なデバイスを製造するための本発明の方法を示す図を与えている。 本発明の伸縮可能な印刷可能半導体素子を示す原子間力顕微鏡写真を与えている。 湾曲した内面を有する湾曲半導体構造の拡大図を与える原子間力顕微鏡写真を示している。 本発明の伸縮可能な印刷可能半導体素子の配列の原子間力顕微鏡写真を示している。 本発明の伸縮可能な印刷可能半導体素子の光学顕微鏡写真を示している。 その支持面上に3次元レリーフパターンを有するフレキシブル基板に対して結合された半導体構造を有する本発明の伸縮可能な印刷可能半導体素子の原子間力顕微鏡写真を示している。 本発明の伸縮可能な半導体素子を形成する典型的な方法を示すフローチャートを示している。 Si−Geエピ基板から印刷可能半導体素子を形成するための典型的な方法を示している。 バルクシリコン基板、好ましくは単結晶シリコン基板から印刷可能半導体素子を製造するための典型的な方法を示している。 バルクシリコン基板、好ましくは単結晶シリコン基板から印刷可能半導体素子を製造する他の典型的な方法を示している。 バルクシリコン基板、好ましくは単結晶シリコン基板から印刷可能半導体素子を製造する更に他の典型的な方法を示している。 超薄シリコン基板から印刷可能半導体素子を製造する典型的な方法を示している。 多結晶シリコンの薄膜から支持基板上に印刷可能半導体素子を形成するための典型的な方法を示している。 多結晶シリコンの薄膜からSiO基板上に印刷可能半導体素子を形成するための典型的な方法を示している。 図18H(1)及び図18H(2)は、本発明の印刷可能半導体素子を使用して単結晶半導体薄膜を形成するための方法を示している。 GaAs基板からマイクロワイヤを備える印刷可能半導体素子を製造する典型的な方法を示している。 単結晶シリコンリボンを備える印刷可能半導体素子を製造するための代替の方法を示している。 単結晶シリコンリボンを備える印刷可能半導体素子を製造するための代替の方法を示している。 GaAsのナノワイヤ配列を形成するとともに、これを、硬化ポリウレタン(PU)の薄層でコーティングされたポリ(エチレンテレフタレート)(PET)シートを備えるプラスチック基板等の基板に対して転写する典型的な方法のステップを示す概略図を与えている。 孤立したSiOラインでパターニングされたGaAsウエハから得られる自立構造のGaAsワイヤの走査型電子顕微鏡写真を示している。 2μm幅のSiOラインでパターニングされたGaAsウエハをエッチングすることにより得られる個々のワイヤの走査型電子顕微鏡写真画像を示している。 2μm幅のSiOラインでパターニングされたGaAsウエハをエッチングすることにより得られる個々のワイヤの走査型電子顕微鏡写真画像を示している。 2μm幅のSiOラインでパターニングされたGaAsウエハをエッチングすることにより得られる個々のワイヤの走査型電子顕微鏡写真画像を示している。 2μm幅のSiOラインでパターニングされたGaAsウエハをエッチングすることにより得られる個々のワイヤの走査型電子顕微鏡写真画像を示している。 エッチング時に本方法により形成されたワイヤの上端面の平均幅wwiresの依存性を示すプロットを与えている。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の一つの画像を示している。 PMDS基板及びPU/PET基板上のInPワイヤ配列の走査型電子顕微鏡写真画像を示している。 PMDS基板及びPU/PET基板上のInPワイヤ配列の走査型電子顕微鏡写真画像を示している。 PMDS基板及びPU/PET基板上のInPワイヤ配列の走査型電子顕微鏡写真画像を示している。 図23Aは、GaAsワイヤ配列を備える典型的な2端子ダイオードデバイスの概略図及び画像を示している。図23Bは、GaAsワイヤ配列を備える2端子ダイオードデバイスが期待されたダイオード特性を示したことを表わしている、異なる曲げ半径で2端子ダイオードデバイスにおいて記録された電流−電圧(I−V)曲線を示している。図23Cは、異なる曲げ半径で曲げた後の弛緩状態後の2端子ダイオードデバイスに関して測定された電流−電圧(I−V)曲線を示している。 磁気タグを備えるハンドル素子を有する印刷可能半導体素子をソリューション印刷するための本発明の典型的な方法を示す概略図を与えている。 本発明のソリューション印刷方法を使用して、薄いニッケル層を備えるハンドル素子を有するマイクロ構造の整然とした配列を形成するための本発明のソリューション印刷方法の使用を実際に示す幾つかの光学画像を与えている。 本発明の典型的な湾曲できる薄膜トランジスタデバイスを製造するために使用されるステップを示している。 薄膜トランジスタのボトムゲートデバイス構造の概略図を、デバイス配列の一部の高倍率光学画像及び低倍率光学画像と共に示している。 接点の影響を無視する標準的な電界効果トランジスタモデルの適用によって評価される、飽和状態で140cm/Vs及び線形状態で260cm/Vsの有効デバイス移動度を示す本発明の湾曲可能な薄膜トランジスタの電流電圧特性を示している。 線形(左軸)スケール及び対数(右軸)スケール上にプロットされた幾つかのデバイスの転写特性を示している。 本方法によって製造された幾つかの湾曲可能な薄膜トランジスタの線形有効移動度の分布を示している。 印刷可能な単結晶シリコン半導体素子の顕著な柔軟性を示すソリューションキャスティングされたリボンの高分解能走査型電子顕微鏡写真(左側の挿入画)を示している。図28Aの右側の挿入画は、この研究で評価された湾曲可能な薄膜トランジスタを曲げるために使用される実験装置の画像を示している。 図28Bは、引っ張り歪み及び圧縮歪みに晒されたときのエポキシ誘電体のキャパシタンスの僅かな(〜<1%)線形変化を示している(上側の挿入画を参照)。図28Bの下側の挿入画は、ゲートバイアス電圧及びドレインバイアス電圧の両方が4Vの場合において測定されたデバイスの飽和電流の変化を示している。 PET基板上に印刷可能ヘテロ半導体素子を備えるトランジスタを形成するための製造プロセスの概略図を示している。 本技術を使用して製造されたヘテロ印刷可能半導体素子を有する幾つかのデバイスの光学画像を示している。 接触抵抗を特徴付けるために使用される接触パッド及び印刷可能ヘテロ半導体素子の配置(挿入画参照)に関して、Lに応じた正規化抵抗RtotalWのプロットを示している。 パターニングされたSOGの拡散バリア(図29Aの概略図参照)としての使用がドーパンドをシリコン中の所望の領域に局部集中させたことを表わす飛行時間型二次イオン質量分析(TOF−SIMS)測定を示している。図30Bに示される画像において、明るい赤色は、高いリン濃度を示している。 エポキシ/ITO/PET基板上の印刷可能コンタクトドープシリコン半導体素子を備えるトランジスタに対応する測定を示し、PET基板上にドープ接点を有し且つL=7ミクロンでW=200ミクロンの単結晶シリコントランジスタの一般的な電流−電圧特性を示している。下から上に向かってVが−2Vから6Vへと変化している。 エポキシ/ITO/PET基板上の印刷可能コンタクトドープシリコン半導体素子を備えるトランジスタに対応する測定を示し、上から下に向かって97ミクロン、72ミクロン、47ミクロン、22ミクロン、7ミクロン、2ミクロンのチャンネル長を有するデバイスの転写曲線(V=0.1V)を示している。それぞれの場合のチャンネル幅は200ミクロンである。 エポキシ/ITO/PET基板上の印刷可能コンタクトドープシリコン半導体素子を備えるトランジスタに対応する測定を示し、異なるゲート電圧におけるチャンネル長Lに応じたON状態でのデバイスの幅−正規化された抵抗(RONW)を示している。実線が線形適合を表わしている。スケーリングは、この範囲のチャンネル長におけるデバイス性能への影響を無視できる接触と一致している。図31Cの挿入画は、図31Cにおける線形適合の傾きの逆数から決定されるシートコンダクタンス[Δ(RONW)/ΔL]−1を、ゲート電圧の関数として示している。 エポキシ/ITO/PET基板上の印刷可能コンタクトドープシリコン半導体素子を備えるトランジスタに対応する測定を示し、無ドープ(三角形)接点及びドープ(正方形)接点を有するデバイスに関して線形状態で評価された有効移動度をチャンネル長の関数として示している。 曲げられていない状態の値(μ0eff)により正規化された有効デバイス移動度の変化を歪み(曲げ半径)の関数として示している。 圧縮歪みを0%と0.98%との間で変化させる数百の曲げサイクル(9.2mmの半径まで)後における正規化された有効移動度μeff/μ0effを示している。 シリコンウエハ(100)上に直接に結合された窒化ガリウムマイクロ構造を備える本発明のヘテロ集積方法を使用して製造された複合半導体構造の一例を示している。 印刷可能なP−N接合を備える太陽電池を形成するための製造経路における処理ステップを概略的に示すプロセスフローチャートを示している。 図34Aに示される製造経路によって形成された太陽電池デバイス構造の概略図を示している。 図34Bに示される構造を有する太陽電池デバイスの照明時に観察されるフォトダイオード応答を示している。 印刷可能なP及びNドープ半導体層を備える太陽電池を形成するための代替の製造経路における処理ステップを概略的に示すプロセスフローチャートを与えている。 図35Aに示される製造経路を使用して形成される太陽電池デバイスの概略図を示している。 図35Bに概略的に示される太陽電池の平面図のSEM画像を示している。 図35Cに示される太陽電池のフォトダイオード応答を明らかにする電流−バイアスのプロットを示している。 図35Cに示される太陽電池のフォトダイオード応答を明らかにする幾つかの異なる照明強度に対応する電流−バイアスのプロットを示している。 伸縮可能な薄膜トランジスタの配列を形成する典型的な方法を示すプロセスフローチャートを示している。 弛緩形態及び伸張形態における伸縮可能な薄膜トランジスタの配列の光学顕微鏡写真を示している。 プラスチック基板上へμs−Si素子をパターニングするための本発明の処理方法(方法I)を示す概略図を与えている。 プラスチック基板上へμs−Si素子をパターニングするための本発明の代替の処理方法(方法II)を示す概略図を与えている。 図38Aは、本発明の方法において使用される所謂ピーナッツ形状のμs−Si対象物の構成を示している。図38Aの挿入された光学画像は、犠牲SiO部分を残したままチャンネルの下側の埋設酸化物が除去される最適化されたHFエッチング状態を示している。図38Bは、Si対象物がHF溶液内でオーバーエッチングされるときのこの順位の損失の一例を示している。図38C、38D、38E、38Fは、方法Iを使用して行なわれるμs−Si転写の各ステップの進行を示す一連の顕微鏡写真を示している。 3600PDMSスタンプによるPU/PETシート上へのμs−Siの選択的な転写の光学画像を示している。 3600PDMSスタンプによるPU/PETシート上へのμs−Siの選択的な転写の光学画像を示している。 μs−Siが化学的に結合された後に転写されたSylgard 184コーティングされたPET基板の領域の光学顕微鏡写真である。 この方法で転写されたμs−Siの高倍率画像が示されている。 方法Iを使用する転写に基づいてピーナッツ形状のμs−Siを使用して製造されたデバイスの典型的なデバイス形状を示している。 様々なゲート電圧(Vg=−2.5V〜20V)におけるμs−Si TFTのI−V曲線を示している。 一定のソース−ドレイン電圧(Vsd=1V)で測定された転写特性を示しており、有効移動度が173cm/Vsであったことを示している。図40Cの挿入画は、本発明の実際のデバイスの光学顕微鏡写真を示している。 ポリ(エチレンテレフタレート)(PET)基板上にμs−GaAs MESFETを製造するためのプロセスに含まれるステップを示す概略的なプロセスフローチャートを与えている。異方性化学エッチングは、標準的な(100)GaAsウエハからワイヤを形成する。エラストマースタンプを使用する印刷技術は、これらのワイヤを空間的な方向を維持する態様(すなわち、順序付けられた配列)でウエハからプラスチックデバイス基板へと転写する。PRはフォトレジストを示している。 プラスチック基板(PU/PET)上におけるGaAsワイヤに基づくMESFETの幾何学的構成の断面図を示す概略図を与えている。ソース/ドレイン電極は、n−GaAs層に対するオーム接点を形成する。 プラスチック上における2つのGaAsワイヤに基づくMESFETの代表的な画像を示しており、各MESFETは、図41のプロセスフローチャートにしたがって製造された10本のGaAsワイヤの配列を使用する。 数百個のトランジスタを有する2cm×2cmPETシートの画像であって、当該シートの柔軟性を明らかにしている画像を示している。 図42Bに示されたものと同様な、50μmのチャンネル長、15μmのゲート長を有するGaAs MESFETからの結果を示しており、5Vのステップを伴う0.5〜−2.0Vのゲート電圧における電流−電圧(ドレイン電極とソース電極との間)曲線を示している。 図42Bに示されたものと同様な、50μmのチャンネル長、15μmのゲート長を有するGaAs MESFETからの結果を示しており、異なるVDSで測定された本発明のGaAs MEDFETの転写特性(すなわち、IDS対VGS)を示している。 図42Bに示されたものと同様な、50μmのチャンネル長、15μmのゲート長を有するGaAs MESFETからの結果を示しており、MESFETにおいて予期される線形関係を明確に示す(IDS1/2−VGSとしてプロットされたVDS=4Vにおける転写曲線を示している。 曲げる前におけるフレキシブルPET基板上におけるGaAsワイヤに基づくMESFETのゲート変調された電流−電圧特性を示している。 8.4mmの曲げ半径まで曲げた後におけるフレキシブルPET基板上におけるGaAsワイヤに基づくMESFETのゲート変調された電流−電圧特性を示している。 図44Cは、湾曲基板をその平坦な曲げられていない状態まで弛緩させた後におけるGaAsワイヤに基づくMESFETのゲート変調された電流−電圧特性を示している。 湾曲(異なる表面歪みを用いる)/非湾曲に関する3サイクルにおけるVDS=4V及びVGS=0VでのIDSの変化を示しており、これらのMESFETが、それらの性能を大きく変化させることなく(<20%)、デバイスにおける引っ張り歪みを0%と1.2%との間で変化させる複数の曲げサイクルを凌いでいることを表わしている。 プラスチック基板上のP型ボトムゲート薄膜トランジスタにおける本発明の典型的なデバイス構造を示す概略図を与えている。 プラスチック基板上の相補型論理ゲートにおける本発明の典型的なデバイス構造を示す概略図を与えている。 プラスチック基板上のトップゲート薄膜トランジスタにおける本発明の典型的なデバイス構造を示す概略図を与えている。
図面を参照すると、同様の数字は同様の要素を示しており、また、複数の図面に現れる同じ番号は同じ要素を示している。更に、以下では、次の定義が適用される。
「印刷可能」は、基板を高温(すなわち、約400セ氏温度以下の温度)に晒すことなく基板上又は基板中に転写でき、組み立てることができ、パターニングでき、組織化することができ及び/又は集積することができる材料、構造、デバイス、構成部品及び/又は集積機能デバイスに関連している。本発明の1つの実施形態において、印刷可能な材料、要素、デバイス部品、デバイスは、ソリューション印刷(solution printing)又は乾式転写コンタクト印刷(dry transfer contact printing)により、基板上又は基板中に転写でき、組み立てることができ、パターニングでき、組織化することができ及び/又は集積することができる。
本発明の「印刷可能半導体素子」は、例えば乾式転写コンタクト印刷方法及び/又はソリューション印刷方法を使用することにより基板表面上に組み立てることができ及び/又は集積することができる半導体構造を備えている。1つの実施形態において、本発明の印刷可能半導体素子は、単一単結晶半導体構造、多結晶半導体構造、微結晶性無機半導体構造である。この説明のこの文脈において、単一構造は、機械的に接続される特徴形態を有するモノリシック素子である。本発明の半導体素子は、ドーピングされていなくてもよく或いはドーピングされていてもよく、ドーパントの選択された空間分布を有していてもよく、また、P型ドーパント及びN型ドーパントを含む複数の異なるドーパント材料がドーピングされていてもよい。本発明は、約1ミクロン以上の少なくとも1つの断面寸法を有するマイクロ構造の印刷可能半導体素子と、約1ミクロン以下の少なくとも1つの断面寸法を有するナノ構造の印刷可能半導体素子とを含む。多くの用途で役立つ印刷可能半導体素子は、従来の高温処理技術を使用して形成される高純度結晶ウエハ等の高純度バルク材料の「トップダウン」処理から得られる素子を備えている。1つの実施形態において、本発明の印刷可能半導体素子は、導電層、誘電体層、電極、更なる半導体構造又はこれらの任意の組み合わせ等の少なくとも1つの更なるデバイス部品又は構造に動作可能に接続された半導体を有する複合構造を備えている。1つの実施形態において、本発明の印刷可能半導体素子は、伸縮可能な半導体素子及び/又は異種の半導体素子を備えている。
「断面寸法」とは、デバイス、デバイス部品又は材料の断面の寸法のことである。断面寸法としては、幅、厚さ、半径、直径が挙げられる。例えば、リボン形状を有する印刷可能半導体素子は、長さと、厚さ及び幅といった2つの断面寸法とによって特徴付けられる。例えば、円筒形状を有する印刷可能半導体素子は、長さと断面寸法直径(或いは半径)とによって特徴付けられる。
「充填比」とは、材料、素子及び/又はデバイス部品によって占められる第1及び第2の電極等の2つの素子間の領域の比率(パーセンテージ)のことである。本発明の1つの実施形態において、第1及び第2の電極は、第1の電極と第2の電極との間の充填比を20%以上にする、好ましくは一部の用途において50%以上にする、更に好ましくは一部の用途において80%以上にする1又は複数の印刷可能半導体素子と電気的に接触した状態で設けられる。
「基板によって支持される」とは、少なくとも一部が基板表面上に存在する構造又は少なくとも一部が構造と基板表面との間に位置される1つ以上の中間構造上に存在する構造のことである。また、用語「基板によって支持される」とは、基板中に部分的に或いは完全に組み込まれた構造のことであってもよい。
「ソリューション印刷」とは、印刷可能半導体素子等の1つ以上の構造がキャリア媒体中に分散されて基板表面の選択された領域へ一括して供給されるプロセスを示そうとするものである。1つの典型的なソリューション印刷方法において、基板表面の選択された領域に対する構造の供給は、パターニングを受ける基板表面の形態的特徴及び/又は物理的特徴とは無関係な方法によって達成される。本発明において使用できるソリューション印刷方法としては、インクジェット印刷、熱転写印刷、毛管作用印刷が挙げられるが、これらに限定されない。
「略長手方向に向けられた」とは、印刷可能半導体素子等の素子群の長手方向軸が選択されたアライメント軸と略平行に向けられるような方向性のことである。この定義におけるこの文脈において、選択された軸と略平行とは、完全に平行な方向から10度の範囲内にある方向、より好ましくは完全に平行な方向から5度の範囲内にある方向のことである。
「伸縮可能」とは、破壊を受けることなく歪まされる材料、構造、デバイス又はデバイス部品の能力のことである。典型的な実施形態において、伸縮可能な材料、構造、デバイス又はデバイス部品は、破壊されることなく約0.5%を超える変形を受けてもよく、好ましくは一部の用途においては破壊されることなく約1%を超える変形を受けてもよく、更に好ましくは一部の用途においては破壊されることなく約3%を超える変形を受けても良い。
用語「フレキシブルな」及び「屈曲可能な」は、この説明においては同意語として使用されており、材料、構造、デバイス又はデバイス部品の破壊点と見なされる著しい歪みをもたらす変化を受けることなく湾曲形状へ変形される材料、構造、デバイス又はデバイス部品の能力を示している。典型的な実施形態において、フレキシブルな材料、構造、デバイス又はデバイス部品は、約5%以上の歪みをもたらすことなく、好ましくは一部の用途においては約1%以上の歪みをもたらすことなく、更に好ましくは一部の用途においては約0.5%以上の歪みをもたらすことなく湾曲形状へ変形されてもよい。
「半導体」とは、非常に低い温度で絶縁体であるが、約300ケルビンの温度でかなりの導電率を有する材料である任意の材料のことである。この説明において、半導体という用語の使用は、マイクロエレクトロニクス及び電気デバイスの技術でのこの用語の使用と整合させようとするものである。本発明において有用な半導体は、シリコン、ゲルマニウム、ダイヤモンド等の単体半導体や、SiC及びSiGe等のIV族化合物半導体、AlSb、AlAs、Aln、AlP、BN、GaSb、GaAs、GaN、GaP、InSb、InAs、InN、InP等のIII-V族半導体、AlGa1−XAs等のIII-V族三元半導体合金、CsSe、CdS、CdTe、ZnO、ZnSe、ZnS、ZnTe等のII-VI族半導体、I-VII族半導体CuCl、PbS、PbTe、SnS等のIV-VI族半導体、Pbl、MoS、GaSe等の層半導体、CuO、CuO等の酸化物半導体等といった化合物半導体を備えていてもよい。半導体という用語は、真性半導体と、所定の用途又はデバイスのために役立つ有益な電気的特性を与えるためにp型ドーピング材料及びn型ドーピング材料を有する半導体を含む1つ以上の選択された材料がドーピングされた外因性半導体とを含んでいる。半導体という用語は、半導体及び/又はドーパントの混合物を備える複合材料を含んでいる。本発明の一部の用途において有用な特定の半導体材料としては、Si、Ge、SiC、AIP、AlAs、AlSb、GaN、GaP、GaAs、GaSb、InP、InAs、GaSb、InP、InAs、InSb、ZnO、ZnSe、ZnTe、CdS、CdSe、ZnSe、ZnTe、CdS、CdSe、CdTe、HgS、PbS、PbSe、PbTe、AlGaAs、AlInAs、AlInP、GaAsP、GaInAs、GaInP、AlGaAsSb、AlGaInP、GaInAsPが挙げられるが、これらに限定されない。センサや発光ダイオード(LED)及び固体レーザ等の発光材料の分野における本発明の適用においては、多孔質シリコン半導体材料が有用である。半導体材料の不純物は、半導体材料自体以外の原子、元素、イオン及び/又は分子又は半導体材料に対して与えられる任意のドーパントである。不純物は、半導体材料中に存在し且つ半導体材料の電気的特性に悪影響を与える場合がある望ましくない材料であり、酸素、炭素、重金属を含む金属を含んでいるがこれらに限定されない。重金属の不純物としては、周期表の銅と鉛との間にある元素のグループ、カルシウム、ナトリウム、全てのイオン、化合物及び/又はそれらの複合体が挙げられるが、これらに限定されない。金は、半導体の電気的特性を著しく低下させる特定の重金属である。
「プラスチック」とは、一般に加熱されるときに成型又は形成でき、且つ所望の形状へと硬化できる合成材料又は天然材料又はこれらの材料の組み合わせのことである。本発明のデバイス及び方法において有用な典型的なプラスチックとしては、高分子、樹脂、セルロース誘導体が挙げられるが、これらに限定されない。この説明において、プラスチックという用語は、構造的エンハンサー、フィラー、繊維、可塑剤、安定剤、又は、所望の化学的又は物理的な特性を与えることができる添加物等の1つ以上の添加物を伴う1つ以上のプラスチックを備える複合プラスチック材料を含もうとするものである。
「誘電体」及び「誘電材料」は、この説明においては同意語として使用されており、電流の流れに対して高い抵抗を示す物質のことである。有用な誘電材料としては、SiO、Ta、TiO、ZrO、Y、SiN、STO、BST、PLZT、PMN、PZTが挙げられるが、これらに限定されない。
「高分子」とは、一般的にはモノマーと称される複数の繰り返しの化学基を備える分子のことである。高分子は、多くの場合、高い分子量によって特徴付けられる。本発明において使用できる高分子は、有機高分子又は無機高分子であってもよく、アモルファス状態、セミアモルファス状態、結晶状態又は部分結晶状態にあってもよい。高分子は、同じ化学的組成を有するモノマーを備えていてもよく、或いは、コポリマー等の異なる化学的組成を有する複数のモノマーを備えていてもよい。本発明の一部の用途においては、結合されたモノマー鎖を有する架橋重合体が特に有益である。本発明の方法、デバイス、デバイス部品において使用できる高分子としては、プラスチック、エラストマー、熱可塑性エラストマー、弾塑性体、サーモスタット、熱可塑性物質、アクリラートが挙げられるが、これらに限定されない。典型的な高分子としては、アセタール高分子、生分解性高分子、セルロース高分子、フッ素重合体、ナイロン、ポリアクリロニトリル高分子、ポリアミドイミド高分子、ポリイミド、ポリアリレート、ポリベンズイミダゾール、ポリブチレン、ポリカーボネート、ポリエステル、ポリエーテルイミド、ポリエチレン、ポリエチレンコポリマー、改質されたポリエチレン、ポリケトン、ポリメチルメタクリレート、ポリメチルペンテン、ポリフェニレンオキシド及びポリフェニレンサルファイド、ポリフタルアミド、ポリプロピレン、ポリウレタン、スチレン樹脂、スルホン系樹脂、ビニル系樹脂又はこれらの任意の組み合わせが挙げられるが、これらに限定されない。
「エラストマー」とは、伸長させ或いは変形させることができ且つ実質的な永久歪みを伴うことなくその当初の形状に戻すことができる高分子材料のことである。エラストマーは、一般に、ほぼ弾性的な変形を受ける。本発明において有用な典型的なエラストマーは、ポリマー、コポリマー、複合材料又はポリマーとコポリマーとの混合物を備えていてもよい。エラストマー層とは、少なくとも1つのエラストマーを備える層のことである。また、エラストマー層は、ドーパント及び他の非エラストマー材料を含んでいてもよい。本発明において有用なエラストマーとしては、熱可塑性エラストマー、スチレン材料、オレフィン材料、ポリオレフィン、ポリウレタン、熱可塑性エラストマー、ポリアミド、合成ゴム、PDMS、ポリブタジエン、ポリイソブチレン、ポリ(スチレン−ブタジエン−スチレン)、ポリウレタン、ポリクロロプレン、シリコンを挙げることができるが、これらに限定されない。
用語「電磁放射線」とは、電場及び磁場の波のことである。本発明の方法において有用な電磁放射線としては、ガンマ線、X線、紫外線、可視光線、赤外線、マイクロ波、電波、又は、これらの任意の組み合わせが挙げられるが、これらに限定されない。
「良好な電子的特性」及び「高性能」は、この説明においては同意語として使用され、電子信号スイッチング及び/又は増幅等の所望の機能を与える電界効果移動度、閾値電圧、on−off比等の電子的特性を有するデバイス及びデバイス部品を示している。良好な電子的特性を示す本発明の典型的な印刷可能半導体素子は、100cm−1−1以上、好ましくは一部の用途においては約300cm−1−1以上の固有の電界効果移動度を有していてもよい。良好な電子的特性を示す本発明の典型的なトランジスタは、約100cm−1−1以上、好ましくは一部の用途においては約300cm−1−1以上、更に好ましくは一部の用途においては約800cm−1−1以上の固有の電界効果移動度を有していてもよい。良好な電子的特性を示す本発明の典型的なトランジスタは、約5ボルト未満の閾値電圧及び/又は約1×10を超えるon−off比を有していてもよい。
「大面積」とは、デバイス製造のために使用される基板の受け面の面積等、約36平方インチ以上の面積のことである。
「デバイス電界効果移動度」とは、電気デバイスに対応する出力電流データを使用して計算されるトランジスタ等の電気デバイスの電界効果移動度のことである。
「コンフォーマル接触」とは、表面、コーティングされた表面、及び/又は、基板表面上に(印刷可能半導体素子等の)構造を転写し、組み立て、組織化し、集積するために役立ち得る材料がその上に堆積された表面の間で成される接触のことである。1つの態様において、コンフォーマル接触は、基板表面の形状全体に対するコンフォーマブルな転写デバイスの1つ以上の接触面のマクロ適応を伴う。他の態様において、コンフォーマル接触は、アウトボイドとの密接をもたらす基板表面に対するコンフォーマブルな転写デバイスの1つ以上の接触面のミクロ適応を伴う。コンフォーマル接触という用語は、ソフトリソグラフィの技術におけるこの用語の使用と整合させようとするものである。コンフォーマル接触は、コンフォーマブルな転写デバイスの1つ以上の露出した接触面と基板表面との間で成されてもよい。或いは、コンフォーマル接触は、1つ以上のコーティングされた接触面、例えばその上に転写材料、印刷可能半導体素子、デバイス部品及び/又はデバイスが堆積されたコンフォーマブルな転写デバイスの接触面と基板表面との間で行なわれてもよい。或いは、コンフォーマル接触は、コンフォーマブルな転写デバイスの1つ以上の露出された或いはコーティングされた接触面と、転写材料、ソリッドフォトレジスト層、プレポリマー層、液体、薄膜又は流体等の材料でコーティングされた基板表面との間で行なわれてもよい。
「配置精度」とは、電極等の他のデバイス部品の位置に関連する或いは受け面の選択された領域に関連する選択された位置に対して印刷可能半導体素子等の印刷可能な素子を転写するための転写方法又はデバイスの能力のことである。「良好な配置」精度とは、他のデバイス又はデバイス部品に関連する或いは絶対的に正確な位置からの50ミクロン以下の空間的偏り、好ましくは一部の用途においては20ミクロン以下の空間的偏り、更に好ましくは一部の用途においては5ミクロン以下の空間的偏りを伴う受け面の選択された領域に関連する選択された位置に対して印刷可能な素子を転写できる方法及びデバイスを示している。本発明は、良好な配置精度をもって転写される少なくとも1つの印刷可能な素子を備えるデバイスを提供する。
「忠実度」とは、印刷可能半導体素子のパターン等の、素子の選択されたパターンが、基板の受け面に対してどの程度うまく転写されるかについての尺度のことである。良好な忠実度は、個々の素子の相対位置及び方向が転写中に保たれる、例えば個々の素子の選択されたパターン内でのそれらの位置からの空間的な偏りが500ナノメートル以下、好ましくは100ナノメートル以下となる、素子の選択されたパターンの転写を示している。
「ヤング率」は、所定の物質における歪みに対する応力の比率を示す材料、デバイス又は層の機械的な特性である。ヤング率は、以下の式によって与えられてもよい。

ここで、Eはヤング率であり、L0は平衡長、ΔLは加えられた応力下での長さ変化、Fは加えられた力、Aは力が加えられる面積である。また、ヤング率は、以下の方程式によりラメ定数に関して表わされてもよい。

ここで、λ及びμはラメ定数である。高いヤング率(すなわち「高弾性率」)及び低いヤング率(すなわち「低弾性率」)は、所定の材料、層又はデバイスにおけるヤング率の大きさの相対的な記述子である。本発明において、高いヤング率は、低いヤング率よりも大きく、好ましくは一部の用途において約10倍大きく、より好ましくは他の用途において約100倍大きく、更に好ましくは更に他の用途において約1000倍大きい。
以下の説明においては、本発明の正確な性質の完全な解釈を与えるために、本発明のデバイス、デバイス部品及び方法の多数の特定の詳細が示されている。しかしながら、当業者であれば分かるように、これらの特定の詳細が無くても本発明を実施できる。
本発明は、印刷可能半導体素子を製造し、印刷可能半導体素子を基板表面上に組み立てるための方法及びデバイスを提供する。本発明は、単結晶無機半導体、1つ以上の他のデバイス部品に動作可能に接続された半導体構造を備える複合半導体素子、及び、伸縮可能な半導体素子を含む印刷可能な様々な半導体素子を提供する。本発明の方法、デバイス及びデバイス部品は、フレキシブルプラスチック基板上の薄膜トランジスタ等、高性能電子デバイス及び光電子デバイス並びにデバイスの配列を形成できる。
図1は、単結晶シリコンのリボンを備える印刷可能半導体素子を製造して組み立てるための本発明の典型的な方法を概略的に示している。プロセスは、薄い単結晶シリコン層105と、埋設SiO層107と、Si処理層108とを有するシリコンオンインシュレータ(SOI)基板100を形成することによって始まる。場合によって、薄い単結晶シリコン層105上の表面自然酸化物層は、それが存在する場合には、例えばSOI基板100の表面を希釈(1%)HFに晒すことにより除去されてもよい。自然酸化物層を適切に剥離する際には、SOI基板100の外面110の選択された領域がマスキングされ、それにより、外面110上には、マスク要素120のパターン、マスク領域125、露出面領域127が形成される。図1に示される実施形態において、外面110は、外面110のマスク領域125のエッチングを妨げることができるマスク要素120を与える矩形のアルミニウム表面層及び金表面層を用いてパターニングされる。マスク要素120は、正方形、矩形、円、楕円、三角形の形状又はこれらの形状の任意の組み合わせを含むがこれらに限定されない任意のサイズ及び形状を有していてもよい。典型的な実施形態において、所望の幾何学的形状を有するマスク要素を与えるAl/Au層のパターンは、ミクロコンタクト印刷技術、ナノコンタクト印刷技術又はフォトリソグラフィ、エッチング方法(Auに関してはTFA;Alに関してはAL−11プレミックスCyantecエッチャント)を使用して製造される。薄い金属膜を備えるマスク要素の堆積は、例えばAl(20nm;0.1nm/s)及びその後のAu(100nm;1nm/s)の連続的な堆積により、Temescal BJD1800等の電子ビーム蒸発器によって行なわれてもよい。
SOI基板100の外面110は下方へ異方性エッチングされる。図1に示されるように、材料は露出面領域127から選択的に除去されるが、マスク要素120はマスク領域125のエッチングを防止、それにより、僅かに傾斜する側壁141を有する単結晶シリコン構造を備える複数のレリーフ特徴形態140が形成される。レリーフ特徴形態が約100ナノメートルの厚さ147を有する側壁141を持っている典型的な実施形態においては、露出面領域127が約3.5分間にわたってテトラメチルアンモニウム水酸化物(TMAH)に晒される。この実施形態において、エッチングは、好ましくは平均表面位置から10ナノメートル未満の偏りをもって、Al/Auマスク要素120を有する単結晶シリコンのレリーフ特徴形態140上に滑らかな側壁を形成する。レリーフ特徴形態140は、例えば高濃度(49%)HFを使用して下側のSiO層107が部分的に或いは完全に等方性エッチングされるときに、基板100からリフトオフされてもよい。レリーフ特徴形態140のリフトオフは、マスク要素によって覆われた1つの表面を有する別個の単結晶シリコン構造を備える印刷可能半導体素子150を形成する。マスク要素120、すなわち、この例ではAl/Au層は、除去されてもよく、或いは、例えば薄膜トランジスタにおけるソース電極及びドレイン電極として最終的なデバイス構造中に直接に組み込まれてもよい。図1に示されるように、印刷可能半導体素子150は、乾式転写コンタクト印刷技術(矢印166で概略的に示されている)又はソリューション成形方法(矢印165により概略的に示されている)によりプラスチック基板等の基板表面160の受け面上に組み立てられてもよい。両方の組立方法は、周囲環境において室温で実行されてもよく、したがって、低コストなフレキシブルプラスチック基板を含む広範囲な基板と適合する。
印刷可能半導体素子を組み立てるための乾式転写コンタクト印刷方法を使用すると、印刷可能半導体素子のSOI基板からのリフトオフの直前に印刷可能半導体素子の既知の方向及び位置をうまく利用できるという利点が得られる。この場合、印刷可能半導体素子をSOIからデバイス基板上の所望の位置まで移動させる(SiOをエッチングした後で且つシリコンを除去する前)ために、ソフトリソグラフィ転写印刷技術の手順に類似する手順が使用される。特に、コンフォーマブルなエラストマー転写素子は、対象物をSOI表面から拾い上げるとともに、それらを所望の基板に対して転写する。同様に、印刷可能半導体素子は、対象基板の表面上に形成されたレセプタクルパッドを使用してAu冷間溶接により薄いプラスチック基板上に対して直接に転写できる。
典型的な方法において、印刷可能半導体素子150の少なくとも一部は、エラストマー転写スタンプ等のコンフォーマブルな高分子転写デバイス175、高分子転写デバイス又は複合高分子転写デバイスの接触面170とコンフォーマル接触され、それにより、印刷可能半導体素子150の少なくとも一部が接触面150上に接着される。コンフォーマブルな転写デバイス175の接触面170上に堆積された印刷可能半導体素子150は、好ましくは接触面170と基板160の受け面との間でコンフォーマルな接触を成す態様で基板160の受け面と接触される。接触面170は、基板160の受け面と接触される印刷可能半導体素子150から分離され、それにより、印刷可能は半導体素子150が受け面上に組み立てられる。本発明のこの実施形態は、明確な位置及び空間的方向で印刷可能半導体素子150を備えるパターンを受け面上に形成することができる。図1に示される実施形態において、印刷可能半導体素子150は、基板160の受け面上に存在する金パッド162に対して動作可能に接続される。
図2は、基板の受け面上に印刷可能半導体素子を組み立てるための選択的乾式転写コンタクト印刷を示す概略図を与えている。複数の印刷可能半導体素子300は、明確な位置及び空間的方向により特徴付けられる印刷可能半導体素子300の第1のパターン310でマザー基板305上に形成される。コンフォーマブルな転写デバイス315は、複数の別個の結合領域325を伴う接触面320を有するコンフォーマブルな転写デバイス315は、マザー基板305上の印刷可能半導体素子300の少なくとも一部とコンフォーマル接触される。接触面320上の結合領域325は、印刷可能半導体素子310における親和性によって特徴付けられ、化学的に改質された領域であってもよく、このような領域は、PDMS層の表面から延びる水酸基を有しており、或いは、1つ以上の接着層でコーティングされる。コンフォーマル接触は、結合領域325と接触する印刷可能半導体素子310の少なくとも一部を接触面320上に転写する。接触面320に対して転写された印刷可能半導体素子310は、プラスチック基板等のフレキシブル基板であってもよい基板335の受け面330と接触される。半導体素子310及び接触面320のその後の分離により、基板335の受け面330上に半導体素子310のアセンブリが得られ、それにより、第1のパターンの印刷可能半導体素子340とは異なる明確な位置及び空間的方向により特徴付けられる第2のパターンの印刷可能半導体素子340が形成される。図2に示されるように、マザー基板305上に残存する印刷可能半導体素子340は、印刷可能半導体素子の第1及び第2のパターンとは異なる印刷可能半導体素子の第3のパターン345によって特徴付けられる。第3のパターン345を備える印刷可能半導体素子340は、その後、選択的乾式転写方法を含む本発明の印刷方法を使用して基板335又は他の基板上に転写され及び/又は組み立てられてもよい。
図3A〜Cは、本発明の選択的乾式転写コンタクト印刷方法において有益なデバイス、デバイス構造、デバイス部品を示す概略図である。図3Aは、マザー基板305上の複数の印刷可能半導体素子300を示している。この場合、選択された印刷可能半導体素子300は1つ以上の接着コーティング350を有している。図3Aに示されるように、接着コーティング350は明確なパターンで設けられている。図3Bは、複数の別個の結合領域325が明確なパターンで設けられた接触面320を有するコンフォーマブルな転写デバイス315を示している。図3Cは、明確なパターンで設けられたレリーフ特徴形態360を備える3次元レリーフパターン355を有するコンフォーマブルな転写デバイス315を示している。図3Cに示される実施形態において、レリーフパターン355は、1つ以上の接着層で場合によってコーティングされてもよい複数の接触面320を与える。接着コーティング350、結合領域325、レリーフ特徴形態360のパターンは、デバイス構造又は薄膜トランジスタ配列構造等のデバイス配列構造における印刷可能半導体素子300の相対的な位置及び空間的な方向に対応していることが好ましい。
乾式転写印刷方法の使用は、広範囲の組成及び湾曲面を含む表面形態を有する基板上に印刷可能半導体素子を組み立て、組織化し、集積するために本発明において有用である。本方法及び組成の機能的な能力を明らかにするため、シリコンフォトダイオードを備える半導体素子が、エラストマースタンプを利用する乾式転写印刷方法を使用して様々な光学レンズの湾曲面上に直接に(すなわち、接着剤を用いずに)印刷された。図3Dは、ポリカーボネートレンズ(FL100mm)の球面上に印刷されたフォトダイオードの配列の写真を示している。図3Eは、球面ガラスレンズ(FL1000mm)の湾曲面上に印刷されたフォトダイオードの配列の走査型電子顕微鏡写真を示している。図3Eに示された画像のコントラストは、pドープ領域を示すために僅かに高められている。図3Fは、図3Eに描かれたフォトダイオードの光反応を示す、電流(μA)とバイアス電位(ボルト)との間の関係のプロットを与えている。
図4A1及び図4A2は、乾式転写コンタクト印刷を使用する本発明の組立方法における印刷可能半導体素子の好ましい形状を示している。図4A1は斜視図を示しており、図4A2は平面図を示している。印刷可能半導体素子は、第1の端部505と中心領域510と第2の端部515とを有する中心長手方向軸502に沿って延びるリボン500を備えている。図4Aに示されるように、リボン500の幅はその長さに沿って選択的に変わる。特に、第1の端部505及び第2の端部515は中心領域510よりも幅広い。典型的な方法において、リボン500は、マザー基板520をエッチングすることにより形成される。この実施形態において、マザー基板は、第1の端部505及び第2の端部515に近接する犠牲層525を備える2つのアライメント維持要素によりリボン500がマザー基板520に対して単に取り付けられるまでエッチャントに対して等方的に晒される。製造プロセスにおけるこの時点で、エッチングプロセスが停止され、リボン500がコンフォーマブルな転写デバイスに対して接触され及び/又は結合される。犠牲層525が破壊され、転写デバイスがマザー基板520から離される際にリボン500が解放される。この方法は、図4に示される形状を有する複数の印刷可能半導体素子の乾式転写コンタクト印刷に適用されてもよい。本発明のこの方法の利点は、転写ステップ、組立ステップ、集積ステップ中にマザー基板520上の複数のリボン500の方向及び相対位置を正確に保つことができるという点である。犠牲層の厚さに関する典型的な範囲は、〜2μmから100μmまでの間のリボン幅において〜1μmから〜100nmまでである。面白いことには、リボンの開裂は一般に物体の端部(リボンがマザーウエハに対して取り付けられる点/縁に非常に近い)で起こる。幅広いリボンは、通常、リフトオフ中及びそれらがスタンプに対して接着される最中に歪曲しない。
図4B1及び図4B2は、乾式転写コンタクト印刷を使用する本発明の組立方法における印刷可能半導体素子の好ましい形状を示している。図4B1は斜視図を示しており、図4B2は平面図を示している。印刷可能半導体素子は、中心平行な長手方向軸528に沿って延びるリボン527を備えている。リボン527は、中心長手方向軸528に沿うリボンの少なくとも一端をマザー基板529に対して接続するアライメント維持要素530により選択された位置及び方向に保持される。アライメント維持要素530は、リボンの一端又は両端をそれらの中心長手方向軸に沿って規定しないことにより、リボン527のパターニング中に形成される。アライメント維持手段530が破壊され、リボン527は、転写デバイスの接触面を有するリボンとの接触時及びその後のマザー基板520からの離間時に解放される。
ソリューション印刷により組立を達成するために、印刷可能半導体素子150の少なくとも一部がキャリア媒体中に分散され、これにより、半導体素子150及びキャリア媒体を備える懸濁液190が形成される。印刷可能半導体素子150は、基板に対して供給されるとともに、懸濁液を基板160の受け面上にソリューション印刷することにより組み立てられる。ソリューション印刷は、インクジェット印刷、熱転写印刷、スクリーン印刷を含むがこれらに限定されない当分野において既知である多くの技術により行なわれてもよい。図1に示される実施形態において、印刷可能半導体素子150は、基板160の受け面上に存在する金パッド162に動作可能に接続される。
図5A〜Cは、選択された物理的寸法を有する単結晶シリコンのマイクロストリップを備える一連の印刷可能半導体素子150の光学顕微鏡写真及び走査型電子顕微鏡写真を示している。印刷可能半導体素子は、エタノール懸濁液中に示されており、様々なタイプの基板上へのキャストとして示されている。図5Aは、シリコンロッド(幅2ミクロン;厚さ2ミクロン;長さ〜15ミリメートル)のソリューションキャスティングされた絡みマットの光学顕微鏡写真を示している。挿入画は、エタノールの溶液中に分散された印刷可能なシリコンストリップ(それらのうちの約1000万)を示している。図5Bの低分解能SEM画像は、露出されたシリコンウエハ上にソリューションキャスティングされた幾つかの平坦なマイクロストリップ(厚さ340ナノメートル;幅5ミクロン;長さ〜15ミリメートル)の機械的な柔軟性範囲を示している。図5Cは、これらの物体のうちの1つの高分解能SEM画像を示している。異方性ウェットエッチング処理により形成された極めて滑らかな側壁に留意されたい。
また、ワイヤ、板状体、ディスクの形態を成す印刷可能半導体素子が本発明の方法を使用して形成されてもよい。大面積ソフトリソグラフィ技術の使用により、単一の低コストな処理シーケンスで、50nmに至る横方向寸法及びほぼ任意の幾何学的形状を有する多数(すなわち数十億)の印刷可能半導体素子を製造することができる。20ナノメートルほどの横方向寸法を有する印刷可能半導体素子が本発明の方法により製造されてもよい。フレキシブルな電子システムの薄膜トランジスタでの使用において、長く(〜10ミクロン)且つ狭い(〜1ミクロン)単結晶シリコンのストリップを備える印刷可能半導体素子が特に有益である。
図6は、約25ミクロンの厚さを有するPDMSコーティングされたポリイミドシート上の単結晶シリコンマイクロストリップを備える転写された印刷可能半導体素子の画像を示している。上側の挿入画は、このシステムの固有の柔軟性を示している。下側の挿入画は、薄いTi/Auコーティングされたマイラーシート上に冷間溶接された印刷可能なシリコン高密度マイクロストリップ(25ミクロン幅、〜2ミクロン間隔)の平面図顕微鏡写真を示している。図6に示されるように、シリコンマイクロストリップを備える印刷可能半導体素子は、制御された方向にうまくアライメントされて転写される。組み立てにより引き起こされる印刷可能半導体素子のクラッキングは、基板が著しく曲げられた場合であっても、走査型電子顕微鏡を使用する注意深い検査において観察されなかった。下側にはめ込まれた顕微鏡写真画像により示されるように、Auコーティングされた薄いマイラーシートを使用すると、(エラストマー層を必要とすることなく)同様の結果が得られた。この方法では、100%に近い被覆密度を得ることができる。
また、本発明は、誘電体素子、導電素子(すなわち電極)又は更なる半導体素子等の1つ以上の他のデバイス部品に対して動作可能に接続された半導体構造を備える複合印刷可能半導体素子を提供する。薄膜トランジスタを製造するために特に有用な本発明の典型的な印刷可能半導体素子は、集積半導体及び誘電体素子を備えている。このような複合印刷可能半導体素子は、高品質で漏れの無い誘電体を与えるとともに、薄膜トランジスタ中に誘電体素子を製造するための別個のスピンキャスティングステップの必要性を回避する。また、複合印刷可能半導体素子を使用することにより、低コストな印刷技術で大きな基板面積に効率的にデバイスを製造することができる。
以下の文献は、コンタクト印刷技術及び/又はソリューション印刷技術により印刷可能半導体素子を転写し、組み立て、相互に接続するために本発明の方法で使用されてもよい自己組織化技術に関するものである。(1)“Guided molecular self−assembly:a review of recent effort”(Jiyun C Huie Smart Mater.Struct.(2003) 12,264−271);(2)“Large−Scale Hierarchical Organization of Nanowire Arrays for Integrated Nanosystems”(Whang,D.; Jin,S.; Wu,Y.; Lieber,C.M.Nano Lett.(2003) 3(9),1255−1259);(3)“Directed Assembly of One−Dimensional Nanostructures into Functional Networks”(Yu Huang,Xiangfeng Duan,Qingqiao Wei,Charles M.Lieber,Science (2001) 291,630−633);(4)“Electric−field assisted assembly and alignment of metallic nanowires”(Peter A.Smithら,Appl.Phys.Lett.(2000) 77(9),1399−1401)。
本出願で引用された全ての文献は、それらが本出願の開示内容と矛盾しない程度まで、これらをその全体が参照として本明細書に組み込まれる。本明細書で与えられた一部の文献は、出発材料、更なる出発材料、更なる試薬、合成の更なる方法、分析の更なる方法、本発明の更なる使用の出所に関する詳細を与えるために、参照として本明細書に組み込まれる。当業者であれば分かるように、本明細書で具体的に説明したもの以外の方法、デバイス、デバイス素子、材料、手順、技術は、過度な実験に頼ることなく、本明細書で広く開示される本発明の手法に適用できる。本明細書で具体的に記載された方法、デバイス、デバイス素子、材料、手順、技術の全ての当分野において既知である機能的等価物は、本発明によって包含されるものである。
2004年6月4日、2004年8月11日、2005年2月4日、2005年3月18日、2005年5月4日にそれぞれ出願された米国特許出願第60/577,077号、第60/601,061号、第60/650,305号、第60/663,391号、第60/677,617号は、本出願の開示内容と矛盾しない程度までその全体が参照として本明細書に組み込まれる。
ここでは材料、組成、構成部品又は化合物のグループが開示されているが、これらのグループの個々の構成要素及びそれらの全てのサブグループが個別に開示されることは言うまでもない。マーカッシュグループ又は他のグループ分けが本明細書で使用される場合、グループの全ての個々の構成要素及びグループの可能な全てのコンビネーション並びにサブコンビネーションは、開示内容に個別に含まれるべく意図されている。本明細書で説明し、或いは例示する構成要素のすべての処方又は組み合わせは、特に指定のない限り、本発明を実施するために使用できる。明細書において例えば温度範囲、時間範囲或いは組成範囲等の範囲が与えられるときにはいつでも、全ての中間範囲及び部分範囲並びに与えられた範囲中に含まれる全ての個々の値は開示内容中に含まれるものとする。
本明細書で使用される「備える」は、「含む」、「包含する」或いは「によって特徴付けられる」と同じ意味であり、包括的或いは制限がないものであり、更なる列挙されていない要素又は方法ステップを排除するものではない。本明細書で使用される「から成る」は、請求項の要素で特定されていない任意の要素、ステップ又は成分を排除するものである。本明細書で使用される「から本質的に成る」は、請求項の基本的且つ新規な特徴に実質的に影響を与えない材料又はステップを排除しない。ここでは、いずれの場合にも、「備える」、「から本質的に成る」、「から成る」のうちのいずれの用語も他の2つの用語のどれかと取って代えられてもよい。
印刷可能半導体素子を有する薄膜トランジスタ
薄膜トランジスタ中に半導体チャンネルを形成できる本発明の印刷可能半導体素子の能力が実験的研究により検証された。具体的には、本発明の目的は、印刷方法によってフレキシブルプラスチック基板上に製造できる薄膜トランジスタを提供することである。また、本発明の目的は、従来の高温処理方法により製造される薄膜トランジスタと同様もしくはそれを超える電界効果移動度、on−off比、閾値電圧を有するプラスチック基板上の高性能薄膜トランジスタを提供することである。
図7は、印刷可能半導体素子を有する薄膜トランジスタの光学顕微鏡写真画像を示している。図示のトランジスタ531は、ソース電極532と、ドレイン電極533と、印刷可能半導体素子534と、誘電体(図7の顕微鏡写真には示されていない)と、ゲート電極(これも図7の顕微鏡写真には示されていない)とを備えている。薄膜トランジスタは、ゲートとしてのインジウムスズ酸化物(ITO,〜100ナノメートル厚)及びゲート誘電体としての光硬化エポキシ(SU8−5;Microchem Corp)でコーティングされたマイラーシートから成る基板によって支持されている。誘電体のキャパシタンス(2.85nF/cm)は、デバイスの近傍に形成されたキャパシタ試験構造を使用して評価された。このデバイスは、340ナノメートルデバイス層厚及び14−22ohm cmの抵抗率を有するpドープSOIウエハ(Soitec)から製造された〜5ミリメートル長、20ミクロン幅、340ナノメートル厚のマイクロストリップを備えるソリューションキャスティングされた印刷可能半導体素子を使用する。水平な石英チューブ加熱炉内でドライ酸化により25ナノメートル厚のSiO層がシリコンの上面で成長された。ここには、リフトオフ技術によりAl(20ナノメートル)/Au(180ナノメートル)のソース電極及びドレイン電極が形成される。半導体チャンネル長は50ミクロンであり、幅は20ミクロンである。
図8及び図9は、印刷可能半導体素子を有する本発明の薄膜トランジスタから収集された電気的な測定値を示している。デバイスは、上端接触構造を伴うバックゲートSOIデバイスと同様に動作する。半導体は、その長さが50ミクロンに等しいチャンネル内で20ミクロンに等しい幅の単結晶シリコンのマイクロストリップを使用する。この場合の印刷可能半導体素子はソリューションキャスティング方法によりパターニングされた。ソース/ドレイン接点はフォトリソグラフィ及びリフトオフにより形成された。
図8は、予め酸化されたSiウエハ上に形成されたデバイスの電流−電圧(IV)特性を示すプロットを与えている。図9は、ITOゲート及び高分子誘電体でコーティングされたマイラーシート上に形成されたデバイスのVDS=0.1Vで測定された転写特性を示すプロットを与えている。この曲線の勾配は、180cm/Vsの有効デバイス移動度を規定している(この場合には半導体素子マイクロストリップの幅に等しいソース電極及びドレイン電極の実際の幅を使用している)。印刷可能半導体素子に対する接触のためのAl/Auメタライゼーションは、pドープシリコン上のAl(4.2eVの仕事関数)メタライゼーションにおいて期待されるように、シリコンに対するかなり低い抵抗のショットキー障壁接点を与える。アルミニウムはシリコン中へ急速に拡散することで良く知られているが、ポストメタライゼーション高温アニーリングステップが実行されなかったため、局所的なアルミニウム−シリコン相互作用を回避するように特に注意はしなかった。このデバイスのon/off比は103よりも僅かに低い。図9の転写特性の分析は、誘電キャパシタンスのための平行プレートモデルを使用して180cm−1−1の線形な電界効果移動度を示す。この分析は、接触の効果及び閾値電圧の処理誘発変化を無視する。
完全な接触を伴う場合でも、非常に高いアスペクト比(すなわち、巨大な長さ−幅比率)の半導体素子をチャンネル領域(すなわち、ナノチューブ又はナノワイヤ)に組み込むトランジスタが従来のデバイスの応答とは異なる応答を有することを示唆する理論的な論拠がある。これらの影響を回避するため、本発明者らは、トランジスタチャンネル長と同じ程度の大きさの幅を有するマイクロストリップを備える印刷可能半導体素子を選択する。本明細書で観察される特性(移動度、正規化されたトランスコンダクタンス、on/off比)は、Siのエッチング後で且つリフトオフ前にSOI基板上に形成される薄膜トランジスタの特性の〜3/4である。これらの測定値において、埋設SiO酸化物は誘電体としての機能を果たし、また、シリコン支持基板はゲート電極としての機能を果たす。この結果は、印刷可能半導体素子を形成し且つそれをデバイス基板に対して転写するために使用される処理ステップが初期のパターニング及びシリコンエッチングステップから生じるシリコン又はその表面の特性を著しく変化させないということを明らかにする。また、この結果は、SU8誘電体を伴うファンデルワールス界面が良好なデバイス特性をサポートできることを示唆している。
本実施例の製造方法の主な利点は、それが結晶成長及びシリコンの処理をプラスチック基板及びデバイスの他の構成要素から分離するという点である。また、本発明の印刷可能半導体素子を処理する方法は、処理シーケンス及び可能な材料選択において非常に自由度が高い。例えば、本明細書で示した集積ソース/ドレインメタライゼーションにおける方法と同様の方法でシリコンの一方側にSiO層を形成して(例えば、Si元素をリフトオフする前又はSOI埋設酸化物をSiデバイス層と共に取り除く前に熱酸化物を成長させることにより)集積誘電体を生じさせることができる。この方法で生じる誘電体は、プラスチック基板上の多くのソリューションキャスティングされた薄い誘電体における漏れ、ヒステリシス、ドーピング、トラッピング等に関連し得る重大な難問を回避することができる。
図10A〜Hは、複合印刷可能半導体素子を有する薄膜トランジスタの配列を形成するための本発明の方法を示す概略図を与えている。図10Aに示されるように、Kapton、マイラー又はPET等のフレキシブル基板の薄いシートの表面548上にゲート電極547が堆積される。ゲート電極は、フォトリソグラフィ、マイクロ転写印刷、ナノ転写印刷、ソフトリソグラフィ又はこれらの組み合わせを含むがこれらに限定されない当分野において既知である任意の手段によりフレキシブル基板上にパターニングされてもよい。図10Bに示されるように、方法は、SiO誘電体素子560に対して動作可能に接続された単結晶シリコン構造555を備える複数の複合印刷可能半導体素子550を製造するステップを更に備えている。図10Bに示されるように、複合印刷可能半導体素子550は、中心長手方向軸551に沿って選択された長さ552にわたって延びるリボン形状を有している。複合印刷可能半導体素子550は、選択された厚さ553と、厚さに応じて変わる幅とを有している。
図10Cに示されるように、方法は、乾式転写コンタクト印刷又はソリューション印刷により複合印刷可能半導体素子550をゲート電極547上及び基板548上に組み立てるステップを更に備えている。複合印刷可能半導体素子550は、SiO誘電体素子560がゲート電極547と接触するように方向付けられる。図10Dに示されるように、方法は、ポジフォトレジスト561の薄層を基板548のパターニングされた表面上にスピンコーティングするステップを更に備えている。或いは、ローラを使用して基板548のパターン表面に対してポジフォトレジスト561の薄層が塗布されてもよい。ゲート電極547によりマスキングされないフォトレジスト561の領域は、基板548の裏面562を通じて伝えられる電磁放射線ビームに晒される。本発明のこの方法においては、光透過基板548、特に電磁スペクトルの紫外領域及び/又は可視領域を少なくとも部分的に透過する基板548を使用することが好ましい。図10Eに示されるように、方法は、薄いフォトレジスト層を現像するステップを更に備えている。この図に示されるように、ゲート電極によりマスキングされる影である薄いフォトレジスト層561の領域は現像されない。図10Fに示されるように、方法は、集積SiO誘電体をドライエッチング又はウェットエッチングすることによりソース電極又はドレイン電極のための接点を開放するステップを更に備えている。図10Fにより示される実施形態において、これは、基板548のパターニングされた表面をCF4プラズマに晒すことにより達成される。図10Gに示されるように、方法は、シャドウマスク蒸着によりソース電極及びドレイン電極を形成するステップを更に備えている。半導体素子、ソース電極、ドレイン電極のアライメントは、非常に正確である必要はない。これは、半導体チャンネルが次の製造ステップで形成されるからである。図10Hに示されるように、方法は、例えばアセトン等の溶媒に晒してポジレジストをリフトオフすることにより半導体チャンネルを形成するステップを更に備えている。
図11A〜Dは、集積ゲート電極、ゲート誘電体、半導体、ソース電極、ドレイン電極を備える印刷可能なデバイスを製造するための本発明の方法を示す図を与えている。図11Aに示されるように、SOIウエハの表面の熱酸化により高品質のゲート誘電体が成長される。次に、ゲート電極材料(例えば金属又はドープポリシリコン)が堆積される。その後、例えばリソグラフィプロセスを使用して、上端面の選択された領域がマスキングされる。1つの実施形態では、単一のマスキングステップにおいて、制御された間隔を伴う同一のパターンの配列が形成される。その後、異方性ウェットエッチング及び/又はドライエッチングにより印刷可能半導体素子が製造される。優先的に、3つの異なる選択エッチングプロセスが連続的に実行して、ゲート電極材料、ゲート誘電体、上端シリコン層の露出された領域がエッチング除去される。
図11Bに示されるリソグラフィプロセスは、トランジスタのチャンネルを形成するために使用される。このプロセスステップでは、ゲート電極材料の露出された領域がエッチング除去(ドライエッチング又はウェットエッチング)される。図11Cに示されるように、その後、フォトレジストがそのガラス転移を超えて加熱され、それにより、リフロープロセスが開始される。フォトレジストのリフロー距離は、フォトレジスト層の適切な厚さ、フォトレジスト層のガラス転移温度、又は、リフロープロセスの温度及び持続時間を注意深く選択することよって選択できる。その後、HF溶液を使用して、ゲート誘電体の露出された領域がエッチングされる。
次に、図11Dに示されるメタライゼーションプロセスが実行された後、フォトレジスト上に堆積された金属がリフトオフされて、印刷可能なデバイスの製造が完了する。ソース電極及びドレイン電極はゲートとセルフアライメントされ、また、ソース電極とドレイン電極との間の間隔は、リフロープロセスの温度及び持続時間等の様々なパラメータを調整することによって選択されてもよい。
図11Dに示される印刷可能なデバイスは、本発明の乾式転写印刷方法又はソリューション印刷方法によりプラスチック基板等の基板上に転写されて組み立てられてもよい。図11A〜Dに示されるセルフアライメントプロセスは、MOSFETデバイス等の印刷可能なデバイスの実現に必要な全ての素子を集積するための簡単な方法を与える。本発明のこの製造方法の重大な利点は、デバイスをリフトオフして基板へ転写する前に、プラスチック基板に適合しない温度を必要とする(例えば、約400℃を越える温度を必要とする)全てのプロセスステップがSOI基板上で行なわれるという点である。例えば、素子をプラスチック基板上へ転写する前に、ソース接点領域及びドレイン接点領域のドーピング、シリサイド層の形成、デバイスの高温アニーリング等の更なる処理ステップを行なうことができる。
伸縮可能な印刷可能半導体素子
本発明は、伸張、収縮或いは変形の際に良好な性能を与えることができる伸縮可能な印刷可能半導体素子を提供する。また、本発明の伸縮可能な印刷可能半導体素子は、十分にフレキシブルな電子デバイス及び光電子デバイスを提供するために広範なデバイス構造に適合されてもよい。
図12は、本発明の伸縮可能な印刷可能半導体素子を示す原子間力顕微鏡写真を与えている。伸縮可能な印刷可能半導体素子700は、支持面710を有するフレキシブル基板705と、湾曲した内面720を有する湾曲半導体構造715とを備えている。この実施形態において、湾曲半導体構造715の湾曲した内面720の少なくとも一部は、フレキシブル基板705の支持面710に対して結合される。湾曲した内面720は、内面720に沿う選択された点で、或いは、内面720に沿う全ての点で、支持面710に対して結合されてもよい。図12に示される典型的な半導体構造は、約100ミクロンに等しい幅及び約100ナノメートルに等しい厚さを有する単結晶シリコンの湾曲リボンを備えている。図12に示されるフレキシブル基板は、約1ミリメートルの厚さを有するPDMS基板である。湾曲した内面720は、リボンの長さに沿って延びるほぼ周期的な波によって特徴付けられる輪郭形状を有している。図12に示されるように、波の振幅は約500ナノメートルであり、ピーク間隔は約20ミクロンである。図13は、湾曲した内面720を有する湾曲半導体構造715の拡大図を与える原子間力顕微鏡写真を示している。図14は、本発明の伸縮可能な印刷可能半導体素子の配列の原子間力顕微鏡写真を示している。図14の原子間力顕微鏡写真の分析によれば、湾曲半導体構造が約0.27%だけ圧縮されているのが分かる。図15は、本発明の伸縮可能な印刷可能半導体素子の光学顕微鏡写真を示している。
湾曲面720の輪郭形状により、湾曲半導体構造715は、実質的な機械的歪みを受けることなく変形軸730に沿って拡張又は収縮することができる。また、この輪郭形状により、半導体構造は、著しい機械的損傷又は歪みによって引き起こされる性能の損失を伴うことなく、変形軸730以外の方向に湾曲し、収縮し或いは変形できてもよい。本発明の半導体構造の湾曲面は、伸縮可能性、柔軟性、及び/又は、屈曲可能性等の良好な機械的特性を与え及び/又は例えば収縮、拡張又は変形時に良好な電界効果移動度を示すような良好な電子性能を与える任意の輪郭形状を有していてもよい。典型的な輪郭形状は、複数の凸領域及び/又は凹領域によって、また、正弦波、ガウス波、Aries関数、方形波、ローレンツ波、周期波、非周期波又はこれらの任意の組み合わせを含む多種多様な波形によって特徴付けられてもよい。本発明において使用できる波形は、2つ又は3つの物理的寸法に関して変化してもよい。
図16は、その支持面710上に3次元レリーフパターンを有するフレキシブル基板705に対して結合された湾曲半導体構造715を有する本発明の伸縮可能な印刷可能半導体素子の原子間力顕微鏡写真を示している。3次元レリーフパターンは陥凹領域750及びレリーフ特徴形態760を備えている。図16に示されるように、湾曲半導体構造715は、陥凹領域750内及びレリーフパターン760上で支持面710に対して結合されている。
図17は、本発明の伸縮可能な半導体素子を形成する典型的な方法を示すフローチャートを示している。典型的な方法においては、予め歪みが加えられた拡張状態の弾性基板が与えられる。このような歪みの事前付加は、弾性基板をロールプレスし及び/又は予め湾曲することを含むがこれらに限定されない当分野において既知である任意の手段により達成することができる。本発明のこの方法で使用できる典型的な弾性基板は、約1ミリメートルに等しい厚さを有するPDMS基板である。弾性基板は、単一の軸に沿う拡張によって或いは複数の軸に沿う拡張によって予め歪みが加えられてもよい。図17に示されるように、印刷可能な半導体構造の内面の少なくとも一部は、予め歪みが加えられた拡張状態の弾性基板の外面に対して結合される。結合は、半導体表面の内面間の共有結合により、ファンデルワールス力により、接着剤を使用することにより、或いは、これらの任意の組み合わせにより達成されてもよい。弾性基板がPDMSである典型的な実施形態において、PDMS基板の支持面は、シリコン半導体構造との共有結合を容易にするために複数の水酸基がその表面から延びるように化学的に改質される。図17を再び参照すると、予め歪みが加えられた弾性基板と半導体構造との結合後、弾性基板を少なくとも部分的に緩和状態へと弛緩させることができる。この実施形態において、弾性基板の弛緩は、上記印刷可能な半導体構造の内面を湾曲させ、それにより、湾曲した内面を有する半導体素子が形成される。
図17に示されるように、製造方法は、場合によって、湾曲した内面720を有する半導体構造715が弾性基板から他の基板、好ましくはフレキシブル基板へと転写される第2の転写ステップを含んでいてもよい。この第2の転写ステップは、湾曲した内面720を有する半導体構造715の露出面を、半導体構造715の露出面に結合する他の基板の受け面と接触させることにより達成されてもよい。他の基板に対する結合は、共有結合、ファンデルワールス力による結合、接着剤の使用を含む当分野における任意の手段によって行なわれてもよい。
本発明の伸縮可能な半導体素子は、トランジスタ、ダイオード、レーザ、MEMS、NEMS、LEDS、OLEDS等の多数の機能デバイス及びデバイス部品中に効果的に組み込まれてもよい。本発明の伸縮可能な半導体素子は、従来の硬質な無機半導体を超える特定の利点を有している。第1に、伸縮可能な半導体素子は、フレキシブルであり、したがって、従来の硬質な無機半導体よりも屈曲、湾曲及び/又は変形により引き起こされる構造的損傷を受け難くい。第2に、湾曲半導体構造は、湾曲した内面を与えるために僅かに機械的に歪みが加えられた状態にあるため、本発明の伸縮可能な半導体素子は、従来の歪みが加えられていない無機半導体よりも高い固有の電界効果移動度を示すことができる。最後に、伸縮可能な半導体素子は、デバイス温度循環時に自由に拡張及び収縮することができるため、良好な熱特性を与える可能性が高い。
印刷可能半導体素子の形成方法
本発明は、単結晶ウエハ、シリコンオン基板ウエハ、ゲルマニウムウエハ、多結晶シリコンの薄膜、超薄シリコンウエハを含む広範な出発材料から印刷可能半導体素子を形成する方法を提供する。特に、本発明は、選択された方向及び相対位置に多数の印刷可能な半導体を形成する低コストな方法を提供する。
図18Aは、Si−Geエピ基板から印刷可能半導体素子を形成するための典型的な方法を示している。この方法において、Siエピ層の選択領域は、金属、SiO又はSiNを備える薄膜等のマスク材料を堆積させることによりマスキングされる。このマスキングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。Si−Geエピ基板の露出されたSi表面は、ドライ化学エッチング方法又はウェット化学エッチング方法により異方性エッチングされる。これにより、例えば50℃でNHOH:H:HO 1:1:4により与えられる選択SiGeウェットエッチングを使用してリフトオフ技術によりSi−Geエピ基板から効果的に解放可能な好ましくは滑らかな側壁を有するシリコンのレリーフ特徴形態が形成される。場合によって、ソース電極、ゲイン電極、ゲート電極、誘電体素子又はこれらの任意の組み合わせは、リフトオフ前に半導体素子中に組み込まれてもよい。この製造方法の利点は、マザー基板を洗浄して再使用できるという点である。
図18Bは、バルクシリコン基板、好ましくは単結晶シリコン基板から印刷可能半導体素子を製造するための典型的な方法を示している。この方法においては、まず最初に、例えば石英チューブ加熱炉内において約800℃〜約1200℃の範囲から選択される温度でシリコンウエハがドライ酸化される。次に、シリコンウエハの酸化された表面上にゲート材料の薄層が堆積される。典型的なゲート材料は、金属又はドープ多結晶シリコンを含んでいる。ゲート材料の薄層は、フォトレジストを用いて選択的にパターニングされる。このパターニングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。ゲート材料の薄層及び誘電体層が異方性バックエッチングされ、それにより、フォトレジスト層と、ゲート材料層と、誘電体層と、シリコン層とを備える好ましくは滑らかな側壁を有するレリーフ特徴形態が形成される。次に、例えば約100℃〜約130℃の範囲から選択される温度までアニールすることによりフォトレジスト層がリフローされる。フォトレジストのレフローにより、フォトレジストの一部がレリーフ特徴形態の側壁へ転写される。図18Bに示されるように、ウェットエッチング方法又はドライエッチング方法を使用して露出されたSi表面が等方性エッチングされ、それにより、レリーフ特徴形態が解放されて、好ましくは滑らかな表面を有する複合印刷可能半導体素子が形成される。シリコンの等方性エッチングは、HNO:NHF:HO 64:3:33溶液を使用して達成されてもよい。この製造方法の利点は、シリコン基板出発材料の比較的低いコスト、及び、平坦化(ECMP)後にマザー基板を再使用できることである。
図18Cは、バルクシリコン基板、好ましくは単結晶シリコン基板から印刷可能半導体素子を製造する他の典型的な方法を示している。この方法では、フォトレジストを用いてバルクシリコン基板の外面が選択的にパターニングされる。このパターニングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。パターニングされた基板表面は、好ましくは反応性イオンエッチングや誘導結合プラズマエッチング等のドライエッチング方法を使用して異方性エッチングされ、それにより、レリーフ特徴形態、好ましくは滑らかな側壁を有するレリーフ特徴形態が形成される。レリーフ特徴形態の側壁の少なくとも一部は、金属、SiO又はSiNの薄層等のマスキング材料を堆積させることによりマスキングされる。1つの実施形態においては、マスキング材料が、サンプル回転を組み合わせたスパッタリング堆積技術又は傾斜気相堆積技術によりレリーフ特徴形態の側壁に対して加えられ、それにより、全ての露出された側壁が堆積される。図18Cに示されるように、ウェットエッチング方法又はドライエッチング方法を使用して、露出されたSi表面が等方性エッチングされ、それにより、レリーフ特徴形態が解放されて、好ましくは滑らかな表面を有する印刷可能半導体素子が形成される。シリコンの等方性エッチングは、HNO:NHF:HO 64:3:33溶液を使用して達成されてもよい。この製造方法の利点は、シリコン基板出発材料の比較的低いコスト、及び、平坦化(ECMP)後にマザー基板を再使用できることである。
図18Dは、バルクシリコン基板、好ましくは単結晶シリコン基板から印刷可能半導体素子を製造する更に他の典型的な方法を示している。この方法では、フォトレジストを用いてバルクシリコン基板の外面が選択的にパターニングされる。パターニングされた基板表面が異方性エッチングされ、それにより、レリーフ特徴形態が形成される。次に、例えば石英加熱炉内において約1100℃の温度で且つ窒素中でアニーリングすることによりシリコン基板がアニール処理される。次に、フォトレジストを用いて選択された領域をマスキングすることにより、アニールされたシリコン基板の表面がパターニングされる。このパターニングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。図18Dに示されるように、ウェットエッチング方法又はドライエッチング方法を使用して、アニールされたSi基板のパターニングされた表面が異方性エッチングされ、それにより、好ましくは滑らかな表面を有する印刷可能半導体素子が形成される。この製造方法の利点は、シリコン基板出発材料の比較的低いコスト、平坦化(ECMP)後にマザー基板を再使用できること、及び、ソース電極、ドレイン電極、ゲート電極、誘電体デバイス部品をアニーリングステップ後に集積できることである。また、ウェットエッチングは、110シリコンウエハを用いた最初のエッチングステップで使用されてもよい。
図18Eは、超薄シリコン基板から印刷可能半導体素子を製造する典型的な方法を示している。この方法では、フォトレジストを用いて超薄シリコン基板の外面が選択的にパターニングされる。このパターニングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。パターニングされた基板表面は、超薄シリコン基板の厚さにわたって異方性エッチングされ、それにより、印刷可能半導体素子が形成される。この製造方法の一部の用途においては、約10ミクロン〜約500ミクロンの範囲から選択される厚さを有する超薄シリコン基板が好ましい。この製造方法の利点は、超薄シリコン基板出発材料の比較的低いコストである。
図18F及び図18Gは、多結晶シリコンの薄膜から印刷可能半導体素子を形成するための典型的な方法を示している。この方法では、SiN又はSiOを備えるコーティング等の犠牲表面層を有するガラス基板又はシリコン基板等の支持基板上に多結晶シリコンの薄層が堆積される。その後、多結晶薄膜がアニールされ、金属、SiO又はSiNを備える薄膜等のマスク材料を堆積させることにより、露出された表面の選択領域が選択的にマスキングされる。このマスキングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。パターニングされた表面は、ドライ化学エッチング方法又はウェット化学エッチング方法により異方性エッチングされ、それにより、犠牲層により指示されるシリコンのレリーフ特徴形態、好ましくは滑らかな側壁を有するレリーフ特徴形態が形成される。犠牲層を等方性エッチングすることによりレリーフ特徴形態が解放され、それにより、印刷可能半導体素子が形成される。製造方法の利点は、支持基板を洗浄して再使用できるという点である。或いは、多結晶シリコンの薄層がSiO基板上に直接に堆積されてもよい。図18Gに示されるように、印刷可能半導体素子を形成するために、同様のアニーリングステップ、パターニングステップ、異方性エッチングステップ、リフトオフステップが使用されてもよい。場合によって、これらの方法のいずれかにおいては、ソース電極、ゲイン電極、ゲート電極、誘電体素子又はこれらの任意の組み合わせは、リフトオフ前に半導体素子中に組み込まれてもよい。
図18H(1)及び図18H(2)は、本発明の印刷可能半導体素子を使用して単結晶半導体膜を形成するための方法を示している。図18H(1)に示されるように、アモルファス又は多結晶半導体薄膜は、SiO等の絶縁材料を備える基板の表面上に形成される。薄いアモルファス又は多結晶半導体薄膜は、気相堆積マタハスパッタリング堆積等の堆積技術を含むがこれらに限定されない当分野において既知である任意の手段により形成されてもよい。また、図18H(1)を参照すると、単結晶半導体構造を備える印刷可能半導体素子は、薄いアモルファス又は多結晶半導体膜で覆われた基板の表面上に転写される。この方法の一部の用途においては、1つの長い横方向寸法を有する単結晶半導体構造を使用することが好ましい。また、本発明は、アモルファス又は多結晶半導体薄膜の堆積前に単結晶半導体構造を備える印刷可能半導体素子が基板表面上に転写される方法も含んでいる。
図18H(2)に示されるように、薄いアモルファス又は多結晶半導体膜は、単結晶半導体構造と接触されつつ、高温、例えば1000℃を超える温度のアニーリングによりアニール処理される。本発明のこの実施形態において、単結晶半導体構造は、アモルファス又は多結晶状態から十分に組織化された単結晶状態への相転移を薄膜の全体にわたって促進するシードとしての機能を果たす。図18H(2)に示されるように、ウエハの全面にわたって動く高い温度勾配の前に続く。半導体薄膜の効率的な相変換を得るために必要な温度勾配を形成するために異なる高温加熱炉又は集束光学系が使用されてもよい。このプロセスの利点は、単結晶シリコン又はゲルマニウム膜等の単結晶半導体薄膜を形成するコストを大きく低減させることができるという点である。
図18Iは、GaAs基板からマイクロワイヤを備える印刷可能半導体素子を製造する典型的な方法を示している。この図に示されるように、GaAs基板の露出面は、フォトレジスト等のマスク材料を用いてパターニングされる。パターニングは、ミクロコンタクト印刷又はナノコンタクト印刷により或いは図18Eに示される従来のフォトリソグラフィにより達成されてもよい。パターニングされた表面は、ウェットエッチング方法を使用して異方性エッチングされる。図示の実施例の方法においては、HPO−H−HO溶液を使用して側壁の凹角形状が得られ、また、形成されたレリーフ特徴形態は、それらがGaAs基板から解放されるまでエッチングされ、それにより、GaAsマイクロワイヤが形成される。図示のように、フォトレジスト層は、アセトンを用いて洗浄し且つO反応性イオンエッチングに晒すことにより除去されてもよい。この技術の利点は、平坦化(ECMP)後にGaAs基板を再使用できるという点である。また、この技術は、InP基板からマイクロワイヤを製造するために使用されてもよい。
図18Jは、単結晶シリコンリボンを備える印刷可能半導体素子を製造するための代替の方法を示している。この方法における出発材料はSi(110)ウエハである。図18Jに示されるように、Si(110)ウエハの外面は、処理中にマスクとしての機能を果たすSiOの薄膜を用いて選択的にパターニングされる。このマスキングステップは、製造される印刷可能半導体素子の形状及び物理的寸法(例えば、リボンに関する長さ及び幅)の一部を定める。Si(110)ウエハの露出された(すなわち、マスキングされていない)表面は、その後、ドライ又はウェット化学エッチング方法により等方性エッチングされる。この処理ステップは、好ましくは選択された深さを有する一連のトレンチにより分離された滑らかな側壁を有するシリコンのレリーフ特徴形態を形成する。シリコンレリーフ特徴形態は、その後、等方性エッチング及びリフトオフ処理によりSi(110)ウエハから解放され、それにより、印刷可能半導体素子が形成される。場合によって、ソース電極、ゲイン電極、ゲート電極、誘電体素子又はこれらの任意の組み合わせは、リフトオフ前に半導体素子中に組み込まれてもよい。この製造方法の利点は、マザー基板を洗浄して再使用できるという点である。また、図18Jは、処理方法における様々な点でのSi(110)のSET顕微鏡写真を示している。
図18Kは、単結晶シリコンリボンを備える印刷可能半導体素子を製造するための他の方法を示している。この方法における出発材料はSi(111)ウエハである。Si(111)ウエハは、例えば従来のフォトリソグラフィマスキング方法とウェットエッチング方法との組み合わせを使用して選択等方性エッチングされる。この処理ステップはシリコンのレリーフ特徴形態を形成する。図18Kに示されるように、シリコンレリーフ特徴形態の側壁、表面、又はこれらの両方は、不動態化プロセスを使用してコーティングされる。印刷可能な単結晶シリコンリボンは、等方性エッチング及びリフトオフ処理によりSi(111)ウエハから解放される。また、図18Jは、リフトオフの直前にこの方法により形成される単結晶シリコンリボンのSET顕微鏡写真を示している。
半導体ナノワイヤ及びマイクロワイヤを形成する方法
本発明の目的は、多種多様なデバイス、デバイス部品、デバイスセットで使用できる良好な機械的特性及び電気的特性を有する半導体ナノワイヤ及びマイクロワイヤを形成する方法を提供することである。また、本発明の目的は、選択された単層構造、多層構造、これらの素子を備える機能デバイスを構成するためにナノワイヤ及びマイクロワイヤを組み立てる方法を提供することである。本発明の有用性を評価するため、GaAs及びInPのナノワイヤ及びマイクロワイヤが製造され、それらの導電率及び一連のデバイス構造における機械的な柔軟性に関して評価された。また、大きな基板表面積に対応する明確な位置及び方向で多数のナノワイヤ及びマイクロワイヤを組み立てることができる本方法の能力は、単層構造及び多層構造を備える多数の複雑なナノ/マイクロワイヤアセンブリを製造することにより評価された。GaAs及びInPのナノワイヤ及びマイクロワイヤを形成して組み立てる本方法は、ワイヤ幅、長さ、空間的方向にわたって優れた制御を行なうことが実証された。また、製造されるGaAs及びInPのナノワイヤ及びマイクロワイヤは、マイクロ電子デバイスに集積される際に良好な機械的特性及び電気的特性を示した。
図19は、GaAsのナノワイヤ配列を形成するとともに、これを、硬化ポリウレタン(PU)の薄層でコーティングされたポリ(エチレンテレフタレート)(PET)シートを備えるプラスチック基板等の基板に対して転写する典型的な方法のステップを示す概略図を与えている。図19に示されるように、プロセスは、その表面が(100)方向に沿って向けられた一片のGaAsウエハから始まる(American Xtal Technology,Fremont,CA)。

方向に沿って向けられたラインの形態でSiOのエッチマスクを規定することにより、体積比率がHPO(85重量%):H(30重量%):HO=1:13:12のHPO及びHの水溶液を使用する異方性エッチングのための構造が形成される(図19のステップi)。このエッチング成分は、この形態で適用されると、高い異方性を示し、これにより、SiOマスクストライプ下で厳格に規定される逆メサ形状のGaAsのプロファイルが形成される。十分なエッチング時間にわたって、各逆メサの2つの側壁が交差し、それにより、三角形の断面を有するワイヤが形成される。この三角形の断面は、図19のパネルA(左側)の上側の挿入画において例示されている。
1つの実施形態においては、パターニングされたSiOラインがバルクSiO膜によって取り囲まれ、それにより、各GaAsワイヤの両端がマザーウエハに対して接続される。この接続は、ワイヤを閉じ込めるとともに、SiOのパターンによって規定されるレイアウト及び空間的方向を保つ。図20Aは、孤立したSiOラインでパターニングされたGaAsウエハから得られる自立構造のGaAsワイヤの走査型電子顕微鏡写真を示している。GaAsの横方向のアンダーカットが垂直エッチングと共に生じ、それにより、SiOラインがミクロン幅を有している場合であっても結果として得られるGaAsワイヤの幅をナノメートルスケールまで減少させることができることは注目に値する。
本方法によって形成されるGaAsワイヤ配列は、配列中の個々のワイヤの方向及び相対位置を保ったままプラスチックシートに対して転写印刷されてもよい。図19に示される実施形態では、ワイヤを手に入れるために、コンフォーマブルなエラストマー転写素子、例えばポリ(ジメチルシロキサン)又はPDMS、Sylgard 184,A/B=1:10、(Dow Corning)の平坦な断片がGaAsウエハ上に置かれる(図19のステップiiに示されている)。この実施形態では、ワイヤの端部で下側の基板に対する結晶接続を破壊するために、PDMSシートとSiOマスク層との間に比較的強い結合が必要とされる。
弱い酸素プラズマを用いてSiOマスクを有するGaAsウエハ及びPDMSスタンプを洗浄することにより、縮合反応によるPDMSとSiOとの間の共有シロキサン(Si−O−Si)結合の形成が促進される(図19の中央の挿入画を参照)。したがって、本発明は、エラストマー転写素子に対するSiOマスクを有する半導体ウエハの効果的で且つ機械的に強い転写を行なうためにエラストマー転写素子、SiOマスクを有する半導体ウエハ、或いは、これらの両方が弱い酸素プラズマに晒される方法を含んでいる。界面にわたる結合の密度は、酸素プラズマの強度及び処理時間に大きく依存するPDMS表面上の−OSi(OH)4−nの数に比例する。強いプラズマを用いて長時間処理すると、PDMSから所望のプラスチック基板へワイヤを解放するにはあまりにも強すぎる結合が生じ得る。制御実験によれば、PDMS及びSiOでコーティングされたGaAsウエハが、10ミリトールの圧力、10sccmの流量、10Wの電力強度において、Oから形成されるプラズマにより3秒間及び60秒間それぞれ処理され(Uniaxis 790,Plasma−Therm Reactive Ion Etching System)、それにより最良の結果が得られた。これらの実施形態において、電子ビーム気相堆積されたSiOマスク層とGaAsとの間の相互作用は、転写プロセス中の層間剥離を防止するのに十分強い。PDMSスタンプを〜2時間にわたってSiOマスクを有するGaAsウエハと接触させたままにした後にGaAs基板から剥離すると、全てのワイヤがリフトオフされる(図19のステップiiiに示されている)。
本方法は、多数のナノワイヤ及び/又はマイクロワイヤの製造及び組み立てを実質的に可能にする。例えば、ワイヤ製造の他の実行(図19のステップiv)のための平坦な表面を再び形成するべく、転写ステップ(図19のステップiii)後のGaAsウエハを研磨することができる。ウエハ研磨と前述したワイヤ製造とを組み合わせると、ウエハの1つの断片から莫大な数のGaAsワイヤを形成することができる。例えば、直径が10cmで且つ厚さが450μmの一片のGaAsウエハ(American Xtal Technologyから市販されている)は、異方性エッチング及び研磨の1つのサイクルが2μmの厚さのGaAsを費やす場合に1.76mの面積を有するプラスチック基板の全面を密に覆うため、十分なワイヤ(幅が〜400nmで且つ長さが100μmの〜22億本のワイヤ)を形成することができる。これらの状況は、本実施例で説明した結果の典型的なものである。したがって、このようにワイヤ製造ステップ後にワイヤ研磨ステップを行なうことを繰り返し適用することにより、費用効率が高いバルクウエハの使用が可能になる。
図19のステップv及びviに示されるように、SiOマスク要素を有するGaAsワイヤは、基板、例えばその外面に接着層を有するプラスチック基板に対して効果的に転写させることができる。1つの実施形態において、GaAsが結合されたPDMSスタンプは、1日にわたって周囲環境に晒され、或いは、エタノールを用いて濯がれ、それによりPDMS表面がその自然の疎水状態へ再構成される。PDMS表面のこの疎水特性は、PDMSが通常は親水性である接着剤と強く相互作用することを実質的に防止する。再生されたPDMSスタンプがプラスチック基板(例えば、〜175μmの厚さのPET、マイラー膜、Southwall Technologies,パロアルト、カリフォルニア州)上にスピンコーティングされたPU層(ニュージャージー州のクランベリーにあるNolarlandプロダクツから得られる)等の接着層に対して配置されると、SiOマスクストライプに付着されたGaAsワイヤだけが接着剤に対して可溶性になる。PU層の厚さは、スピン速度(回転速度)を制御することにより1ミクロンから10ミクロンまで変化することができる。紫外線ランプ(Model B 100 AP,Black−Ray,アップランド、カリフォルニア州)でサンプルを1時間にわたって照射すると、PU層が硬化し、硬化したPUとGaAsワイヤとSiOマスクストライプとの間及び硬化したPUと下側のPETシートとの間に強力な結合が形成される(図19のステップv)。PDMSスタンプの剥離は、リフトオフ前のワイヤの順序及び結晶方向と同様の順序及び結晶方向を維持しつつ、GaAsワイヤ及びSiOストライプを、硬化されたPUのマトリクス中に組み込んだままにする(図19のステップvi)。PDMSスタンプからのSiOの分離は、2つの効果、すなわち、i)PDMS表面の再構成プロセス中に更に弱まるSiOとPDMSとの間の界面の薄いシロキサンボンドに関連する適度な接着強さ、及び、ii)アモルファスで、緻密でなく、脆弱となり得る、SiOの凝集破壊後にPDMS上に残るSiOの超薄層(数ナノメートルの厚さを有する)、によって可能になる。プラスチックシートを緩衝酸化物エッチャントの溶液(BOE、NHF(40重量%):HF(49重量%)=10:1)中に15分間にわたって浸漬すると、SiOマスクストライプが除去され、それにより、GaAsナノワイヤの清浄な(100)上面が現れて残る(図19のステップvii)。
GaAsワイヤ配列を製造して乾式印刷するこのような簡単な「トップダウン」手法は多くの利点を与える。例えば、ワイヤの幾何学的性質(すなわち、長さ、幅、形状)及びそれらの空間的方向は、所望の電子分野又は光学分野の用途の設計を満たすために最初のリソグラフィステップによって規定することができる。転写印刷技術は、リソグラフィにより形成されたパターンを維持しつつ100%という高い歩留りを形成することができる。プラスチック基板上の転写されたワイヤのうまく方向付けられた結晶面(すなわち、上端(100)面)は、デバイス製造にとって非常に有用な極めて平坦な上端面(オリジナルウエハの平坦度と同様の平坦度を有する)を与える。また、SiOマスクストライプは、GaAsワイヤの上端面がPDMS、PU、処理で使用される溶媒等の有機物により汚染されないようにする。GaAsワイヤを硬化したPU中に組み込むと、GaAsワイヤが固定化され、それにより、特にプラスチック基板が曲げられ或いは捻られるときに、GaAsワイヤが横方向又は垂直方向に移動することが防止される。PU及びPETが本発明で使用できる材料の単なる一例であるということに留意することは重要である。したがって、当業者であれば分かるように、本発明の方法においては、他の接着剤、例えばNEA 155(Norland(登録商標))及び他のタイプのプラスチックシート、例えばKapton(登録商標)又はポリイミド膜を使用することができる。
従来技術の「ボトムアップ」手法とは異なり、本発明の「トップダウン」プロセスは、数ミクロンから最大で数十センチメートル(すなわち、オリジナルウエハの直径)の均一の長さを有するGaAsナノワイヤを形成することができる。図20Aは、マザーウエハ上に無作為に組み立てられた幅が〜400nmで且つ長さが2cmの自立構造のGaAsナノワイヤのSEM画像を示している。長いナノワイヤは、乾燥プロセス中に、それらの狭い幅によって与えられる高い自由度を示す湾曲構造を形成した。図20Aの下側の挿入画に示されるように、円形のナノワイヤは〜20μmほどの小さい曲げ半径を有しており、これは、幅が〜400nmのナノワイヤが〜1.3%の歪みに耐えることができることを示唆している。図20Aの上側の挿入画は、ナノワイヤのリフトオフ前の断面の走査型電子顕微鏡写真画像を与えており、GaAsの逆メサプロファイルの形成及び異方性エッチングからのアンダーカットを明確に示している。
本発明の1つの態様において、GaAsワイヤの幅は、SiOマスクラインの幅を選択的に調整することにより、エッチング時間を選択的に調整することにより、或いは、これらの両方を選択的に調整することにより、制御される。本方法を使用すると、数百ミクロン〜数十ナノメートルの幅が達成できる。エッチング時間を制御すると、ミクロン幅を有するSiOパターンからナノワイヤを形成するための簡単な方法が得られる。図20B〜Eは、2μm幅のSiOラインでパターニングされたGaAsウエハをエッチングすることにより得られる個々のワイヤの走査型電子顕微鏡写真画像を示している。ワイヤは、それらの上端面の平均幅(

と称する)を正確に測定するために前述した手順を使用してPDMS表面に対して転写された。図20Fは、エッチング時に本方法により形成されたワイヤの上端面の平均幅

の依存性を示すプロットを与えている。このプロットは、本発明のこの実施形態を使用すると最小で50nmまでの幅を有するGaAsワイヤを得ることができることを示している。幅とエッチング時間との間の線形な関係は、HPO−H−HO溶液中のGaAsのエッチング動力学に関する先の研究と一致する。すなわち、エッチング速度は、HとHPOとの間のモル比(nH2O2/nH3PO4)が2.3よりも大きく且つHOのモル分率(rH2O)が0.9以下の時(本発明者らの実験で使用されたエッチャントのnH2O2/nH3PO4及びrH2Oがそれぞれ7.8及び0.9である時)にエッチング時間に比例した。統計的な結果によれば、ワイヤの幅の分布(ワイヤの長さに沿って平均することにより決定される)は、〜50nmの幅を有するワイヤに関しては<9%であった。これは、〜16.8nmの平均幅を与えると報告された「ボトムアップ」ナノワイヤの1つのタイプにおける>14%変動よりも若干狭い。
また、図20B〜Dに示される走査型電子顕微鏡写真画像は、薄層化プロセス中にワイヤの三角形断面が維持されることも示しており、これは、自立構造GaAsワイヤの場合であってもエッチングの異方性が高いことを示唆している。ワイヤを緻密に観察すると、ワイヤの側壁上には何らかの粗雑な部分が存在する。この粗雑な部分の殆どは、SiOマスクストライプを形成するために使用されるリソグラフィ処理により直接にもたらされるものであり、その一部は、マスクラインのずれ及びエッチングそれ自体によって引き起こされる。この粗さは、本発明のこの実施形態を使用して本発明者らが得ることができる最小の連続ワイヤの幅を決定する。図20Fに示されるように、個々のワイヤに沿う幅変化と平均ワイヤ幅との間の比率

もエッチング時間に大きく依存した。比率が100%を下回ったときに連続するGaAsナノワイヤを形成することができる。図20Fに示される曲線は、本発明のこの実施形態の適用から得られるナノワイヤの幅を〜40nmまで減らすことができることを示している。異なる平均幅を有するナノワイヤは、個々のワイヤに沿う同じ幅変化(すなわち、〜40nm)を実質的に示し、これは、個々のSiOマスクラインに沿う幅変化(すなわち、〜36nm)に近かった。この比較により、ワイヤ側壁の粗さがエッチング時間に無関係に主にSiOマスクストリップの粗いエッジによって生じることが確かめられる。したがって、マスクストライプの粗さを減少させるリソグラフィ処理を使用すると、ワイヤのエッジの粗さが減少する。この実施例で説明した転写印刷プロセスが、電気的な接続及び最終的な基板(すなわち、図19のPET)上にデバイスを製造するためにワイヤの本来の超平坦なエッチングされていない上端面を露出させることに留意することは重要である。
図21A〜Gは、PDMS基板及びPU/PET基板上に印刷された様々なGaAsワイヤ配列の画像を示している。この場合のワイヤは、〜400nmの幅及び〜100μmの長さを有している。対応するSiOマスクラインは、(100)GaAsウエハ上で

方向に沿って向けられた100μmの長さ及び2μmの幅を有していた。図21Aは、SiOマスク層を介して平坦なPDMSスタンプに結合されたGaAsワイヤ配列から得られる走査型電子顕微鏡写真画像であり、ワイヤの順序が維持されていることを示している。図21Aの挿入画は、3つのワイヤの端部を比較的高い倍率で示しており、ワイヤの端部における破損を明確に表わしている。図21Bに示されるように、PDMSスタンプを硬化したPUから剥離すると、SiOマスクストライプを有する滑らかな面(PDMSと同じ程度に滑らか)が現れて残る。図21Cに示されるように、BOEを用いてSiO層をエッチング除去すると、GaAsワイヤの本来の上端面が露出される。図21Dは、GaAsワイヤが組み込まれたPU/PET基板から収集された光学画像であり、図19に示される方法を使用してPU/PET基板上に大面積のワイヤ配列を定期的に印刷できることを示している。他のパターン(例えば、長さが異なるワイヤから成るパッチ)を有するGaAsワイヤ配列をPU/PET基板に対して転写することもできる。
転写プロセスは、PUの新たな層をスピンコーティングすることにより同じPET基板上にGaAsワイヤ配列の複数の層を印刷するために繰り返される。これらの方法は、ナノワイヤ及び/又はマイクロワイヤを備える多層構造を形成するための重要な経路を与える。図21E及び図21Fは、GaAsワイヤ配列の二重層を有する多層構造の一般的な画像を示している。1つの実施形態において、このような多層構造は、第1の層に対して異なる角度(E及びFに関してそれぞれ〜90°及び〜45°)をもって第2の層を回転させることにより得られる。図21Gは、図21E及び図21Fに示されるサンプルに対して印刷プロセスを繰り返すことにより得られるGaAsワイヤ配列の3つの層を有するPU/PET基板の画像を示している。スピン速度(回転速度)を調整することにより制御できるPU層の厚さは、ワイヤ配列間の間隔を制御する。無論、この種の多層能力は任意の形態のエピタキシャル成長を必要とし、また、PUは、異なるレベル(層)で配列を絶縁する。この製造能力は、多数のデバイス製造用途において有用である。
本発明のワイヤ製造技術及び印刷技術は、適当な異方性エッチャントを使用して他の半導体材料から成るワイヤ配列をプラスチック基板上に形成するために使用できる。例えば、Brの1%(v/v)メタノール溶液中で

方向に沿うSiOマスクラインを有する(100)InPウエハをエッチングすることにより、断面が三角形のInPワイヤが製造される。図22A〜Cは、PMDS基板及びPU/PET基板上のInPワイヤ配列の走査型電子顕微鏡写真画像を示している。これらのワイヤは、長さが50μmで且つ幅が2μmのSiOラインでパターニングされたInPウエハから製造された。図示のワイヤは、〜35μm及び〜1.7μmの長さ及び幅をそれぞれ有している。Brのメタノール溶液中でのInPのエッチング作用は、ワイヤ端部の形状及び横方向アンダーカットに関して、HPO−Hの水溶液中でのGaAsのそれとは大きく異なっている。例えば、エッチングプロセスは、GaAsワイヤの製造において使用されるエッチマスクと同様のエッチマスクを用いた場合であっても、InPワイヤの全ての端部をマザーウエハから切り離した(図21)。また、InPにおけるアンダーカットの程度はGaAsにおけるそれよりも小さく、これは、エッチング時間を制御することによってではなく狭いSiOストライプを使用することにより幅が小さい(500nm未満)InPワイヤを更に簡単に形成できることを示している。
PU/PET基板上にGaAsワイヤ配列(1.1〜5.6×1017cm−3のキャリア密度を有するシリコンドープn型GaAsウエハから製造された図21に示されるGaAsワイヤ配列と同じもの)と共に形成された単純な2端子ダイオードデバイスの機械的な柔軟性は、曲げ半径に応じて電気的特性を測定することにより評価された。図19の方法にしたがって形成されたGaAsワイヤ配列を用いて構造体が製造された。フォトリソグラフィ及び金属堆積は、これらのワイヤ上に、Ti/Au(5nm/150nm)によって形成され且つ10μmだけ離間された2つのショットキー接点を形成した。図23Aは、GaAsワイヤ配列を備える典型的な2端子ダイオードデバイスの概略図及び画像を示している。基板を高濃度のHCL溶液中に10分間にわたって浸漬すると、電極の堆積の直前にGaAsワイヤの表面上の自然酸化物層が除去された。
図23Bは、異なる曲げ半径で記録された電流−電圧(I−V)曲線を示している。これらの曲線は全て予期されたダイオード特性を示している。これらの曲線間の僅かな違いは、基板の曲げ半径(R)が0.96cmであった場合でもGaAsナノワイヤが殆ど破壊されなかったことを示唆している。この場合のPET表面上の歪みは〜0.92%であった。これは、図20Aの挿入画に示される自立構造のGaAsナノワイヤ中に存在すると推定される歪みよりも小さい。また、これらの結果から、この「トップダウン」製造方法により形成されるGaAsナノワイヤがフレキシブルであり湾曲可能なプラスチックシートと一体化できることが確認される。本発明者らは、基板を最初に湾曲させた後に基板が弛緩状態となったときに電流が湾曲前に当初のデバイスから記録された電流よりも〜40%小さかったことをデータが示していることに注目している。図23Cは、異なる曲げ半径で曲げた後の弛緩状態後の2端子ダイオードデバイスに関して測定された電流−電圧(I−V)曲線を示している。比較のため、図23Cの黒色の曲線は、湾曲前のデバイス構造に対応する電流−電圧曲線を示している。しかしながら、最初の湾曲/非湾曲サイクル後における曲げ半径及び複数の湾曲/非湾曲サイクルに伴うI−V特性の変化が無いことは、電流の1回限りの減少が電極とワイヤとの間の界面で特性の最初の変化によって引き起こされる場合があることを示唆している。
従来のフォトリソグラフィ及び異方性化学エッチングとこれらの材料のバルク高品質単結晶ウエハとを組み合わせて使用すると、断面が三角形のInP及びGaAsから成るマイクロワイヤ及びナノワイヤへの魅力的な「トップダウン」ルートが形成される。ワイヤの寸法及びワイヤの組織は、リソグラフィ条件及びエッチング条件の適切な選択、例えばエッチング時間の選択により、選択的に調整可能である。マザー基板上に得られたワイヤ配列は、ワイヤが埋め込まれる接着剤の薄層でコーティングされたプラスチック基板に対して高い忠実度で効果的に転写印刷することができる。マザーウエハは研磨後に再使用することができ、それにより、多数のワイヤを単一のウエハから形成することができる。「トップダウン」ナノワイヤ/ミクロンワイヤのこの「乾式」転写印刷は、ワイヤの順序及び結晶方向の維持並びにワイヤの活性表面の純度に関して「ボトムアップ」ナノワイヤの「湿式」組み立てを超える多くの利点を与える転写プロセスの新たなクラスを表わす。特に100−200nmよりも幅広いワイヤが有用となるマクロエレクトロニクス用途においては、本発明の「トップダウン」製造方法が多くの魅力的な特徴を有している。本明細書で明らかにしたプラスチック基板上のワイヤのシステムは、優れた曲げ性及びこのクラスの用途における大きな使用可能性を示す。
印刷可能半導体素子のためのソリューション印刷方法
本発明は、大面積の多くの基板上にわたって印刷可能半導体素子を転写して組み立てることができるソリューション印刷方法を提供する。本発明のこの態様は、多種多様な半導体デバイス及びデバイス部品に適用できる連続的な高速製造方法を提供する。
本発明のこの態様の1つの方法では、ハンドル素子を有する印刷可能半導体素子が設けられる。この説明との関連で、用語「ハンドル素子」とは、基板表面への液相供給後に印刷可能半導体素子の位置及び/又は方向を制御操作できる構成要素のことである。1つの実施形態では、磁場、電場又はこれらの両方に応答する材料から成る層をそれぞれが備える1つ以上のハンドル素子を有する半導体素子が設けられる。本発明のこの態様は、静電気力及び/又は静磁気力を使用して基板表面上の印刷可能半導体素子をアライメントし、位置決めし及び/又は方向付ける方法を提供する場合に有益である。或いは、本発明は、レーザ誘起モーメンタム転写プロセスに応答する材料から成る層をそれぞれが備える1つ以上のハンドル素子を有する半導体素子が設けられる方法を提供する。本発明のこの態様は、1つ以上のハンドル素子を有する印刷可能半導体素子を一連のレーザパルスに晒すことにより基板表面上の印刷可能半導体素子をアライメントし、位置決めし及び/又は方向付ける方法(例えばレーザツイーザーズ方法)を提供するために有益である。或いは、本発明は、毛管現象により形成される力に応答する液滴をそれぞれが備える1つ以上のハンドル素子を有する半導体素子が設けられる方法を提供する。本発明は、1つ以上のハンドル素子又は1つ以上の異なるタイプのハンドル素子、例えば異なるタイプの場に応答するハンドル素子を有する印刷可能半導体素子を使用する方法及びデバイスを含んでいる。ハンドル素子は、マイクロ構造、ナノ構造、マイクロワイヤ、ナノワイヤ、マイクロリボン、ナノリボンを含むがこれらに限定されない本発明の多くのタイプの印刷可能半導体素子において設けられてもよい。
本発明のこの態様においては、それぞれが1つ以上のハンドル素子を有する1つ以上の印刷可能半導体素子が溶液中又はキャリア流体中に分散されて基板表面に供給される。印刷可能半導体素子と溶液/キャリア流体との混合物の供給は、基板表面の周囲に無作為に印刷可能半導体素子を分配する。次に、基板表面上に無作為に分配された半導体素子は、印刷可能半導体素子のハンドル素子の存在に起因する力の適用により、基板表面上で選択された位置及び方向へ協調して移動される。本発明のこの態様は、ハンドル素子を有する印刷可能半導体素子を、選択されたデバイス又はデバイス部品構造に対応する整然とした配列或いは位置及び方向へとアライメントするのに役立つ。例えば、磁性材料から成る層を備える1つ以上のハンドル素子を有する印刷可能半導体素子は、適切な強度分布及び方向を有する磁場の印加により基板表面上で選択された位置及び方向へと移動されてもよい。この実施形態において、選択された強度分布及び方向を有する磁場は、1つ以上の強磁性要素又は電磁要素を基板の近傍に位置決めし(例えば、基板表面の後方、基板表面の上側、及び/又は、基板と並んで位置決めする)、それにより、印刷可能半導体素子又は選択されたデバイス又はデバイス部品構造の所望のアセンブリ、パターン又は構造に対応する選択された強度分布及び方向を形成することにより加えられてもよい。本発明のこの態様において、溶媒、キャリア流体又はこれらの両方は、蒸発或いは脱離方法を含む当分野において既知である任意の手段により、ハンドル素子の操作を介した印刷可能半導体素子の選択的な位置決め及び方向付けの前、最中又は後において除去されてもよい。
図24は、磁気タグを備えるハンドル素子を有する印刷可能半導体素子をソリューション印刷するための本発明の典型的な方法を示す概略図を与えている。図24に示されるように、それぞれが薄いニッケル層を備える複数の磁気タグを有する印刷可能半導体素子が設けられる。1つの実施形態において、薄いニッケル層は、ミクロサイズ又はナノサイズの半導体構造の表面上に設けられる。この実施例におけるハンドル素子のためのニッケルの使用は単なる一例であり、これらの方法では、Co,Fe,Gd,Dy,MnAs,MnBi,MnSb,CrO,MnOFe,NiOFe,CuOFe,MgOFe、遷移金属−准金属合金等のアモルファス強磁性合金を含むがこれらに限定されない任意の結晶又はアモルファス強磁性材料が使用されてもよい。
図24の概略図のステップIに示されるように、それぞれがハンドル素子を有する複数の印刷可能半導体素子は、溶液中へ分散されるとともに、基板の表面上へ流し込まれる。このステップは、不規則な分布の位置及び方向で印刷可能半導体素子を基板表面に対して供給する。その後、図24の概略図のステップIIに示されるように、印刷可能半導体素子に対して、磁場、好ましくは選択された強度分布及び方向を有する磁場が印加される。図24に示される概略図では、印刷可能な半導体をその上に有する基板表面の反対側に1つ以上の磁石の磁極を位置決めすることにより、選択された強度分布及び方向を有する磁場が印加される。強磁性材料のように、磁場とハンドル素子を備えるニッケル層との相互作用は、基板表面上で印刷可能な半導体を所望の位置及び方向へ移動させる力を形成する。図24に示される実施形態では、印刷可能半導体素子の長い側面の略平行なアライメントにより特徴付けられる整然とした配列へと印刷可能半導体素子を方向付ける磁場が印加される。図24の概略図のステップIIIに示されるように、電気的接続を確立する態様で且つ磁場の印加により定められた方向を維持する態様で順序付けられた配列を備える印刷可能半導体素子の端部上に電気接続部を堆積させることができる。
図25は、本発明のソリューション印刷方法を使用して、薄いニッケル層を備えるハンドル素子を有する印刷可能半導体素子を備えるマイクロ構造の整然とした配列を形成する状態を実際に示す幾つかの光学画像を与えている。図25の左側のパネルに示される光学画像は、磁場の印加を伴うことなく基板表面上に印刷可能な半導体が分散された基板表面に対応している。これらの画像に示されるように、印刷可能半導体素子は基板表面上に無作為に分配される。図25の右側のパネルに示される光学画像は、磁場の印加により基板表面上に印刷可能な半導体が分散された基板表面に対応している。左側のパネルに示される画像とは異なり、磁場が印加される状況に対応する光学画像は、整然とした配列に対応する選択された方向及び位置で印刷可能半導体素子が設けられることを示している。図25の左側のパネル及び右側のパネルに示される画像を比較すれば分かるように、選択された強度分布及び方向を有する磁場を印加すると、個々の印刷可能半導体素子を選択された位置及び方向へ移動させる力を形成することができる。
デバイス製造の当業者であれば分かるように、図25の右側のパネルにおける印刷可能半導体素子の位置及び方向は、本発明のソリューション印刷方法を使用して得られる方向及び位置の単なる一例である。印刷可能半導体素子上におけるハンドル素子の適切な位置の選択、及び、選択された強度分布及び方向を有する適切な磁場の選択は、半導体素子の位置及び方向のほぼ任意の分布を形成するために使用されてもよい。
フレキシブルプラスチック基板上における高性能単結晶シリコントランジスタの製造
本発明の目的は、フレキシブル基板上に組み立てられた印刷可能な高品質半導体素子を備える湾曲可能なマクロ電子デバイス、マイクロ電子デバイス及び/又はナノ電子デバイス及びデバイス部品を提供することである。また、本発明の目的は、湾曲可能な電子デバイス、例えば従来の高温処理方法により製造される薄膜トランジスタの電界効果移動度、on−off比、閾値電圧と同様又はそれらを超える電界効果移動度、on−off比、閾値電圧を示す湾曲可能な薄膜トランジスタを提供することである。最後に、本発明の目的は、低温での大面積のフレキシブル基板上における効率的な高スループット処理、例えばプラスチック基板上での室温処理に適合する湾曲可能な電子デバイスを提供することである。
湾曲構造及び平面構造を特徴とする高いデバイス性能を示す有用なマクロ電子デバイス及び/又はマイクロ電子デバイス及びデバイス部品を提供できる本方法、デバイス、構造の能力は、実験的研究によって検証された。これらの測定結果は、単結晶Siリボン、GaAsワイヤ、InPワイヤ及び単一壁カーボンナノチューブを含む一連の高品質半導体をプラスチック基板上に堆積させることにより湾曲可能な薄膜トランジスタを組み立てることができる優れた位置合わせ精度能力を示す乾式転写コンタクト印刷技術を本発明が提供することを明らかにしている。例えば、これらの実験的研究の結果は、乾式転写された印刷可能な単結晶シリコン素子の空間的に明確な配列を備える湾曲可能な薄膜タイプのトランジスタが、例えば0Vに近い閾値電圧及び〜240cm/Vsの線形状態で評価される平均デバイス有効移動度等の高いデバイス性能特性を示すことを表わしている。また、これらの研究によれば、本発明の薄膜トランジスタは、前方曲げ及び後方曲げに晒される際に有機半導体、機械的なローバスト性及び柔軟性を伴って形成されるデバイスに相当する曲げ性(すなわち、故障が生じる際の歪み)を示す。
大面積のフレキシブル基板上における高性能プリント回路は、センサ、ディスプレイ、医療機器及び他の分野において広範囲の用途を有する新たな形態のエレクトロニクスに相当する。プラスチック基板上に必要なトランジスタを形成することは、これらのマクロ電子システムの達成への挑戦を表わしている。ここ数年にわたって探求されてきた幾つかの手法は、ガラス/石英基板上に従来のシリコン系の薄膜トランジスタ(TFT)を製造するために使用されるタイプのプロセスステップの改良された低温バージョンに基づいている。単結晶シリコン膜を製造するために開発された方向性凝固プロセス(すなわち、cwレーザ、集束ランプ、電子ビーム又はグラファイトストリップヒータを使用したSiO上でのSi膜のゾーンメルティング再結晶法)に関連する高い温度により、この手法がプラスチック基板を用いた使用に適さなくなる。レーザに基づく手法は、ある限られた成功度を達成したが、均一性、スループット、低コストプラスチックとの併用がかなりの連続する実験的難問を引き起こす。プラスチック基板上に対する予め形成された回路の直接的なウエハ全体の転写は、幾つかの有用なデバイスをもたらしたが、この手法は、大面積へとスケーリングすることが難しく、低コストで大面積のマクロエレクトロニクスにおいて重要となり得る印刷型製造シーケンスを維持しない。有機半導体材料は、フレキシブルエレクトロニクスへの他の経路を与える。この場合は、勿論、室温堆積により、有機系電子材料をプラスチック基板と一体化させることができる。しかしながら、現在知られている有機半導体材料は、僅かなデバイス移動度しか得られない。例えば、これらの材料の高品質な単結晶でさえ、n型及びp型デバイスにおいてはそれぞれ、1−2cm/Vs及び〜10−20cm/Vsの範囲の移動度を有している。
流体自己組織化等の他の製造技術は、移動度が高い材料を形成するための高温ステップを、プラスチック基板上にデバイスを形成するために必要な低温処理から分離する。しかしながら、これらの方法は、堆積された対象物の組織化又は配置の効果的な制御を可能にしない。
図26Aは、本発明の典型的な湾曲できる薄膜トランジスタデバイスを製造するために使用されるステップを示している。最初に、フォトリソグラフィは、シリコンオンインシュレータウエハ(100nmの上端Si層及び145nmの埋設酸化物を有するSoitec unibond SOI)の表面上にフォトレジストのパターンを形成した。このレジストは、SF6プラズマ(Plasmatherm RIE システム、40sccmSF6流量、50ミリトールのチャンバベース圧力、25s間にわたる100W RF電力)を用いてSOIウエハの上端シリコン層をドライエッチングするためのマスクとしての機能を果たした。高濃度のHF溶液は、埋設酸化物をエッチングするとともに、印刷可能な単結晶シリコン半導体素子をそれらの基板から遊離させた(しかし、完全に浮かして除去することができなかった)。ポリ(ジメチルシロキサン)(PDMS)の平坦な断片は、ウエハの上端面とコンフォーマル接触され、その後、リボンの相互に接続された配列を取り戻すために注意深く剥離された。フォトレジストとPDMSとの間の相互作用は、除去のために効率良く2つ一緒に結合するのに十分である。
ポリ(エチレンテレフタレート)(PET;厚さ〜180μm)プラスチックシートでコーティングされたインジウム−スズ−酸化物(ITO;厚さ〜100nm)はデバイス基板としての機能を果たした。ITOをアセトン&イソプロパノールで洗浄して脱イオン水で濯いだ後、ITOを窒素蒸気で乾燥させて、ITOの表面を清浄した。短い酸素プラズマ(Plasmatherm RIE システム、20sccmO流量、100ミリトールのチャンバベース圧力、10s間にわたる50W RF電力)を用いてITOを処理すると、ITOとスピンキャスティングされたエポキシの誘電体層との間の接着が促進される(66%のSU8−2000シンナーで希釈されたMicrochem SU8−5の30秒間にわたる3000RPM)。この感光エポキシは、〜1分間にわたりホットプレート上において50℃で予め硬化された。その表面上に印刷可能な単結晶シリコン半導体素子を有するPDMSを温かいエポキシ層に接触させてPDMSを剥離すると、印刷可能な単結晶シリコン半導体素子がエポキシに対して転写された。この結果は、シリコンと柔らかいエポキシ層との間の結合力(その一部は、印刷可能な単結晶シリコン半導体素子のエッジの周囲の流れに起因して、機械的なものである)がフォトレジストとPDMSスタンプとの間の結合力よりも強いことを示唆している。エポキシ層は、100℃で5分間にわたり完全に硬化され、10秒間にわたって透明基板の背面からUV光に晒された後、高分子を交差結合するために5分間にわたり115℃でポストベーク処理された。フォトレジストマスク(転写ステップ中に、印刷可能な単結晶シリコン半導体素子の上端面の汚染を都合良く防止する)がアセトンに溶解され、その後、脱イオン水を用いてサンプルが十分に濯がれた。
ソース電極及びドレイン電極は、印刷可能な単結晶シリコン半導体素子の上端面上に堆積されたTi(〜70nm;Temescal電子ビーム蒸発器)を用いて形成された。Ti上にパターニングされたフォトレジストマスク(Shipley S1818)を介したエッチング(1:1:10 HF:H:Dl 〜2秒間)はこれらの電極の幾何学的形状を規定した。製造の最後のステップは、デバイスの位置にシリコンの島を形成するためのフォトレジストマスクを介したドライエッチング(前述したRIEパラメータを使用するSF)に関するものであった。図26Bは、薄膜トランジスタのボトムゲートデバイス構造の概略図を、デバイス配列の一部の高倍率光学画像及び低倍率光学画像と共に示している。
図27Aは、接点の影響を無視する標準的な電界効果トランジスタモデルの適用によって評価される、飽和状態で140cm/Vs及び線形状態で260cm/Vsの有効デバイス移動度を示す本発明の湾曲可能な薄膜トランジスタの電流電圧特性を示している。しかしながら、これらのデバイスのショットキー接点の高い抵抗(〜90Ωcm)は、デバイス応答に著しい影響を及ぼす。図27Bは、線形(左軸)スケール及び対数(右軸)スケール上にプロットされた幾つかのデバイスの転写特性を示している。挿入画中のプロットは、閾値電圧が0Vに近い狭い分布を有していることを示している。転写特性における小さい(±10Vサイクルにわたって電流が<4%)ヒステリシスは、シリコン(自然酸化物を有する)とエポキシ誘電体との間の界面における低密度の捕獲電荷を示している。正規化された閾値下の傾きの小さな値(≦13V.nF/dec.cm)はこの界面の良好な品質を裏付けており、この品質は、主に、シリコンとその自然酸化物との間の界面によって支配される。図27Cは、本方法によって製造された幾つかの湾曲可能な薄膜トランジスタの線形有効移動度の分布を示している。ガウスフィットは、30cm/Vsの標準偏差で240cm/Vsの中心値を示している。幾つかの低い値は、デバイスの電極又は他の構成要素における目に見える欠陥に関連している。エポキシ誘電体の均一性は、トランジスタゲート誘電体、256個の(200×200μm)正方形コンデンサを形成するために使用される方法及び同じ基板を形成して使用することにより調査された。図27Cの挿入画は、測定されたキャパシタンス値を示している。ガウスフィットは、エポキシ層の優れた電気的及び物理的な均一特性を裏付ける2%未満の標準偏差を示している。様々な周波数(1kHz〜1MHz)で行なわれるキャパシタンス測定は、誘電率の僅かな(<3%)周波数依存性を示している。
本発明の湾曲可能な薄膜トランジスタの機械的な柔軟性及びローバスト性は、前方曲げ試験及び後方曲げ試験を行なうことにより調査された。図28Aは、印刷可能な単結晶シリコン半導体素子の顕著な柔軟性を示すソリューションキャスティングされたリボンの高分解能走査型電子顕微鏡写真(左側の挿入画)を示している。図28の右側の挿入画は、この研究で評価された湾曲可能な薄膜トランジスタを曲げるために使用される実験装置の画像を示している。プラスチックシートが曲げられるときに薄膜トランジスタで生じる歪みを最大にするため、これらの研究では比較的厚い(〜180μm)プラスチック基板が使用された。図28Bは、引っ張り歪み及び圧縮歪みに晒されたときのエポキシ誘電体のキャパシタンスの僅かな(〜<1%)線形変化を示している(上側の挿入画を参照)。ここでは、屈曲シートの有限要素モデルを使用して曲げ半径及び歪みの値が計算された。屈曲シートの曲げ形状(幾つかの曲げ半径に関して)と有限要素法を用いて得られる形状との比較は、シミュレーションの精度を裏付けた。図28の下側の挿入画は、ゲートバイアス電圧及びドレインバイアス電圧の両方が4Vの場合において測定されたデバイスの飽和電流の変化を示している。湾曲可能な薄膜トランジスタが動作できる引っ張り歪みの最大値は、(〜0.9%の引っ張り歪み値を損なう)ITOゲート電極の故障によって制限されると思われる。湾曲可能な薄膜トランジスタは、1.4%の高さの圧縮歪みにおいても、良好に動作する。このレベルの曲げ性は、ペンタセンに基づく有機トランジスタに関して最近報告された曲げ性に相当する。この湾曲可能な薄膜トランジスタの故障は、SOIウエハの最上層からエッチングされたミクロンサイズの単結晶シリコン物が高い引っ張り歪み(>6%)に対してかなり持ちこたえることができることをTakahiroらが最近明らかにしたように[T.Namazu,Y.Isono,T.Tanaka J.MEMS 9,450(2000)]、非常に高い歪みにおいてのみ生じやすい。
本発明者らのデバイスの歪みに伴う出力電流の僅かな変化の原因は、歪みに伴う移動度の周知の変動が寄与しているものの完全には知られておらず、これらの変化を十分に明らかにしない。この実施例で本発明者らが説明するタイプのデバイスによれば、バルクSiウエハが曲げられるときに容易に達しない歪み値で機械的に歪められたシリコンの電荷輸送を調査する新たな機会を得ることができる。
要するに、この実施例は、本発明により提供されるシリコンのための簡単且つ効率的なパラレル印刷プロセスによりプラスチック基板上に形成される湾曲可能な単結晶シリコントランジスタの高いデバイス性能及び有益な機械的特性を明らかにしている。本発明者らの知る限りでは、これらのデバイスの性能は、同様の度合いの機械的曲げ性を示す最良のデバイス(シリコン系等)の性能を超える。印刷可能なシリコン半導体素子の形状、物理的寸法、組成(例えばドーピングされ或いはドーピングされない)にわたるトップダウン制御及び印刷技術は、他の手法と比べて大きな利点を与える。また、結果として得られるデバイスの機械的な柔軟性は優れている。更に、これらの同じ一般的な手法は、他の無機半導体(例えばGaAs、GaN等)に対して適用できるとともに、幅広いフレキシブルなマイクロ電子デバイス及びマクロ電子デバイス並びにデバイス部品、例えば太陽電池、ダイオード、発光ダイオード、相補型論理回路、情報記憶デバイス、バイポーラ接合トランジスタ、FETトランジスタ等を製造するために使用できる。したがって、本発明の方法及びデバイスは、フレキシブルな電子製品を形成するための膨大な範囲の製造用途において有益である。
印刷可能ヘテロ半導体素子及び印刷可能ヘテロ半導体素子を備えるデバイス
本発明は、マルチ材料素子を備えるヘテロ印刷可能半導体素子、関連するデバイス、デバイス部品を提供する。この実施例の印刷可能ヘテロ半導体素子は、選択された空間的分布を成すドーパントを有する半導体層を備えるとともに、一連のマクロ電子デバイス、マイクロ電子デバイス及び/又はナノ電子デバイスにおける機能を強化する。
有用な電子的特性を示すヘテロ印刷可能半導体素子を製造できる本方法の能力は実験的研究により検証された。また、印刷可能な素子を機能デバイスへ組み立てるための本方法の適用可能性は、接点のための集積ドープ領域を有する印刷可能な単結晶シリコン半導体素子を備えるフレキシブルな薄膜トランジスタの製造により実証された。
マクロエレクトロニクスとして知られる大面積の機械的にフレキシブルな電子システムは、家庭用電化製品、センサ、医療機器、他の分野における一連の用途において魅力的である。これらのシステムのための半導体として、様々な有機材料、無機材料、有機/無機ハイブリッド材料が検討されてきた。単結晶シリコンマイクロ/ナノ素子(集合的に印刷可能シリコン半導体素子と称されるワイヤ、リボン、板状体等)を形成するためのこの「トップダウン」技術手法の使用は、フレキシブル基板上に高性能薄膜トランジスタを製造するのに役立つことが実証された代替の手法である。また、この製造手法は、GaAs、InP、GaN、カーボンナノチューブ等の他の重要な半導体材料に適用できることも実証された。
本手法の重要な特徴は、その高品質使用、その後のデバイス組立ステップとは別個に成長されて処理される半導体材料のウエハベースソースである。別個の半導体処理ステップ及び組立ステップは、プラスチック基板等の最もフレキシブルな基板に適合する比較的低温(例えば、室温±30℃)でのデバイス組み立てを可能にする。本発明は、高品質な半導体が成長されるだけでなく、フレキシブル基板上での印刷可能半導体素子の組み立てを含むその後の製造ステップから独立した製造ステップにおいて他の方法で高品質な半導体が処理される方法を含んでいる。1つの実施形態において、本発明は、高温処理の最中にドーパントが半導体中へ導入され、その後、結果として得られるドープ半導体材料が、様々な有用な電子デバイスへ組み立てることができる印刷可能ヘテロ半導体素子を形成するために使用される方法を含んでいる。半導体をドーピングする場合において役立つ処理ステップは、高温処理と、ドーパントがそれらの1次元、2次元又は3次元空間分布にわたって制御される(すなわち、注入領域及び注入深さにわたって制御される)方法で導入される処理とを含んでいる。1つの方法において、半導体は、低温基板とは独立に行なわれるステップにおいてウエハ製造レベルで行なわれるスピンオンドーピング処理により選択的にコンタクトドーピングされる。コンタクトドーピングは、半導体材料中におけるドーパントの空間的分布にわたって正確な制御を行ない、したがって、その後のパターニングステップ及びエッチングステップにより、集積ドープ領域を有する高品質な印刷可能ヘテロ半導体素子を製造することができる。ソリューション印刷方法及び乾式転写コンタクト印刷方法は、これらの印刷可能ヘテロ半導体素子を、達成できる優れたデバイス性能及び優れた曲げ性を示す薄膜トランジスタ等のデバイスへと組み立てるのに理想的に適している。
図29Aは、PET基板上に印刷可能ヘテロ半導体素子を備えるトランジスタを形成するための製造プロセスの概略図を示している。この実施形態において、印刷可能ヘテロ半導体素子は、ドープソース(S)接点及びドープトレイン(D)接点を有する結晶シリコンを備えている。図29Aに示される手法は、シリコンオンインシュレータウエハ(SOI;100nmの上端Si層及び200nmの埋設酸化物を伴うSoitec unibond )の上端シリコン層の選択された領域をドーピングするためにソリューション処理可能なスピンオンドーパント(SOD)を使用する。したがって、スピンオンドーパント(SOD)がリンドーパントを与え、また、スピンオンガラス(SOG)は、ドーパントがシリコン中へ拡散する場所を制御するためのマスクとしての機能を果たす。このドープSOIは、印刷可能ヘテロ半導体素子のソースを与える。
印刷可能ヘテロ半導体素子を形成するため、本発明者らは、最初に、スピンオンガラス(SOG)溶液(Filmtronic)をSOIウエハ上へスピンキャスティングするとともに、それを4分間にわたって700℃の急速熱アニーリング(RTA)に晒して、均一な膜(300nm厚)を形成する。リソグラフィによりパターニングされたフォトレジスト層(Shipley 1805)を介したエッチング(50秒間にわたって6:1の緩衝酸化物エッチャント(BOE)を用いて行なう)は、SOGのソースウインドウ及びドレインウインドウを開放した。レジストを剥離した後、本発明者らは、SOD(Filmtronic)を含むリンをスピンキャスティングにより均一に堆積させた。5秒間にわたる950℃でのRTAにより、SODからのリンが、リソグラフィにより形成された開口を通じてSOG内及び下側のシリコン中へ拡散した。SOGは他の領域内での拡散を阻止した。ウエハは、室温まで急速に冷却されるとともに、SOG及びSODの両方を除去するために90秒間BOE中に浸漬され、その後、ドーピングプロセスを完了するためにDI水を用いて十分に洗浄された。
印刷可能ヘテロ半導体素子は、本方法を使用してエポキシ(SU8;600nm、ゲート誘電体)及びインジウムスズ酸化物(ITO;100nm、ゲート電極)でコーティングされたPETのプラスチック基板上に組み立てられた。エポキシは、誘電体を形成するだけではなく、印刷可能ヘテロ半導体素子の転写印刷を容易にする。Tiのソース電極及びドレイン電極(100nm)は、アライメントされたフォトリソグラフィステップ及びその後のエッチバックによりドープ接点領域上に形成された。図29Bは、本技術を使用して製造されたヘテロ印刷可能半導体素子を有する幾つかのデバイスの光学画像を示している。
本発明者らは、標準的な転写ラインモデル(TLM)を使用してドーピングレベル及び接触抵抗を評価した。特に、本発明者らは、均一にドーピングされた結晶シリコンを備え且つプラスチック基板上に印刷された印刷可能へテロ半導体素子上にある距離間隔(L)が5〜100ミクロンで且つ幅(W)が200ミクロンのTi接触パッド間の抵抗を測定した。図30Aの挿入画は、接触抵抗を特徴付けるために使用される接触パッド及び印刷可能ヘテロ半導体素子の配置の画像を示している。直線電流(l)対電圧曲線(V)(図示せず)は、接触がオーム接触であり且つドーピングレベルが高いことを示している。抵抗のLへの依存度は、Rtotal=2R+(R/W)Lによって表わすことができる。ここで、Rtotal(=V/I)は2つの接触パッド間の抵抗であり、Rは接触抵抗であり、Rはシート抵抗である。図30Aは、Lに応じた正規化抵抗RtotalWのプロットを示している。RtotalWの線形適合は、R=228±5Ω/sq及びRW〜1.7±0.05Ω・cmを与える。正規化接触抵抗RWは、同様の方法で処理された無ドープ印刷可能単結晶シリコン半導体素子において観察されるものよりも低い程度の大きさよりも大きい。低効率は約2.3×10−3Ω・cmであり、これは、簡単のためドーピングが100nmのドープ印刷可能シリコン半導体素子にわたって均一であると仮定した場合には1019/cmのドーピングレベルに対応する。図30Bは、パターニングされたSOGの拡散バリア(図29Aの概略図参照)としての使用がドーパンドをシリコン中の所望の領域に局部集中させたことを表わす飛行時間型二次イオン質量分析(TOF−SIMS)測定を示している。図30Bに示される画像において、明るい赤色は、高いリン濃度を示している。
図31A〜Dは、エポキシ/ITO/PET基板上の印刷可能コンタクトドープシリコン半導体素子を備えるトランジスタに対応する測定を示している。図31Aは、本発明のデバイス(L=7μm、W=200μm)の電流−電圧特性をプロットしている。有効デバイス移動度(μeff)は、標準的な電界効果トランジスタモデルの適用によって決定されるように、線形状態において〜240cm/Vs及び飽和状態において〜230cm/Vsである。図31Bは、チャンネル長が2μm〜97μmで且つチャンネル幅が200μmの本発明のデバイスの転写特性を示している。全てのケースにおけるON/OFF電流比は〜1014である。閾値電圧は、単調にL=97μmから2μmに向かって、〜2Vから〜0Vへと変化する。図31Cは、異なるゲート電圧におけるLに応じた、Wが乗じられた、小さなドレイン電圧でのON状態で測定されたデバイスの抵抗(RON)を示している。各ゲート電圧でのRONW対Lの線形適合は、固有のデバイス移動度及び接触抵抗の両方に関する情報を与える。この単純なモデルにおいて、RONは、チャンネル抵抗(Lに比例する)と、ソース電極及びドレイン電極に関連する合算接触抵抗との連続加算から成る。図31Cは、線形適合の切片から決定されるRが、評価された全てのチャンネル長におけるチャンネル抵抗と比べて無視できるほど小さいことを示している。図31Cにおける挿入画は、ゲート電圧に伴う、図31Cの線形適合の傾きの逆数から決定されるシートコンダクタンスの変動を示している。これらのデータに対する線形適合は、図31Cの挿入画に示されるように、〜270cm/Vsの固有のデバイス移動度及び〜2Vの固有の閾値電圧を与える。
図31Dは、線形状態で測定された転写特性から直接に評価された(すなわち、接触効果が差し引かれない)無ドープ印刷可能単結晶シリコン半導体素子及びコンタクトドープ印刷可能単結晶シリコン半導体素子を有するトランジスタの有効移動度μeffを比較している。無ドープデバイスの場合、μeffは、100ミクロンから5ミクロンへのチャンネル長Lの減少に伴って200cm/Vsから50cm/Vsへと急速に減少する。接触は、〜50ミクロンを下回るチャンネル長においてデバイス動作を支配し始める。コンタクトドープの場合、移動度は、約270cm/Vsであり、この範囲にわたってチャンネル長と共に<20%変動する。これは、図31Cの挿入画から決定される固有のデバイス移動度と一致する。これらのデータは、これらのデバイスが接触抵抗の無視できる影響を示す更なる証拠を与える。本発明者らは、異なる移動度に加えて、ドープ接点を有するデバイスが、それらの特性においてより安定し且つより均一であるとともに、無ドープ接点を有するデバイスよりも処理状態に対する感度が低いことに注目している。
機械的な柔軟性は、このタイプのデバイスの重要な特性である。本発明者らは、コンタクトドープμs−Siトランジスタに関して、デバイスを圧縮状態及び伸張状態に置く曲げ方向で、系統的な曲げ試験を行なった。また、本発明者らは幾つかの疲労試験も行なった。実験装置の詳細が実施例6に与えられている。図32Aは、曲げられていない状態の値(μ0eff)により正規化された有効デバイス移動度の変化を歪み(曲げ半径)の関数として示している。マイナス及びプラスの歪みはそれぞれ、伸張及び圧縮に対応している。この範囲の歪み(200ミクロン厚の基板における〜1cmまでの曲げ半径に対応している)において、本発明者らは、μeff/μ0eff、閾値電圧、on/off比の僅かな変化(殆どの場合、<20%)のみを観察した。このレベルの機械的柔軟性は、プラスチック基板上の有機トランジスタ及びa−Siトランジスタに関して報告された機械的柔軟性に匹敵する。図32Bは、デバイスにおける圧縮歪みを0%と0.98%との間で変化させる数百の曲げサイクル(9.2mmの半径まで)後における正規化された有効移動度μeff/μ0effを示している。デバイスの特性の僅かな変化が観察された。すなわち、350サイクル後に、μeff/μ0eff、閾値電圧、on/off比が20%未満だけ変化している。これらの結果は、印刷可能なヘテロ半導体素子を備えるこのトランジスタの良好な疲労安定性を示している。
この実施例は、プラスチック基板上のトランジスタにおけるコンタクトドープ印刷可能単結晶シリコン半導体素子のためのスピンオンドーパントプロセスの有用性を実証している。スケーリング解析は、このプロセスが接触制限されないデバイスをもたらし、それにより、プラスチック基板上に高周波シリコンデバイスを製造するための本方法の適用性が実証されることを示している。この特徴をデバイスの非常に良好な機械的柔軟性及び疲労安定性と組み合わせることにより、このコンタクトドープ印刷可能ヘテロ半導体手法は、様々なフレキシブルマクロ電子システム、マイクロ電子システム及び/又はナノ電子システムへの貴重なルートとなる。
また、本発明は、印刷可能半導体素子を一連のデバイス及びデバイス構造へと集積するためのヘテロ集積方法を提供する。本発明のこの態様は、異なるクラスの材料が同じプラットフォーム上に組み立てられて相互に接続される、幅広い範囲のデバイスを形成するための製造経路を提供する。本発明のヘテロ集積方法は、ソリューション印刷及び/又は乾式転写コンタクト印刷を使用して、2つ以上の異なる材料をそれらの電気的、光学的及び/又は機械的な相互接続性確立する態様で組み合わせる。本発明の印刷可能半導体素子は、異なる半導体材料又は誘電体、導体、セラミックス、ガラス、高分子材料を含む他の種類の材料と一体化されてもよい。
この概念の1つの実施形態において、ヘテロ集積は、例えばシステムをチップ型デバイスに対して組み立てるため、異なる組成を有する半導体チップに対して印刷可能半導体素子を転写して相互に接続することを含んでいる。他の実施形態においては、複数の自立構造のデバイス及び/又はデバイス部品が、異なる種類の半導体ウエハ(例えばシリコンウエハ及びGaNウエハ)上に製造され、その後、受けウエハ等の同じ受け基板上に一括して集積される。更なる他の実施形態において、ヘテロ集積は、印刷可能な素子を特定の方向で組み立て且つ印刷可能な素子を集積回路を構成する他の部品と効果的に相互接続することにより1つ以上の印刷可能半導体素子を予備成形された複合集積回路中へ導入することを含んでいる。本発明のヘテロ集積方法は、ウエハボンディング方法、接着剤及び中間接着層の使用、アニーリングステップ(高温アニール及び低温アニール)、酸化物外側コーティングを剥離する処理、半導体ドーピング技術、フォトリソグラフィ、連続的な薄膜層転写による付加的な多層処理を含むがこれらに限定されない、当分野において既知であるマクロスケール及び/又はナノスケールの印刷可能半導体素子を組み立てて相互に接続するための多くの他の技術を使用してもよい。
図33は、本発明のヘテロ集積方法を使用して製造されたシリコンウエハ(1 0 0)上に直接に結合された窒化ガリウムマイクロ構造を備える複合半導体構造のSEM画像を示している。図33に示される複合半導体構造を製造するため、GaNを備える印刷可能半導体素子は、誘導結合プラズマエッチングを使用してGaNオンシリコン(111)ウエハからマイクロマシン加工されるとともに、熱い(100℃)KOH水溶液中での異方性ウェットエッチングによりシリコンから解放された。印刷可能なGaN素子は、マザーチップから取り除かれるとともに、PDMSスタンプを使用して乾式転写コンタクト印刷により受けシリコンチップ上に印刷された。印刷可能なGaN素子とシリコンチップとの間の結合は、引き付けあう分子間力によって行なわれ、したがって接着層を必要としない。図33に示されるSEM画像は、本発明の印刷可能半導体素子及び転写印刷組立方法が異なる半導体材料をヘテロ集積できることを示している。
印刷可能半導体素子を有する高性能太陽電池の製造
本発明の目的は、フレキシブルプラスチック基板を含む様々な組成を有する大面積の基板上に太陽電池、太陽電池配列、太陽電池を有する集積電子デバイスを形成するための方法を提供することである。また、本発明の目的は、従来の高温処理方法によって製造される太陽電池に匹敵するフォトダイオード応答を示す太陽電池のP−N接合を行なうことができるヘテロ印刷可能半導体素子を提供することである。
太陽電池における高品質のP−N層界面とのP−N接合部を備えるヘテロ印刷可能半導体素子を形成できる本発明の印刷可能半導体素子の能力は、実験的な研究によって検証された。太陽電池は、P−N接合を形成するための2つの異なる製造経路を使用して製造され、また、これらの経路によって形成されるデバイスのフォトダイオード応答が評価された。この実施例で与えられる実験結果は、本発明の印刷可能なヘテロ半導体素子及び関連する組立方法が太陽電池における高品質なP−N接合を与えるのに有益であることを実証している。
図34Aは、印刷可能なP−N接合を備える太陽電池を形成するための製造経路における処理ステップを概略的に示すプロセスフローチャートを示している。図34Aに示されるように、単結晶シリコンウエハ等の高品質な半導体材料は、Pドープ半導体領域に直接に隣接して位置されるNドープ半導体領域を形成する態様で設けられて処理される。良好な効率を示す太陽電池を製造するためには、P領域及びN領域が物理的に接触され且それらの間に無ドープ半導体が存在しない急な界面を有していることが好ましい。処理された半導体材料は、その後、印刷可能なP−N接合の物理的寸法を規定するためにパターニングされてエッチングされる。リフトオフ技術によるその後の処理は、Nドープ半導体層に直接に隣接するPドープ層を有する印刷可能なP−N接合を備えるモノリシック構造を形成する。印刷可能なP−N接合は、その後、本発明の乾式転写コンタクト印刷方法又はソリューション印刷方法を使用して基板上に組み立てられる。図34Aに示されるように、Pドープ半導体層及びNドープ半導体層上の接点(すなわち電極)は、印刷可能なP−N接合のリフトオフ処理前におけるモノリシック構造上への堆積によって、或いは、基板上での組み立て後における印刷可能P−N接合上への堆積によって形成される。1つの実施形態において、接点は、1つ以上の金属の気相堆積を使用して形成される。
図34Bは、図34Aに示される製造経路によって形成された太陽電池デバイス構造の概略図を示している。ボロンドーパントを有する5ミクロン厚のPドープ半導体層が、リンドーパントを有する2つのNドープ半導体層と直接に接触した状態で設けられる。接点は、Nドープ層上及びP−N接合を形成するPドープ半導体層と接触する2つ高濃度Pドープ層上に直接に設けられる。リンドープ接点領域及びボロンドープ接点領域の導入はシステムの接触抵抗に打ち勝つ。図34Cは、図34Bに示される構造を有する太陽電池デバイスの照明時に観察されるフォトダイオード応答を示す電流−バイアスのプロットを示している。図34Cに示されるように、電流は、太陽電池が照明されて太陽電池にプラスのバイアスが与えられるときに生成される。
図35Aは、独立に印刷可能なP及びNドープ半導体層を備える太陽電池を形成するための代替の製造経路における処理ステップを概略的に示すプロセスフローチャートを与えている。図35Aに示されるように、単結晶シリコンウエハ等の高品質半導体材料は、別個のNドープ及びPドープ半導体領域を形成する態様で設けられて処理される。処理された半導体材料は、その後、別個のPドープ及びNドープ層の物理的寸法を規定するためにパターニングされてエッチングされる。リフトオフ技術によるその後の処理は、別個に印刷可能なPドープ半導体層及び/又は別個に印刷可能なンドープ半導体層を形成する。その後、第1のドープ半導体素子(Pドープ又はNドープ)を、第1のドープ素子と接触するように異なる組成を有する第2のドープ半導体素子上に印刷することにより、P−N接合が組み立てられる。1つの実施形態において、P−N接合は、両方のP及びNドープ半導体層を印刷することにより、例えば第1のドープ半導体層を基板上に印刷した後に第2のドープ半導体層を第1のドープ半導体層上に印刷することにより組み立てられる。或いは、PN接合は、第2のドープ半導体層を備える基板上に第1のドープ半導体層を印刷することにより組み立てられてもよい。これらの素子間に良好な界面を与えるP及びNドープ層の任意の方向は、本発明において使用でき、第1のドープ半導体素子が第2のドープ半導体素子の上端に接触される方向を含むがこれに限定されない。
Nドープ印刷可能半導体素子とPドープ印刷可能半導体素子との接合は、当分野において周知であるウエハボンディング技術(“Materials Science and
Engineering R”(Jan Haisma and G.A.C.M.Spierings,37 1〜60頁(2002))を参照)により達成されてもよい。場合によって、P−Nドープ層間に高品質な界面を有するP−N接合を形成することを妨げる可能性がある外側酸化物層等のP及びNドープ半導体層上の任意の他の絶縁層を剥離するため、P及びNドープ半導体層は、印刷前、印刷中、印刷後に処理される。場合によって、幾つかの実施形態では、接合されるドープ半導体表面上に存在する任意の水が除去される。第1及び第2のドープ半導体素子の組み立ては、本発明のソリューション印刷方法又は乾式転写コンタクト印刷方法を使用して行なわれてもよい。場合によって、本発明のこの態様の製造経路は、P−N接合をアニーリングしてPドープ半導体層とNドープ半導体層との間に良好な界面を形成するステップを更に備えていてもよい。アニーリングは、P−N接合部を支持する基板を著しく損傷させない十分低い温度、例えばプラスチック基板上に組み立てられるP−N接合のための約200℃よりも低い温度で行なわれることが好ましい。或いは、P−N接合は、基板とは別個の処理ステップでアニールされてもよい。この実施形態において、アニールされたP−N接合は、冷却できるとともに、その後、ソリューション印刷方法又は乾式転写コンタクト印刷方法により基板上に組み立てることができる。図35Aに示されるように、P及びNドープ半導体層上の接点(すなわち電極)は、リフトオフ処理前における個々のドープ半導体層上への堆積によって、或いは、基板上での組み立て後における印刷可能P−N接合上への堆積によって形成されてもよい。1つの実施形態において、接点は、1つ以上の金属の気相堆積を使用して形成される。
図35Bは、シリコンウエハのPドープ半導体層の上端にNドープ半導体層を印刷することにより形成される太陽電池デバイスの概略図を示している。Nドープ半導体層とPドープ半導体層との間に高品質の界面を有するP−N接合を形成するために、複合構造が約1000℃の温度までアニールされた。電気接点は、アルミニウム層の気相堆積により各ドープ半導体層の上端に直接に設けられた。図35Cは、図35Bに概略的に示される太陽電池の平面図のSEM画像を示している。このSEM画像は、Pドープ半導体層の上端に位置決めされたNドープ半導体層を示しているとともに、各ドープ半導体層の上端のアルミニウム接点も示している。図35Dは、図35Cに示される太陽電池のフォトダイオード応答を明らかにする電流−バイアスのプロットを示している。図35Dに示されるように、電流は、太陽電池が照明され且つ太陽電池にプラスのバイアスが与えられるときに生成される。図35Eは、異なる光強度に関して図35Cに示される太陽電池の照明時に観察される時間に応じた光電流のプロットを示している。
本発明の太陽電池において有用な印刷可能ドープ半導体素子及び印刷可能P−N接合等の印刷可能なヘテロ半導体素子の物理的な寸法は、多くの変数によって決まる。第1に、厚さは、単位面積当たりに入射する光子のかなりの部分がP−N接合によって吸収されるように十分な大きさでなければならない。したがって、P及びNドープ層の厚さは、少なくとも部分的には、下側の半導体材料の光学的特性、例えば当該半導体材料の光吸収係数によって決まる。幾つかの有用な用途において、印刷可能なシリコン素子の厚さは約20ミクロン〜約100ミクロンの範囲にあり、また、ガリウムヒ素素子の厚さは約1ミクロン〜約100ミクロンの範囲にある。第2に、幾つかのデバイス用途において、印刷可能な素子の厚さは、特定のデバイス用途においてそれらが有用な度合いの柔軟性を示すように十分に小さくなければならない。薄い(<100ミクロン)素子を使用すると、単結晶半導体等の脆弱な材料においてさえも柔軟性が得られるとともに、少ない原料を使用することにより製造コストが下げられる。第3に、印刷可能な素子の表面積は、多大な数の入射光子を捕捉するために大きくなければならない。
ドーパントは、スピンオンドーパンド(実施例8参照)を使用する方法を含む、明確な空間的分布の高品質なドープ半導体材料を与えることができる任意のプロセスによって半導体材料中に導入することができる。ドーパントを半導体材料中に導入する典型的な方法は、1次元、2次元、3次元でのドーパンドの空間的配分(すなわち、ドーパントが注入される半導体層の注入深さ及び面積)の制御を行なう。図34A及び図35Aに示される製造経路の大きな利点は、クリーンな室内条件下で且つ高温でドーパントの注入及び活性化を個別に行なうことができるという点である。しかしながら、印刷可能なドープ半導体素子及び/又はP−N接合のその後の製造及び組み立ては、低温で且つクリーンではない室内条件で行なわれてもよく、それにより、高いスループットで様々な基板材料上に太陽電池を製造することができる。
伸縮可能な回路及び電子デバイスの製造
本発明は、伸縮時、屈曲時又は変形時に良子な性能を得ることができる伸縮可能な電気回路、デバイス、デバイス配列を提供する。実施例2で説明した伸縮可能な半導体素子と同様に、本発明は、湾曲した内面、例えば波構造を示す湾曲した内面等を持つデバイス、デバイス配列又は回路と接触する支持面を有するフレキシブル基板を備える伸縮可能な回路及び電子デバイスを提供する。この構造的な配置において、デバイス、デバイス配列又は回路構造の湾曲した内面の少なくとも一部は、フレキシブル基板の支持面に対して結合される。しかしながら、実施例2における伸縮可能な半導体とは異なり、本発明のこの態様のデバイス、デバイス配列又は回路は、半導体、誘電体、電極、ドープ半導体及び導体等の複数の集積デバイス部品を備える複合素子である。典型的な実施形態において、約10ミクロン未満の正味の厚さを有するフレキシブルな回路、デバイス、デバイス配列は、その少なくとも一部が周期波湾曲構造を成す複数の集積デバイス部品を備えている。
本発明の有用な実施形態では、複数の相互に接続された部品を備える自立構造の電気回路又はデバイスが提供される。電気回路又はデバイスの内面は、予め歪みが加えられた拡張状態の弾性基板と接触され且つ少なくとも部分的に結合される。歪みの事前印加は、弾性基板のロールプレス及び/又は事前湾曲を含むがこれらに限定されない当分野において既知である任意の手段によって達成され、また、弾性基板は、単一の軸に沿って拡張することにより或いは複数の軸に沿って拡張することにより予め歪みが加えられてもよい。結合は、電気回路又はデバイスの内面の少なくとも一部と予め歪みが加えられた弾性基板との間の共有結合又はファンデルワールス力により、或いは、接着剤又は中間接着層を使用することにより直接的に達成されてもよい。予め歪みが加えられた弾性基板及び電気回路又はデバイスを結合した後、弾性基板を少なくとも部分的に緩和状態へと弛緩することができ、それにより、印刷可能な半導体構造の内面が曲げられる。電気回路又はデバイスの内面の湾曲により、幾つかの有用な実施形態では周期的な或いは非周期的な波形状を成す湾曲した内面が形成される。本発明は、電気デバイス又は回路を備える全ての構成要素が周期的な或いは非周期的な波形状で存在する実施形態を含んでいる。
伸縮可能な電気回路、デバイス、デバイス配列は、その周期的な或いは非周期的な波形状により、回路又はデバイスの個々の部品に大きな歪みを生じさせることなく伸張形状又は湾曲形状に追従することができる、本発明のこの態様は、湾曲状態、伸張状態又は変形状態にあるときに、伸縮可能な電気回路、デバイス、デバイス配列の有益な電気的作用を与える。本方法によって形成される周期的な或いは非周期的な波形状は、(i)回路又はデバイスを備える集積部品の集合体の正味の厚さ、及び、(ii)集積デバイス部品を構成する材料のヤング率及び曲げ剛性等の機械的な特性に伴って変化してもよい。
図36Aは、伸縮可能な薄膜トランジスタの配列を形成する典型的な方法を示すプロセスフローチャートを示している。図36Aに示されるように、自立構造の印刷可能な薄膜トランジスタの配列は、本発明の技術を使用して設けられる。薄膜トランジスタの配列は、トランジスタの内面を露出させる態様で乾式転写コンタクト印刷方法によりPDMS基板に対して転写される。露出された内面は、次に、拡張状態で存在する室温硬化された予め歪みが加えられたPDMS層と接触される。予め歪みが加えられたPDMS層のその後の完全硬化により、予め歪みが加えられたPDMS層に対してトランジスタの内面が結合される。予め歪みが加えられたPDMS層は、冷却することができ、また、少なくとも一部が弛緩状態をとることができる。PDMS層の弛緩は、配列中のトランジスタに対して周期波構造をもたらし、それにより、トランジスタを伸縮させることができるようになる。図36Aの挿入画は、本方法によって形成される伸縮可能な薄膜トランジスタの配列の原子間力の顕微鏡写真を示している。原子間力顕微鏡写真は、伸張状態又は変形状態で良好な電気的性能を与える周期波構造を示している。
図36Bは、弛緩形態及び伸張形態における伸縮可能な薄膜トランジスタの配列の光学顕微鏡写真を示している。約20%の正味の歪みを形成する態様で配列を伸張させても、薄膜トランジスタが破壊され或いは損傷されることはなかった。弛緩形態から歪み形態への移行は、可逆的プロセスとなるように観察された。また、図36Bは、弛緩形態及び伸張形態の両方において伸縮可能な薄膜トランジスタが良好な性能を呈することを示している、ゲート電極に印加された幾つかの電位におけるドレイン電流−ドレイン電圧のプロットを示している。
印刷可能なマイクロ構造シリコン(μs−Si)の大面積選択転写:フレキシブル基板上に支持された高性能薄膜トランジスタへの印刷に基づくアプローチ
本発明の方法、デバイス及びデバイス部品は、高性能集積マイクロ電子デバイス及びデバイス配列を形成するための印刷に基づく新たな製造プラットフォームを提供する。従来の処理方法を上回るマクロ電子技術及びマイクロ電子技術へのこのアプローチの利点は、様々な基板材料、物理的寸法、表面形態との適合性である。また、この印刷に基づくアプローチは、既存の高スループットの印刷機器及び技術と適合する、大面積の基板上に集積マイクロ電子デバイス及びデバイス配列を形成するための低コストな効率の高い製造経路を可能にする。
現代社会の構造を形作る高度な情報テクノロジーは、マクロ電子デバイス、すなわち、増大し続ける高い集積密度を伴うマクロ電子デバイスの使用に大きく依存している。1950年代後半の初期の回路(IC)、すなわち、4個未満のトランジスタを組み込む回路から、現在の最新技術のICは、基本的に等しいサイズのパッケージ内に何百万個ものトランジスタを集積している。しかしながら、新たなデバイスフォームファクタ、すなわち、高いデバイス性能レベル維持しつつコストを低減しようとする試みにおいて役立つ製造方法を使用して半導体デバイスの能力が大面積の及び/又はフレキシブルな材料サポートを含む構造中に組み込まれるデバイスフォームファクタの開発に関心が高まってきている。このようなデバイス技術は、アクティブマトリクスピクセルディスプレイドライバ及びRF識別タグの部品としての幅広い用途を見出すことができる。最近の報告は、このような回路、特に半導体ナノワイヤ(NW)又はネットワーク化されたナノチューブに基づく回路のモデルを構成するためのソリューション処理方法の使用について述べている。このようにして形成された機能デバイスは将来有望であるが、これらの機能デバイスは、一般に、従来の高温半導体処理手法と比べてかなり低いレベルのデバイス性能によって特徴付けられる。例えば、〜2cm/Vsから〜40cm/Vsまでの範囲の電界効果移動度が、ソリューション処理方法を使用して形成される薄膜トランジスタ(TFT)に関して報告されている。
1つの態様において、本発明は、超高性能TFTにおいて使用するためのシリコンオンインシュレータウエハから得られるマイクロ構造単結晶シリコン(μs−Si)リボンを使用する「トップダウン」製造方法を提供する。この製造技術は、様々な有用な半導体材料に関して適合するとともに、GaN、InP,GaAsを含む他の工業的に有用な半導体材料にうまく適合された。
この実施例において、本発明者らは、大きな基板面積にわたるシリコンリボンの選択的な転写及び正確な位置合わせ精度を可能にする製造方法、及び、硬質な(すなわちガラス)基板及びフレキシブルなプラスチック基板の両方に対して適用可能な多目的な印刷手順を含む、この技術の実施において有用な多くの重要な処理ステップを明らかにする。本発明者らは、具体的にここでは、SOIウエハからμs−Siを選択的に除去した後、これらをパターニング形態でプラスチック基板上に転写するために使用できる2つの方法を報告する。便宜上、方法I(図37A)及び方法II(図37B)と称されるプロセスは、μs−Siの印刷に基づくパターン転写に影響を及ぼすために異なるメカニズムの接着結合を使用する。方法Iは、成形されたSylgard 3600ポリ(ジメチルシロキサン)(PDMS)スタンプ(Dow Corning Corp.によって提供される新しい実験的な高弾性率PDMS製品)とμs−Si対象物との間で物理的結合を利用する。方法IIは、最近開発されたマスターレスソフトリソグラフィ技術を使用してμs−SiをPDMSコーティングされた基板に対して化学的に結合する。
図37Aは、プラスチック基板上へμs−Si素子をパターニングするための本発明の処理方法(方法I)を示す概略図を与えている。この実施例において、プラスチック基板は、ポリ(エチレンテレフタレート)(PET)シートを構成していた。ピーナッツ形状のフォトレジストパターンは、標準的なフォトリソグラフィ技術を使用してSOI基板の上端で現像される。レジストの剥離をその後に伴うプラズマエッチングは、埋設酸化物層の上端に支持されるμs−Si「ピーナッツ」をもたらす。その後、μs−Siのダンベル端部に存在する残留酸化物層によってのみ保持されるアンダーカットされたピーナッツを与えるために、サンプルがHFを使用して不完全にエッチングされる。その後、SOIウエハは、所望のパターン転写の潜像に対応する特徴をもって成形される硬質な3600PDMSスタンプで積層される。スタンプの立ち上がった特徴形態は、PDMSに対する強い自動接着に起因してμs−SiがSOI表面から選択的に除去される領域に対応している。SOIウエハから剥離された後におけるスタンプは、その後、UVランプを使用して部分的に硬化されたポリウレタン(PU)でコーティングされたポリ(エチレンテレフタレート)(PET)と接触された状態で配置される。PU接着レベル堆積させて大面積の(600cm)プラスチック基板にわたって均一なコーティング厚さを確保するために、バーコーティング技術が使用される。スタンプ上のμs−Siは、その後、プラスチックシートのPUコーティングされた側と接触され、その後、サンドイッチのPET側から第2のUV/オゾン露光が行なわれ、それにより、PUを十分硬化させて、μs−Siに対するその結合を高める。プラスチック基板からスタンプを剥離することにより、マイクロ構造シリコンがPDMSから切り離され、したがって、PUコーティングされた基板に対する転写が完了する。
図37Bは、プラスチック基板上へμs−Si素子をパターニングするための本発明の代替の処理方法(方法II)を示す概略図を与えている。この実施例において、プラスチック基板はポリ(エチレンテレフタレート)(PET)シートから成る。この最近報告されたデカルトランスファリソグラフィ(DTL)技術は、空間的に変化された接着強度を与えるために光化学的に処理される平坦な変形されたPDMSスラブを使用してパターン転写を行なう。UV/オゾン(UVO)処理は、マイクロリアクターフォトマスクを使用する従来のSylgard 184 PDMSのスラブの表面にわたってパターン化され、それにより、高い空間分解能をもってUVO変化がパターン化される。露光後、光化学的に改質されたPDMSコーティングされたPETは、SOIウエハに存在するピーナッツと接触されるとともに、70℃まで30分間にわたって加熱される。SOIウエハ上のピーナッツ形状の形成は、方法I(図37参照)の同じ手順に従った。この場合、HFエッチングステップ後、表面上に対するSiO(5nm)の薄膜の気相堆積を伴った。この層は、PDMSに対する強力な化学結合を容易にする。加熱後、PDMSがSOIから剥離され、それにより、PDMSのUVO改質された領域に対するμs−Siのパターン転写が得られる。
図38Aは、本発明の方法において使用される所謂ピーナッツ形状のμs−Si対象物の構成を示している。図38Aの挿入された光学画像は、犠牲SiO部分を残したままチャンネルの下側の埋設酸化物が除去される最適化されたHFエッチング状態を示している。ピーナッツ形状は、その端部が構造の本体よりも僅かに幅広いため、特に有益である。HF溶液において下側の酸化物層をエッチングする際、タイミングは、SiOの犠牲部分が一方の端部に残存している(図38Aの挿入画に見られるダンベル領域)間に中心の下側の酸化物層が完全に除去されるように最適化することができる。この残存するSiO層は、μs−Siをその当初の位置に保持する。この酸化物ブリッジ層が無いと、フォトリソグラフィによりSOIウエハ上に形成されるμs−Siの順位が損なわれ易い。図38Bは、Si対象物がHF溶液内でオーバーエッチングされるときのこの順位の損失の一例を示している。図38Bに示されるように、Si対象物は、サンプルがHF溶液中でオーバーエッチングされたときにHF溶液中で浮き始める。方法I又は方法IIによりμs−SiがSOIウエハから除去されると、犠牲領域のエッジで破壊(割れ)が生じる。
図38C、38D、38E、38Fは、方法Iを使用して行なわれるμs−Si転写の各ステップの進行を示す一連の顕微鏡写真を示している。図38Cは、最適化されたアンダーカットHFエッチング後におけるSOIウエハ上のμs−Siを示している。図38Dは、PDMSスタンプがμs−Siの一部を除去した後におけるSOIウエハを示している。図38Dに示されるように、PDMSスタンプはμs−Siの一部を除去し、それにより、隣接領域をSOI上で無傷のままにする。SOIウエハ上の使用されていないマイクロ構造シリコン対象物は、それらの当初の位置に保持されるため、スタンプによって拾い上げることができるとともに、その後の印刷ステップ(後述する)において転写することができる。図38Eは、PDMSスタンプ上に転写されたμs−Si構造を示している。μs−Siリボンの各端部の中心の不存在は、SOIからPDMSスタンプへのマイクロ構造シリコンの転写中に生じる破壊のパターンを浮き彫りにする。図38Fは、μs−Siの第2の転写(この場合は、PDMSスタンプからPUコーティングされたプラスチック基板への転写)における代表的な結果を示している。この場合、μs−Siはプラスチック上のPU支持体に対して接着する。
小さなPDMSスタンプから大きなプラスチック表面に対して複数の転写が可能である。図39A及び図39Bは、3600PDMSスタンプによるPU/PETシート上へのμs−Siの選択的な転写の光学画像を示している。図39Aに示されるように、大面積(15×15cm)が転写する。この場合、μs−Siは、8×8cmスタンプを使用して複数の転写によりプラスチック基板上にまばらに転写された。画像中の各ピクセルは、図38Fに示されるものと同じ形態を成しており、図38C〜38Eに関して説明した同じプロトコルに従う。図39Bの挿入画は更に複雑な成形形態を示している。この場合、ピーナッツμs−Si対象物から成る「DARPAmacroE」文字は、図38C〜38Eに強調表示された文字よりもサイズが小さい。転写の高いパターン忠実度は、図39Bに示される文字「A」(挿入画像の円)を規定する対象物の品質によって示される。これらのデータは、スタンプによって直接に接触された領域だけが最終的にプラスチック基板に転写することを明らかにしている。本発明者らは、2つの理由によりこの転写が従来のSylgard 184 PDMSを使用すると難しいことに注目している。第1に、Sylgard 184は、特徴形態間の離間距離が特徴形態の高さの20倍を越える時にたるむ。本明細書に示される実施例は、このようなデザインルールを取り入れており、したがって、低弾性率高分子を使用する高い忠実度の転写を排除する。第2に、本発明者らは、Sylgard 184が時として全てのμs−SiピーナッツをSOIウエハから拾い上げることができる十分な接着力を有しておらず、この高分子から形成されるスタンプを使用する幾つかの用途においては欠陥が観察されることも見出した。Dow Corningからの3600PDMSは、1:200のアスペクト比においても目立つほどたるまず、また、恐らく、より重要なことには、μs−Si対象物に対するその接着力は、184PDMSのそれよりも強い。
方法IIを使用して行なわれるμs−Si転写の一例が図39C及び図29Dに示されている。図39Cは、μs−Siが化学的に結合された後に転写されたSylgard 184コーティングされたPET基板の領域の光学顕微鏡写真である。この方法で転写されたμs−Siの高倍率画像が図39Dに示されている。なお、このデモンストレーションで使用されるピーナッツの寸法は比較的小さく、リボン幅は25μmである。本発明者らは、興味深いことに、これらの更に小さな特徴形態がSOIウエハから除去されるときに異なる破壊点を有していることを見出した。また、図39Dの引き伸ばし写真においては、PDMS表面ももはや平坦ではないことに注目する。この理由は、PDMSの領域が実際にSOIに対して相互に転写され、それにより、パターン化されたUVO処理により活性化された接触領域中のバルク、すなわち、PDMSがたるんでピーナッツ間のウエハ表面と接触した領域中のバルクから剥ぎ取られるという事実によるものである。
図40Aは、方法Iを使用する転写に基づいてピーナッツ形状のμs−Siを使用して製造されたデバイスの典型的なデバイス形状を示している。これらのデバイスを構成するため、インジウム−スズ−酸化物(ITO)コーティングされたPETシートが基板として使用される。ITOはゲート電極としての機能を果たした。また、希釈SU−8 5(測定されたキャパシタンス=5.77nF/cm)がゲート誘電体として使用される。図40Bは、様々なゲート電圧(Vg=−2.5V〜20V)におけるμs−Si TFTのI−V曲線を示している。図40Bに示されるように、これらのプラスチック支持されたピーナッツ状のμs−Siは、蓄積モードnチャンネルトランジスタ動作を示している。図40Cの挿入画に示されるように、デバイスのチャンネル長は100μmであり、デバイスの幅は400μmである。図40Cは、一定のソース−ドレイン電圧(Vsd=1V)で測定された転写特性を示しており、有効移動度が173cm/Vsであったことを示している。図40Cの挿入画は、本発明の実際のデバイスの光学顕微鏡写真を示している。転写特性は、閾値電圧(Vth)が−2.5Vであり、有効移動度が173cm/Vsであったことを示した。これらの値は、このタイプの100nm厚のボトムゲート構造において予期された性能特性と一致する。
この実施例で説明した選択転写方法は、マイクロ構造シリコンをSOIウエハからフレキシブルなマクロ電子システムへと転写するための効率的なルートを提供する。これらの技術を使用すると、従来のソリューションキャスティング方法とは異なり、マイクロ構造シリコン対象物を、正確な位置合わせ精度をもってSOIマザーウエハから転写させることができるとともに、無駄を最小限に抑える方法で利用することができる。この研究で調べられた新たな3600PDMSの機械的特性は、特にその寸法安定性及び高い表面接着特性において、市販のSylgad 184 PDMSと比べて多くの重要な利点を有していることを示している。また、印刷技術も、高性能μs−Si薄膜トランジスタを組み込むマクロ電子システムの構造に適合することが分かった。
実験
方法I
市販のSOIウエハ(SOITEC,p型、上端Si厚=100nm、抵抗率=13.5−22.5ohm−cm、145nm埋設酸化物層)を使用してμs−Si対象物の製造を行なった。SOIウエハを所望のピーナッツ形状の幾何学的形態(中央領域長さ:200μm、幅:25μm、ピーナッツの直径:50μm)へパターニングするためにフォトリソグラフィ(Shipley 1805レジスト)が使用された。その後、露出されたシリコンを除去するためにドライエッチング(Plasmatherm RIEシステム、SF6流、40accm、50ミリトール、RF電力=100W、45秒)が使用された。その後、HF(49%)溶液中で80秒間にわたって下側のSiOがエッチングされた。方法Iの3600PDMSスタンプにおいて、特殊PDMS(Dow corning、3600、弾性率=8MPa)とSylgard 184(Dow corning、弾性率=1.8MPa)とが1:1の比率で混合されるとともに標準的なソフトリソグラフィパターニング方法を使用して硬化された。PU薄膜接着層(Norland光接着剤、No.73)を硬化するためにUV源(オゾン活性水銀ランプ、173μW/cm)が使用された。これらの後者の膜は、バーコーティング処理(Meyer bar,RD specialties)を使用してPET基板(180μm厚、マイラー膜、Southwall technologies)上にコーティングされた。
方法II
方法IIにおいて、使用されるピーナッツ形状のサイズは、方法Iで使用されたサイズよりも小さかった(中央領域長さ:10μm、幅:2μm、端部の直径:5μm)。これらの構造を形成するため、RIEエッチング時間が25秒まで減少された点(側壁エッチングを最小限に抑えるため)を除き、同様の製造プロトコルが使用され、また、高濃度(49%)HF溶液中で30秒間にわたって埋設酸化物層がエッチングされた。後者のエッチングステップ後、サンプルは、水槽中で濯がれ、オーブン内において70℃で5分間乾燥された。その後、サンプルの上端に50ÅSiO層が気相堆積された(Temescal FC−1800 Electron Beam Evaporator)。PDMSの薄い層をPET基板上に結合するため、PUの層は、最初に1000rpmで30秒間にわたってPET上にスピンキャスティングされ、その後、4分間にわたってUVO(173 □W/cm)に晒された。その後、PDMSの膜は、1000rpmで30秒間にわたってPU上にスピンキャスティングされ、65℃で3時間にわたって熱的に硬化された。
選択領域ソフトリソグラフィパターニング処理は、コーティングされたPET基板のパターニングされていないPDMS側をUVOフォトマスクのパターニングされた側と接触させた状態で配置することを含んでいる。このマイクロリアクターマスクの製造は、Childsらによって説明された手順に従った。パターンは、2つの互いに連結する矩形配列(1.2×0.6mm)から成っていた。その後、PDMSには、水銀球(UVOCS
T10×10/OES)から〜3cmの距離を隔ててUVOフォトマスクを通じて3分間にわたって光が照射された。露光後、PDMSスタンプがUVOフォトマスクから剥離され、露光されたPDMS面がピーナッツを支持するSOIウエハと接触された状態に配置された。70℃で30分間にわたって加熱した後、ピンセットを使用してPDMSをゆっくりと剥離し、それにより、照射領域と位置合わせされたμs−Siの部分を剥離した。
デバイス製造
コーティングされたPETサンプルのITO側上において66%(v)SU−8 2000シンナーを有するSU−8 5が3000rpmで30秒間にわたって回転された。その後、SU−8エポキシがホットプレート上で〜1分間にわたって60℃で予備硬化された。その後、その表面上にμs−Siを有するPDMSスタンプ(方法I)が、エポキシ層と30秒間にわたって接触され、μs−Siを元のエポキシへ転写するために剥離された。その後、SU−8誘電体は、115℃で2分間にわたって完全に硬化されて、10秒間にわたってUVに晒され、115℃で2分間にわたってポストベークされた。その後、チタン接点(40nm)用の金属が電子ビーム気相堆積によって加えられた。この場合、1%HF溶液を使用したエッチングと併せて、標準的なフォトリソグラフィ法によりソース−ドレイン領域がパターニングされた。
プラスチック基板上に印刷されたGaAsワイヤ配列を用いて形成される湾曲可能なGaAs金属−半導体電界効果トランジスタ
本発明の製造方法は、有用な機能デバイス及びデバイス部品へと組み立てて集積することができる材料に関して万能である。特に、本方法は、非シリコン材料を含む様々な高品質半導体材料を使用した半導体ベースのマイクロ電子デバイス及びマクロ電子デバイスの製造に適用できる。本方法の能力を実証するため、GaAsマイクロワイヤを有する湾曲可能な金属−半導体電界効果トランジスタ(MESFET)が、本方法によって製造されるとともに、それらの電気的特性及び機械的特性に関して評価された。
高品質な単結晶半導体ナノ−マイクロ構造を用いて大面積の機械的にフレキシブルなプラスチック基板上に形成された電界効果トランジスタは、ディスプレイ、センサ、医療機器、他のシステムにおける様々な用途において非常に興味深い。機械的にフレキシブルな金属−酸化物−半導体電界効果トランジスタ(MOSFET)のための高品質半導体材料(例えばナノワイヤ、マイクロリボン、板状体等)をプラスチック基板上に転写するために多くの手法が示されてきた。本発明の方法は、集積オームソース/ドレイン接点を有するGaAsマイクロワイヤ(本発明者らがマイクロ構造GaAs又はμs−GaAsと称する種類の材料)を使用して湾曲可能な金属−半導体電界効果トランジスタ(MESFET)をプラスチック基板上に製造するのに役立つ。これらの方法において、高品質バルクGaAsウエハは、マイクロ/ナノワイヤを形成する「トップダウン」製造手順のための出発材料を与える。また、エラストマースタンプを使用する転写印刷技術は、これらのワイヤの整然とした配列をプラスチック基板に組み込む。このように形成されたMESFETの電気的測定値及び機械的測定値は、良好な性能及び優れた曲げ性が本方法を使用して得られることを示している。
図41は、エピタキシャルnタイプチャンネル層を有する単結晶GaAsワイヤの配列及びAuGe/NiAuの集積オーム接点を使用するMESFETをフレキシブルプラスチック基板(ポリ(エチレンテレフタレート)(PET))上に製造するための主要なステップの概略図を示している。エピタキシャルSi−ドープn型GaAs層(4.0×1017/cmの濃度、IQE社、ベスレヘム、ペンシルベニア州)を有する(100)半絶縁FaAs(Si−GaAs)ウエハは、マイクロワイヤを形成するための原材料を与える。フォトリソグラフィ及び電子ビーム(及び/又は熱)気相堆積によるメタライゼーションは、オーム接点用の従来の多層積層体すなわちAuGe(120nm)/Ni(20nm)/Au(120nm)を備える狭い金属ストライプの配列(幅が2μm、間隔が13μm)を形成する。Nが流れる石英チューブ内において高温(すなわち、450℃で1分間)でウエハをアニールすると、nGaAsに対するオーム接点が形成される。
GaAsの

結晶方向に沿って金属ストライプを規定することにより、トップダウン製造方法を使用してマイクロワイヤ(集積オーム接点を有する)を形成することができる。図41の処理ステップiに示されるように、金属ストライプ(3μm幅)の上端にフォトレジストのパターンが形成され、これらのライン間の開口が、隣接する金属ストライプ間に位置する。これらの開口により、エッチャント(HPO(85重量%):H(30重量%:HO=1:13:12の体積比)は、GaAsを異方性エッチングするためにGaAs表面へ拡散することができる。フォトレジストは、オームストライプとGaAsとの間の界面を露光から保護する。異方性エッチングは、逆メサを形成するとともに、GaAsの表面に沿ってアンダーカットし、それにより、断面が三角形で且つ幅が狭いGaAsワイヤがマザー基板から解放されて形成される。アンダーカットは、レジストの形状及びエッチング時間を制御することにより、ミクロン及び/又はナノメートルの長さスケールまで小さい幅を持つGaAsをもたらす。各ワイヤは、結果として得られるMESFETのチャンネル長を規定する間隔で離間される2つのオームストライプを有している。図41の処理ステップiiに示されるように、ポリ(ジメチルシロキサン)(PDMS)の平坦なエラストマースタンプをフォトレジストでコーティングされたGaAsワイヤに対して接触させると、PDMSの疎水性の表面とフォトレジストとの間にファンデルワールス結合が形成される。図41の処理ステップiiiに示されるように、この相互作用により、スタンプがマザーウエハから剥離される際に、全てのGaAsワイヤをウエハからPDMSの表面へと除去することができる。この転写プロセスは、リソグラフィにより規定されたワイヤの空間的構成(すなわち、アライメントされた配列)を維持する。GaAsワイヤを有するPDMSスタンプは、その後、光硬化可能な高分子の一種である液体ポリウレタン(PU、NEA 121、Norland Products社、クランベリー、ニュージャージー州)の薄い層でコーティングされたPETシートに対して積層される。
図41の処理ステップivに示されるように、PUを硬化させ、PDMSスタンプを剥離して、O反応性イオンエッチング(RIE、Uniaxis 790,Plasma−Therm 反応性イオンエッチングシステム)によりフォトレジストを除去すると、露出されたオームストライプがPU/PET基板の表面上に埋め込まれて成る順序付けられたGaAsワイヤが残る。転写印刷プロセスにおいて、フォトレジストは、接着層としての機能を果たすだけでなく、GaAsワイヤ及びオーム接点の表面が汚染されないようにする保護膜としての機能も果たす。図41の処理ステップvに示されるように、PU/PET基板に対する更なるリソグラフィ処理により、ソース、ドレイン、ゲート電極(Ti(150nm)/Au(150nm))を形成するためにオームストライプ同士を接続する電極(250nmAu)が形成される。結果として得られるMESFETの配列は、PU/PETシート(〜200μmの厚さ)及びGaAsワイヤ(幅及び厚さが5μm未満)の曲げ性に起因して機械的にフレキシブルである。
図42Aは、プラスチック基板(PU/PET)上におけるGaAsワイヤに基づくMESFETの幾何学的構成の断面図を示す概略図を与えている。ソース/ドレイン電極は、n−GaAs層に対するオーム接点を形成している。ゲート電極は、この層に対するショットキー接点を形成している。硬化されたPUとGaASワイヤの側壁との間の強力な相互作用は、ワイヤをPU/PET基板に対して結合する。この幾何学的構成においては、前述した処理手法を用いると、活性n−GaAs層(すなわちトランジスタチャンネル)は、フォトレジスト以外の任意の高分子材料とは全く接触しない。Ti/Auゲート電極はn−GaAs表面とのショットキー接点を形成しており、バリアによって、従来のMESFETの場合のように、相対的にマイナスの電圧(すなわち<0.5V)を印加して、ソース電極とドレイン電極との間で電流の流れを変調させることができる。図42Bは、プラスチック上における2つのGaAsワイヤに基づくMESFETの代表的な画像を示しており、各MESFETは、図41のプロセスフローチャートにしたがって製造された10本のGaAsワイヤの配列を使用する。ワイヤは、うまくアライメントされた方向と、〜1.8μmの均一な幅とを有している。幅が150μmで且つ長さが250μmのAuパッドは、個々のMESFET毎にソース電極及びドレイン電極を形成するために10本のGaASワイヤ上のオームストライプを接続する。幅が15μmで且つソース電極とドレイン電極との間にある50μmの隙間中に堆積されたTi/Auストライプはゲート電極を形成する。これらのストライプは、検査用の大きな金属パッドに接続する。ワイヤ上の金属とプラスチック上の金属との間のコントラストの違いは、恐らく、フォトレジストのRIEエッチング中に形成されたPU上の表面粗さに起因している。図42Cは、数百個のトランジスタを有する2cm×2cmPETシートの画像であって、当該シートの柔軟性を明らかにしている画像を示している。複数の印刷ステップ及び/又はワイヤ製造工程は、プラスチック基板上に大面積にわたってパターニングされた多数のワイヤを形成するために使用されてもよい。GaAsワイヤの幅、ソース/ドレイン電極の幅、チャンネル及びゲートの長さ等の様々なパラメータは、一連の所望の出力特性を有するMESFETを生み出すために簡単に調整される。
トランジスタのDC性能は、それらの電気的特性及び機械的特性を評価するために特徴付けられた。図43A、43B、43Cは、図42Bに示されたものと同様な、50μmのチャンネル長、15μmのゲート長を有するGaAs MESFETからの結果を示している。図43Aは、0.5Vのステップを伴う0.5〜−2.0Vのゲート電圧における電流−電圧(ドレイン電極とソース電極との間)曲線を示している。IDS−VDS特性は、n型GaAs層及び標準的な技術を用いて形成された従来のウエハベースのMESFETに相当している。すなわち、IDSは高いVDSの領域で飽和しており、また、IDSはゲート電圧の減少に伴って減少している。線形領域において、VGS=0Vでのチャンネル抵抗はRchannel=6.4kΩである。図43Bは、異なるVDSで測定された本発明のGaAs MEDFETの転写特性(すなわち、IDS対VGS)を示している。全ての曲線は、同じゲート電圧すなわち−2.65Vで最小値を有している。高いプラスゲート電圧でのIDSの降下は、この形態ではショットキー接点を通じて進展するゲートからソースへの漏れ電流に起因している。図43Cは、MESFETにおいて予期される線形関係を明確に示す(IDS1/2−VGSとしてプロットされたVDS=4Vにおける転写曲線を示している。IDS=0.19mA及びVDS=4Vにおけるピンチオフ電圧及びトランスコンダクタンスはそれぞれ、Vp=2.65V及びgm0=168μSである。これらの特性は、PET基板上に製造されたトランジスタが従来の手法によりウエハ上に製造された一般的なGaAsMESFETの作用に似ていることを示している。
機械的な柔軟性は、考慮される多くの対象用途におけるプラスチック基板上のデバイスの重要なパラメータを表わしている。本発明者らは、支持しているPETシートを曲げることによりトランジスタを検査した。図44A及び図44Bは、(A)曲げる前;(B)8.4mmの曲げ半径まで曲げた後におけるフレキシブルPET基板上におけるGaAsワイヤに基づくMESFETのゲート変調された電流−電圧特性を示している。これらの図は、基板が8.4mmの半径すなわち200μm厚の基板における1.2%の表面歪み(この場合には伸長)に対応する半径まで曲げられる前及び後におけるトランジスタの性能を比較している。結果は、トランジスタが故障することなく高い歪みに耐えることができることを示している。実際に、この場合、VGS=0Vでの飽和電流が〜20%だけ増大する。図44Cは、湾曲基板をその平坦な曲げられていない状態まで弛緩させた後におけるGaAsワイヤに基づくMESFETのゲート変調された電流−電圧特性を示している。図44Cと図44Aとを比較すると、歪みを解放した後、すなわち、基板が再び平坦になった後、トランジスタがその当初の状態の性能を回復しているのが分かる。図44Dは、湾曲(異なる表面歪みを用いる)/非湾曲に関する3サイクルにおけるVDS=4V及びVGS=0VでのIDSの変化を示しており、これらのMESFETが、それらの性能を大きく変化させることなく(<20%)、デバイスにおける引っ張り歪みを0%と1.2%との間で変化させる複数の曲げサイクルを凌いでいることを表わしている。歪みに伴って観察される系統的な変化は、機械的な歪みがGaAsワイヤのエネルギレベル分布及びGaAsワイヤの結晶格子の変位を引き起こすという事実に関連付けられてもよい。
この実施例は、(i)GaAsウエハ上での高温アニーリングによるオーム接点の形成、(ii)異方性化学エッチングによるこれらの集積オーム接点を有するGaAsマイクロワイヤの生成、(iii)エラストマースタンプを用いたプラスチック基板に対するこれらのワイヤの乾式転写印刷、(iv)プラスチック基板上にフレキシブルなGaAsMESFETを形成するための、これらのワイヤの低温処理によるプラスチック上での高品質MESFETの製造、を伴う手法について説明している。GaAsの固有の特性(例えば高い移動度)、すなわち、短いゲート長及び真っ直ぐな経路を有するMESFETを形成してこれらのデバイスを複合回路へと集積(場合によっては、同様の手法を使用するが他の半導体を用いて形成された他のトランジスタと共に)できることは、高度な通信、空間、他のシステムのための高周波応答を達成するための使用を示している。これらのデバイスのこれらの利点及び非常に良好な機械的柔軟性は、GaAsワイヤMESFETをフレキシブルなマクロ電子システムにおいて興味深くする。
要約すると、集積オーム接点を有するGaAsのマイクロ/ナノワイヤは、金属気相堆積及びパターニング、高温アニーリング、異方性化学エッチングによりバルクウエハから形成された。これらのワイヤは、プラスチックやペーパー等の様々な珍しいデバイス基板上に直接に形成できる高性能デバイスのための固有のタイプの材料を与える。特に、プラスチック基板上に低温でこれらのワイヤの組織化された配列を転写印刷すると、高品質で湾曲可能な金属−半導体電界効果トランジスタ(MESFET)が得られる。ポリ(エチレンテレフタレート)上のデバイスの電気的及び機械的な特性は、達成できるレベル性能を示す。これらの結果は、家電システム及び軍事電子システムにおける新たな用途のための高速フレキシブル基板へのこのアプローチの展望を示している。
印刷可能半導体素子を使用するデバイス構造
図45は、プラスチック基板上のP型ボトムゲート薄膜トランジスタにおける本発明の典型的なデバイス構造を示す概略図を与えている。図45に示されるように、P型ボトムゲート薄膜トランジスタは、ドープ接点領域を有するシリコン印刷可能半導体素子と、インジウムスズ酸化物ボトムゲート電極と、エポキシ誘電体層と、ソース電極及びドレイン電極とを備えている。プラスチック基板はポリ(エチレンテレフタレート)(PET)シートである。また、図45には、一連のゲート電圧におけるこれらのデバイスの一般的な電流−電圧特性が示されている。
図46は、プラスチック基板上の相補型論理ゲートにおける本発明の典型的なデバイス構造を示す概略図を与えている。図46に示されるように、相補型論理ゲートは、P型薄膜トランジスタ及びN型薄膜トランジスタを備えており、各薄膜トランジスタは、印刷可能半導体素子を有するとともに、ポリ(エチレンテレフタレート)(PET)シート上に設けられている。
図47は、プラスチック基板上のトップゲート薄膜トランジスタにおける本発明の典型的なデバイス構造を示す概略図を与えている。図45に示されるように、トップゲート薄膜トランジスタは、ドープ接点領域を有するシリコン印刷可能半導体素子と、SiO誘電体層と、ゲート電極、ソース電極、ドレイン電極とを備えている。プラスチック基板は、薄膜トランジスタ及びその構成要素の転写及び組み立てを容易にするために薄いエポキシ層を有するポリ(エチレンテレフタレート)(PET)シートである。また、図47には、一連のゲート電圧におけるこれらのデバイスの一般的な電流−電圧特性が示されている。

Claims (129)

  1. 第1の電極と、
    第2の電極と、
    前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、単一無機半導体構造を備え、約20%以上の前記第1及び第2の電極間の充填比を与える印刷可能半導体素子と、
    を備える電気デバイス。
  2. 前記印刷可能半導体素子が、約50%以上の前記第1及び第2の電極間の充填比を与える、請求項1に記載の電気デバイス。
  3. 前記印刷可能半導体素子が、マイクロ構造の印刷可能半導体素子又はナノ構造の印刷可能半導体素子を備える、請求項1に記載の電気デバイス。
  4. 前記印刷可能半導体素子が、
    リボンと、
    板状体と、
    柱と、
    円筒と、
    ディスクと、
    ブロックと、
    から成るグループから選択される形状を有している、請求項1に記載の電気デバイス。
  5. 少なくとも1つの更なる印刷可能半導体素子を更に備え、更なる印刷可能半導体素子が前記第1及び第2の電極と電気的に接触している、請求項1に記載の電気デバイス。
  6. 第1の電極と、
    第2の電極と、
    前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、約500ナノメートル以上の少なくとも1つの断面寸法を有する単一無機半導体構造を備える印刷可能半導体素子と、
    を備える電気デバイス。
  7. 前記単一無機半導体構造が、約10ナノメートル以上の厚さと、約100ナノメートル以上の幅と、約1ミクロン以上の長さとを有している、請求項6に記載の電気デバイス。
  8. 前記単一無機半導体構造が、約10ナノメートル〜約100ミクロンの範囲から選択される厚さと、約100ナノメートル〜約1ミリメートルの範囲から選択される幅と、約1ミクロン〜約1ミリメートルの範囲から選択される長さとを有している、請求項6に記載の電気デバイス。
  9. 前記単一無機半導体構造が、幅に対する長さの比が約10以下である、請求項6に記載の電気デバイス。
  10. 前記単一無機半導体構造が、幅に対する長さの比が約1.5以下である、請求項6に記載の電気デバイス。
  11. 前記単一無機半導体構造が、幅に対する厚さの比が約0.1以下である、請求項6に記載の電気デバイス。
  12. 前記印刷可能半導体素子が、マイクロ構造の印刷可能半導体素子又はナノ構造の印刷可能半導体素子を備える、請求項6に記載の電気デバイス。
  13. 前記印刷可能半導体素子が、
    リボンと、
    板状体と、
    柱と、
    円筒と、
    ディスクと、
    ブロックと、
    から成るグループから選択される形状を有している、請求項6に記載の電気デバイス。
  14. 少なくとも1つの更なる印刷可能半導体素子を更に備え、更なる印刷可能半導体素子が前記第1及び第2の電極と電気的に接触している、請求項6に記載の電気デバイス。
  15. 第1の電極と、
    第2の電極と、
    前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、単一無機半導体構造を備え、前記無機半導体構造中の重金属不純物の濃度が原子100万個につき1以下である印刷可能半導体素子と、
    を備える電気デバイス。
  16. 前記無機半導体構造中の重金属不純物の濃度が、原子10億個につき100以下である、請求項15に記載の電気デバイス。
  17. 前記無機半導体構造が、単結晶半導体材料、多結晶半導体材料を備えている、請求項15に記載の電気デバイス。
  18. 前記無機半導体構造がドープ結晶半導体材料を備える、請求項15に記載の電気デバイス。
  19. 少なくとも1つの更なる印刷可能半導体素子を更に備え、更なる印刷可能半導体素子が前記第1及び第2の電極と電気的に接触している、請求項15に記載の電気デバイス。
  20. 第1の電極と、
    第2の電極と、
    前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、前記第1の電極、前記第2の電極又はこれらの両方に対するその位置が約50ミクロンの範囲内となるように選択される印刷可能半導体素子と、
    を備える電気デバイス。
  21. 前記第1の電極、前記第2の電極又はこれらの両方に対する前記印刷可能半導体素子の位置が約20ミクロンの範囲内となるように選択される、請求項20に記載の電気デバイス。
  22. 前記第1の電極、前記第2の電極又はこれらの両方に対する前記印刷可能半導体素子の位置が約5ミクロンの範囲内となるように選択される、請求項20に記載の電気デバイス。
  23. 前記印刷可能半導体素子が第1及び第2の端部で終端する長さで延びており、前記印刷可能半導体素子の前記第1の端部が前記第1の電極から5ミクロンの範囲内に位置され、前記印刷可能半導体素子の前記第2の端部が前記第2の電極から5ミクロンの範囲内に位置されている、請求項20に記載の電気デバイス。
  24. 前記第1及び第2の電極が、前記印刷可能半導体素子の長さの50%よりも長い距離だけ離間されている、請求項20に記載の電気デバイス。
  25. 少なくとも1つの更なる印刷可能半導体素子を更に備え、更なる印刷可能半導体素子が前記第1及び第2の電極と電気的に接触している、請求項20に記載の電気デバイス。
  26. トランジスタと、
    太陽電池と、
    フォトダイオードと、
    発光ダイオードと、
    マイクロ電子機械デバイスと、
    ナノ電子機械デバイスと、
    レーザと、
    P−N接合と、
    センサと、
    メモリデバイスと、
    相補型論理回路と、
    から成るグループから選択されるデバイスを備えている、請求項20に記載の電気デバイス。
  27. 第1の電極と、
    第2の電極と、
    それぞれが前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、それぞれが単一無機半導体構造を備え、約20%以上の前記第1及び第2の電極間の充填比を与える複数の印刷可能半導体素子と、
    を備える電気デバイスの配列。
  28. 第1の電極と、
    第2の電極と、
    それぞれが前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、それぞれが約500ナノメートル以上の少なくとも1つの断面寸法を有する単一無機半導体構造を備える複数の印刷可能半導体素子と、
    を備える電気デバイスの配列。
  29. 第1の電極と、
    第2の電極と、
    それぞれが前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、それぞれが単一無機半導体構造を備え、前記各無機半導体構造中の重金属不純物の濃度が原子100万個につき1以下である複数の印刷可能半導体素子と、
    を備える電気デバイスの配列。
  30. 第1の電極と、
    第2の電極と、
    それぞれが前記第1及び第2の電極と電気的に接触した状態で位置されるとともに、前記第1の電極、前記第2の電極又はこれらの両方に対するそれぞれの位置が約50ミクロンの範囲内となるように選択される複数の印刷可能半導体素子と、
    を備える電気デバイスの配列。
  31. 前記印刷可能半導体素子が、選択されたアライメント軸に対して略長手方向に向けられている、請求項30に記載の電気デバイスの配列。
  32. 前記選択されたアライメント軸が、前記第1及び第2の電気接点の最も近い点を接続する軸に沿って延びている、請求項31に記載の電気デバイスの配列。
  33. 前記第1の電極、前記第2の電極又はこれらの両方に対する前記各印刷可能半導体素子の位置が約5ミクロンの範囲内となるように選択される、請求項30に記載の電気デバイスの配列。
  34. 前記各印刷可能半導体素子が第1及び第2の端部で終端する長さで延びており、前記印刷可能半導体素子の前記第1の端部のそれぞれが前記第1の電極から5ミクロンの範囲内に位置され、前記印刷可能半導体素子の前記第2の端部のそれぞれが前記第2の電極から5ミクロンの範囲内に位置されている、請求項30に記載の電気デバイスの配列。
  35. 前記各印刷可能半導体素子の互いに対する位置が、約5ミクロンの範囲内となるように選択される、請求項30に記載の電気デバイスの配列。
  36. 基板の受け面上に印刷可能半導体素子を組み立てるための方法であって、
    単一無機半導体構造を備える前記印刷可能半導体素子を設けるステップと、
    前記印刷可能半導体素子を、接触面を有するコンフォーマブルな転写デバイスと接触させ、前記接触面と前記印刷可能半導体素子との間の接触が前記印刷可能半導体素子を前記接触面に対して結合させ、それにより、前記印刷可能半導体素子がその上に配置された前記接触面が形成されるステップと、
    前記接触面上に配置された前記印刷可能半導体素子を前記基板の前記受け面と接触させるステップと、
    前記コンフォーマブルな転写デバイスの前記接触面と前記印刷可能半導体素子とを分離させ、前記印刷可能半導体素子が前記受け面上に転写され、それにより、前記基板の前記受け面上に前記印刷可能半導体素子を組み立てるステップと、
    を備える方法。
  37. 前記コンフォーマブルな転写デバイスの接触面と前記印刷可能半導体素子の外面との間でコンフォーマル接触が成される、請求項36に記載の方法。
  38. 前記印刷可能半導体素子がその上に配置された前記接触面と前記基板の前記受け面との間でコンフォーマル接触が成される、請求項36に記載の方法。
  39. 前記接触面上に配置された前記半導体素子が、約5cmに等しい受け面の面積にわたって約25ミクロン以上の配置精度をもって前記受け面の選択された領域と接触される、請求項36に記載の方法。
  40. 前記受け面上には接着層が設けられ、前記印刷可能半導体素子が、前記基板の前記受け面に対する前記印刷可能半導体素子の転写中に前記接着層と接触される、請求項36に記載の方法。
  41. 前記基板の前記受け面が、約10ミクロン〜約10メートルの範囲にわたって選択される曲率半径を有する湾曲面である、請求項36に記載の方法。
  42. 前記コンフォーマブルな転写デバイスがエラストマースタンプを備えている、請求項36に記載の方法。
  43. 前記印刷可能半導体素子をコンフォーマブルな転写デバイスと接触させる前記ステップ、前記接触面上に配置された前記印刷可能半導体素子を前記基板の前記受け面と接触させる前記ステップ、及び前記コンフォーマブルな転写デバイスの前記接触面と前記印刷可能半導体素子とを分離させる前記ステップが、前記印刷可能半導体素子を前記受け面上に乾式転写印刷する工程を備える、請求項36に記載の方法。
  44. 前記印刷可能半導体素子を設ける前記ステップが、マザー基板上に選択された方向で前記半導体素子を設ける工程を備え、前記半導体素子の前記選択された方向が、前記マザー基板と前記印刷可能半導体素子とを結合するアライメント維持要素により、前記接触面との接触中に維持される、請求項36に記載の方法。
  45. 前記接触面上に配置された前記印刷可能半導体素子を前記基板の前記受け面と接触させる前記ステップでは、デバイスが前記アライメント維持要素を解放し、それにより、前記印刷可能半導体素子が前記マザー基板から解放される、請求項44に記載の方法。
  46. それぞれが単一無機半導体構造を備える更なる複数の印刷可能半導体素子を設けるステップと、
    前記印刷可能半導体素子を、接触面を有するコンフォーマブルな転写デバイスと接触させ、前記接触面と前記印刷可能半導体素子との間の接触が前記印刷可能半導体素子を前記接触面に対して結合させるとともに、前記印刷可能半導体素子の選択されたパターンを備える相対的な方向で前記印刷可能半導体素子がその上に配置された前記接触面を形成するステップと、
    前記接触面上に配置された前記印刷可能半導体素子を前記基板の前記受け面と接触させるステップと、
    前記コンフォーマブルな転写デバイスの前記接触面と前記印刷可能半導体素子とを分離させ、前記選択されたパターンを備える前記相対的な方向で前記印刷可能半導体素子が前記受け面上に転写されるステップと、
    を更に備える、請求項36に記載の方法。
  47. 前記印刷可能半導体素子が、前記選択されたパターンを備える前記相対的な方向でマザー基板上に設けられ、前記相対的な方向が前記受け面に対する転写中に維持される、請求項46に記載の方法。
  48. 前記コンフォーマブルな転写デバイスが、前記印刷可能半導体素子の全てではなく選択された印刷可能半導体素子と接触面との間でコンフォーマル接触を形成し、それにより、前記選択されたパターンを備える前記相対的な方向でその上に前記印刷可能半導体素子が配置された前記接触面を形成し、前記相対的な方向が前記受け面に対する転写中に維持される、請求項46に記載の方法。
  49. 前記コンフォーマブルな転写デバイスの接触面上に接着材料のパターンが設けられ、接着材料の前記パターンの少なくとも一部が印刷可能半導体素子の前記選択されたパターンに対応している、請求項46に記載の方法。
  50. 半導体素子の前記選択されたパターンが前記基板の前記受け面上に良好な忠実度で形成される、請求項46に記載の方法。
  51. 前記コンフォーマブルな転写デバイスが、前記選択されたパターンに対応する相対位置を有する複数の接触面を有している、請求項46に記載の方法。
  52. 前記基板がプラスチック基板である、請求項36に記載の方法。
  53. トランジスタと、
    太陽電池と、
    フォトダイオードと、
    発光ダイオードと、
    マイクロ電子機械デバイスと、
    ナノ電子機械デバイスと、
    レーザと、
    P−N接合と、
    相補型論理回路と、
    から成るグループから選択される電気デバイスを製造するための方法を備える、請求項36に記載の方法。
  54. 基板の受け面上に印刷可能半導体素子を組み立てるための方法であって、
    単一無機半導体構造を備える前記印刷可能半導体素子を設け、前記印刷可能半導体素子が約500ナノメートル以上の少なくとも1つの断面寸法を有するステップと、
    前記半導体素子を溶媒中に分散させ、それにより、前記溶媒中に前記半導体素子を備える懸濁液を形成するステップと、
    前記懸濁液を前記受け面上にソリューション印刷することにより前記半導体素子を前記基板へ供給し、それにより、前記受け面上に前記半導体素子を組み立てるステップと、
    を備える方法。
  55. 前記懸濁液を前記受け面上にソリューション印刷することにより前記半導体素子を前記基板へ供給する前記ステップが、
    インクジェット印刷と、
    熱転写印刷と、
    毛管作用印刷と、
    スクリーン印刷と、
    から成るグループから選択される印刷技術を使用する、請求項54に記載の方法。
  56. 前記半導体素子を選択された方向で前記受け面の選択された領域へと方向付けるステップを更に備える、請求項54に記載の方法。
  57. 前記半導体素子が、静電気力、静磁気力又は音波を用いて選択された方向で前記受け面の前記選択された領域へと方向付けられる、請求項56に記載の方法。
  58. 更なる印刷可能半導体素子を設け、前記更なる印刷可能半導体素子のそれぞれが約500ナノメートル以上の少なくとも1つの断面寸法を有するステップと、
    前記半導体素子を前記溶媒中に分散させ、それにより、前記溶媒中に前記半導体素子を備える懸濁液を形成するステップと、
    前記懸濁液を前記受け面上にソリューション印刷することにより前記半導体素子を前記基板へ供給し、それにより、前記受け面上に前記半導体素子を組み立てるステップと、
    を更に備える、請求項54に記載の方法。
  59. 前記半導体素子に結合する前記受け面の結合領域を設けるステップと、
    前記半導体素子を前記受け面の前記結合領域に対して結合させるステップと、
    を更に備える、請求項54に記載の方法。
  60. 前記結合領域が親水性又は疎水性である、請求項59に記載の方法。
  61. 前記基板がプラスチック基板である、請求項54に記載の方法。
  62. トランジスタと、
    太陽電池と、
    フォトダイオードと、
    発光ダイオードと、
    マイクロ電子機械デバイスと、
    ナノ電子機械デバイスと、
    レーザと、
    P−N接合と、
    相補型論理回路と、
    から成るグループから選択される電気デバイスを製造するための方法を備える、請求項54に記載の方法。
  63. 前記印刷可能半導体素子がハンドル素子を更に備え、前記方法が、前記ハンドル素子を使用して前記半導体素子を選択された方向で前記受け面の選択された領域へと方向付けることを更に備える、請求項54に記載の方法。
  64. 印刷可能半導体素子を製造するための方法であって、
    外面を有するウエハを設け、前記ウエハが無機半導体材料を備えるステップと、
    マスクを適用することにより前記外面の選択された領域をマスキングするステップと、
    前記ウエハの前記外面をエッチングすることにより、レリーフ構造と前記ウエハの少なくとも1つの露出面とを形成し、前記レリーフ構造が1つのマスキングされた側と1つ以上のマスキングされていない側とを有するステップと、
    前記レリーフ構造の前記マスキングされていない側の少なくとも一部に対してマスクを適用するステップと、
    前記ウエハの前記露出面を少なくとも部分的にエッチングすることにより、前記印刷可能半導体素子を製造するステップと、
    を備える方法。
  65. 前記印刷可能半導体素子が、アライメント維持要素により前記ウエハに対して接続される、請求項64に記載の方法。
  66. 誘電体層を前記外面上に堆積させるステップを更に備え、前記半導体素子が誘電体と接触する無機半導体構造を備える、請求項64に記載の方法。
  67. 前記外面上に誘電体層を堆積させ、前記誘電体層が外側と反対側の内側を有し、前記誘電体層の前記外側が前記ウエハの前記外面と接触するステップと、
    前記誘電体層の前記外側上に導電層を堆積させるステップと、
    を更に備え、
    前記半導体素子が無機半導体構造と誘電体と電極とを備え、前記誘電体が前記結晶半導体構造と前記電極との間に位置されている、請求項64に記載の方法。
  68. 1つ以上のアライメント維持要素を介してマザーウエハに対して接続される印刷可能半導体素子を製造するための方法であって、
    外面を有する前記マザーウエハを設け、前記ウエハが無機半導体材料を備えるステップと、
    マスクを適用することにより前記外面の選択された領域をマスキングするステップと、
    前記ウエハの前記外面をエッチングすることにより、レリーフ構造と前記ウエハの少なくとも1つの露出面とを形成し、前記レリーフ構造が1つのマスキングされた側と1つ以上のマスキングされていない側とを有するステップと、
    前記ウエハの前記露出面をエッチングするステップと、
    前記レリーフ構造の完全な解放が妨げられるように前記露出面のエッチングを停止させ、それにより、1つ以上のアライメント維持要素を介してマザーウエハに対して接続される前記印刷可能半導体素子を製造するステップと、
    を備える方法。
  69. 前記印刷可能半導体素子が第1の端部及び第2の端部を有するピーナッツ形状を成し、前記アライメント維持要素が、前記印刷可能半導体素子の前記第1及び第2の端部を前記マザーウエハに対して接続する、請求項68に記載の方法。
  70. 前記印刷可能半導体素子が第1の端部及び第2の端部を有するリボン形状を成し、前記アライメント維持要素が、前記印刷可能半導体素子の前記第1及び第2の端部を前記マザーウエハに対して接続する、請求項68に記載の方法。
  71. 印刷可能半導体素子を製造するための方法であって、
    外面を有するウエハを設け、前記ウエハが無機半導体を備えるステップと、
    第1のマスクを適用することにより前記外面の選択された領域をマスキングするステップと、
    前記ウエハの前記外面をエッチングすることにより、複数のレリーフ構造を形成するステップと、
    前記ウエハをアニールすることにより、アニール外面を形成するステップと、
    第2のマスクを適用することにより前記アニール外面の選択された領域をマスキングするステップと、
    前記アニール外面をエッチングすることにより、前記印刷可能半導体素子を形成するステップと、
    を備える方法。
  72. 前記印刷可能半導体素子がアライメント維持要素を介して前記ウエハに対して接続される、請求項71に記載の方法。
  73. 印刷可能半導体素子を製造するための方法であって、
    外面を有する超薄ウエハを設け、前記ウエハが無機半導体を備え且つ前記外面と直交する軸に沿う選択された厚さを有するステップと、
    マスクを適用することにより前記外面の選択された領域をマスキングするステップと、
    前記ウエハの前記外面をエッチングし、前記ウエハが前記外面と直交する前記軸に沿う前記厚さの全体にわたってエッチングされ、それにより、前記印刷可能半導体素子を形成するステップと、
    を備える方法。
  74. 単一結晶無機半導体構造と、
    前記結晶無機半導体構造に接続された少なくとも1つのハンドル素子と、
    を備える印刷可能半導体素子。
  75. 前記ハンドル素子が、磁場、電場、又は、これらの両方に対して応答する、請求項74に記載の印刷可能半導体素子。
  76. 前記ハンドル素子が強磁性材料を備える、請求項74に記載の印刷可能半導体素子。
  77. 2つのハンドル素子を備える、請求項74に記載の印刷可能半導体素子。
  78. 前記単一結晶無機半導体構造が、中心軸に沿って延び且つ前記中心軸に沿って第1の端部及び第2の端部で終端するリボンであり、前記ハンドル素子が前記リボンの前記第1及び第2の端部に設けられている、請求項74に記載の印刷可能半導体素子。
  79. 基板の受け面上に印刷可能半導体素子を組み立てるための方法であって、
    単一結晶無機半導体構造及びハンドル素子を備える前記半導体素子を設けるステップと、
    溶媒中に前記半導体素子を分散させることにより、前記溶媒中に前記半導体素子を備える懸濁液を形成するステップと、
    前記懸濁液を前記受け面上に分散させることにより前記半導体素子を前記基板に対して供給するステップと、
    磁場、電場、又は、これらの両方を与えることにより前記受け面上で前記半導体素子をアライメントし、前記磁場、電場、又は、これらの両方が前記ハンドル素子と相互に作用することにより、前記ハンド体素子を選択された位置及び方向へ移動させる力が形成され、それにより、前記受け面上に前記半導体素子を組み立てるステップと、
    を備える方法。
  80. 約500ナノメートル以上の少なくとも1つの断面寸法を有する単一結晶無機半導体構造を備える印刷可能なP−N接合であって、前記結晶無機半導体構造がNドープ領域と電気的に接触するPドープ領域を備えているP−N接合。
  81. プラスチック基板により支持されるトランジスタにおいて、
    単一結晶無機半導体構造を備える印刷可能半導体素子と電気的に接触するソース電極と、
    前記印刷可能半導体素子と電気的に接触するドレイン電極と、
    前記ソース電極及びドレイン電極から分離されるゲート電極であって、前記ゲート電極に対する電位の印加が、前記印刷可能半導体素子を介したソース電極とドレイン電極との間の電子の流れに影響を与えるゲート電極と、
    を備え、
    100cm−1−1以上のデバイス電界効果移動度を有しているトランジスタ。
  82. 支持面を有するフレキシブル基板と、
    湾曲した内面を有し、前記湾曲した内面の少なくとも一部が前記フレキシブル基板の前記支持面に対して結合される半導体構造と、
    を備える伸縮可能な半導体素子。
  83. 前記半導体構造が湾曲形態を成している、請求項82に記載の伸縮可能な半導体素子。
  84. 前記結晶無機半導体構造が、前記湾曲した内面と反対側の湾曲した外面を有している、請求項82に記載の伸縮可能な半導体素子。
  85. 前記湾曲した内面を有する前記半導体構造が歪みを受けている、請求項82に記載の伸縮可能な半導体素子。
  86. 前記湾曲した内面が少なくとも1つの凸領域を有している、請求項82に記載の伸縮可能な半導体素子。
  87. 前記湾曲した内面が少なくとも1つの凹領域を有している、請求項82に記載の伸縮可能な半導体素子。
  88. 前記湾曲した内面が周期波により特徴付けられる輪郭形状を有している、請求項82に記載の伸縮可能な半導体素子。
  89. 前記湾曲した内面が非周期波により特徴付けられる輪郭形状を有している、請求項82に記載の伸縮可能な半導体素子。
  90. 前記半導体構造が湾曲形態のリボンを備え、このリボンが、当該リボンの全長にわたって延びる周期波により特徴付けられる輪郭形状を有している、請求項82に記載の伸縮可能な半導体素子。
  91. 前記湾曲リボンが、約5ミクロン〜約50ミクロンの範囲にわたって選択される幅と、約50ナノメートル〜約500ナノメートルにわたって選択された厚さとを有している、請求項90に記載の伸縮可能な半導体素子。
  92. 前記湾曲した内面が、前記湾曲した内面に沿うほぼ全ての点で前記支持面に対して結合されている、請求項82に記載の伸縮可能な半導体素子。
  93. 前記湾曲した内面が、前記湾曲した内面に沿う選択された点で前記支持面に対して結合されている、請求項82に記載の伸縮可能な半導体素子。
  94. 前記フレキシブル基板がポリ(ジメチルシロキサン)を備えている、請求項82に記載の伸縮可能な半導体素子。
  95. 前記フレキシブル基板が約1ミリメートルに等しい厚さを有している、請求項82に記載の伸縮可能な半導体素子。
  96. 前記半導体構造が無機半導体材料である、請求項82に記載の伸縮可能な半導体素子。
  97. 前記半導体構造が単結晶無機半導体材料である、請求項82に記載の伸縮可能な半導体素子。
  98. 前記半導体構造が単結晶シリコンを備えている、請求項82に記載の伸縮可能な半導体素子。
  99. 伸縮可能な半導体素子を形成するための方法であって、
    内面を有する印刷可能な半導体構造を設けるステップと、
    予め歪みが加えられた拡張状態の弾性基板を設け、前記弾性基板が外面を有しているステップと、
    前記印刷可能な半導体構造の前記内面の少なくとも一部を、予め歪みが加えられた拡張状態の前記弾性基板の前記外面に対して結合させるステップと、
    前記弾性基板を緩和状態まで少なくとも部分的に弛緩させることができ、弾性基板の弛緩が前記印刷可能な半導体構造の内面を湾曲させ、それにより、湾曲した内面を有する前記伸縮可能な半導体素子を形成するステップと、
    を備える方法。
  100. 予め歪みが加えられた前記弾性基板が第1の軸に沿って拡張される、請求項99に記載の方法。
  101. 予め歪みが加えられた前記弾性基板が前記第1の軸と直交する第2の軸に沿って拡張される、請求項100に記載の方法。
  102. 予め歪みが加えられた拡張状態の前記弾性基板が、前記弾性基板を曲げることにより形成される、請求項99に記載の方法。
  103. 予め歪みが加えられた拡張状態の前記弾性基板が、前記弾性基板を圧延することにより形成される、請求項99に記載の方法。
  104. 湾曲した内面を有する前記半導体をフレキシブルな受け基板に対して転写するステップを更に備える、請求項99に記載の方法。
  105. 予め歪みが加えられた前記弾性基板の前記外面に対する前記印刷可能な半導体構造の前記内面の少なくとも一部の結合が、前記印刷可能な半導体構造と予め歪みが加えられた前記弾性基板の前記外面との間の共有結合、前記印刷可能な半導体構造と予め歪みが加えられた前記弾性基板の前記外面との間のファンデルワールス相互作用、前記印刷可能な半導体構造と予め歪みが加えられた前記弾性基板の前記外面との間の接着層によって行なわれる、請求項99に記載の方法。
  106. 予め歪みが加えられた前記弾性基板の前記外面が、前記印刷可能な半導体構造と予め歪みが加えられた前記弾性基板の前記外面との間の結合を行なう複数の水酸基を有している、請求項99に記載の方法。
  107. 支持面を有するフレキシブル基板と、
    湾曲した内面を有し、前記湾曲した内面の少なくとも一部が前記フレキシブル基板の前記支持面に対して結合される電気回路と、
    を備える伸縮可能な電気回路。
  108. 前記電気回路が複数の集積デバイス部品を備えている、請求項107に記載の伸縮可能な電気回路。
  109. 前記集積デバイス部品が、
    半導体素子と、
    誘電体素子と、
    電極と、
    導体素子と、
    ドープ半導体素子と、
    から成るグループから選択される、請求項107に記載の伸縮可能な電気回路。
  110. 前記電気回路が湾曲形態を成している、請求項107に記載の伸縮可能な電気回路。
  111. 前記湾曲した内面を有する前記電気回路が歪みを受けている、請求項107に記載の伸縮可能な電気回路。
  112. 前記湾曲した内面が周期波により特徴付けられる輪郭形状を有している、請求項107に記載の伸縮可能な電気回路。
  113. 前記湾曲した内面が非周期波により特徴付けられる輪郭形状を有している、請求項105に記載の伸縮可能な電気回路。
  114. 伸縮可能な電気回路を形成するための方法であって、
    内面を有する印刷可能な電気回路を設けるステップと、
    予め歪みが加えられた拡張状態の弾性基板を設け、前記弾性基板が外面を有しているステップと、
    前記印刷可能な電気回路の前記内面の少なくとも一部を、予め歪みが加えられた拡張状態の前記弾性基板の前記外面に対して結合させるステップと、
    前記弾性基板を緩和状態まで少なくとも部分的に弛緩させることができ、弾性基板の弛緩が前記印刷可能な電気回路の内面を湾曲させることで、前記内面の少なくとも1つの湾曲が形成され、それにより、前記伸縮可能な電気回路を形成するステップと、
    を備える方法。
  115. 前記電気回路が複数の集積デバイス部品を備えている、請求項114に記載の方法。
  116. 前記集積デバイス部品が、
    半導体素子と、
    誘電体素子と、
    電極と、
    導体素子と、
    ドープ半導体素子と、
    から成るグループから選択される、請求項114に記載の方法。
  117. 予め歪みが加えられた前記弾性基板が第1の軸に沿って拡張される、請求項114に記載の方法。
  118. 予め歪みが加えられた前記弾性基板が前記第1の軸と直交する第2の軸に沿って拡張される、請求項117に記載の方法。
  119. 予め歪みが加えられた拡張状態の前記弾性基板が、前記弾性基板を曲げることにより形成される、請求項114に記載の方法。
  120. 予め歪みが加えられた拡張状態の前記弾性基板が、前記弾性基板を圧延することにより形成される、請求項114に記載の方法。
  121. 湾曲した内面を有する前記印刷可能な電気回路をフレキシブルな受け基板に対して転写するステップを更に備える、請求項114に記載の方法。
  122. 予め歪みが加えられた前記弾性基板の前記外面に対する前記印刷可能な電気回路の前記内面の少なくとも一部の結合が、前記印刷可能な電気回路と予め歪みが加えられた前記弾性基板の前記外面との間の共有結合、前記印刷可能な電気回路と予め歪みが加えられた前記弾性基板の前記外面との間のファンデルワールス相互作用、前記印刷可能な電気回路と予め歪みが加えられた前記弾性基板の前記外面との間の接着層によって行なわれる、請求項114に記載の方法。
  123. 予め歪みが加えられた前記弾性基板の前記外面が、前記印刷可能な伝記回路と予め歪みが加えられた前記弾性基板の前記外面との間の結合を行なう複数の水酸基を有している、請求項114に記載の方法。
  124. 第1の電極と、
    第2の電極と、
    それぞれが前記第1及び第2の電極と電気的に接触した状態で位置される複数の印刷可能半導体素子と、
    を備え、
    前記印刷可能半導体素子の少なくとも1つの物理的寸法が約10%未満変化する電気デバイスの配列。
  125. 前記印刷可能半導体素子の平均長が約10%未満変化する、請求項124に記載の電気デバイスの配列。
  126. 前記印刷可能半導体素子の平均幅が約10%未満変化する、請求項124に記載の電気デバイスの配列。
  127. 前記印刷可能半導体素子の平均厚さが約10%未満変化する、請求項124に記載の電気デバイスの配列。
  128. 20個を越える印刷可能半導体素子を備える、請求項124に記載の電気デバイスの配列。
  129. 50個を越える印刷可能半導体素子を備える、請求項124に記載の電気デバイスの配列。
JP2016172185A 2004-06-04 2016-09-02 印刷可能半導体素子を製造して組み立てるための方法及びデバイス Pending JP2017034261A (ja)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US57707704P 2004-06-04 2004-06-04
US60/577,077 2004-06-04
US60106104P 2004-08-11 2004-08-11
US60/601,061 2004-08-11
US65030505P 2005-02-04 2005-02-04
US60/650,305 2005-02-04
US66339105P 2005-03-18 2005-03-18
US60/663,391 2005-03-18
US67761705P 2005-05-04 2005-05-04
US60/677,617 2005-05-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015095093A Division JP6049800B2 (ja) 2004-06-04 2015-05-07 印刷可能半導体素子を製造して組み立てるための方法及びデバイス

Publications (1)

Publication Number Publication Date
JP2017034261A true JP2017034261A (ja) 2017-02-09

Family

ID=35503826

Family Applications (7)

Application Number Title Priority Date Filing Date
JP2007515549A Pending JP2008502151A (ja) 2004-06-04 2005-06-02 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2012139132A Active JP5703263B2 (ja) 2004-06-04 2012-06-20 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2012139129A Active JP5706369B2 (ja) 2004-06-04 2012-06-20 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2013000174A Active JP5763690B2 (ja) 2004-06-04 2013-01-04 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2014201741A Active JP6049667B2 (ja) 2004-06-04 2014-09-30 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2015095093A Active JP6049800B2 (ja) 2004-06-04 2015-05-07 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2016172185A Pending JP2017034261A (ja) 2004-06-04 2016-09-02 印刷可能半導体素子を製造して組み立てるための方法及びデバイス

Family Applications Before (6)

Application Number Title Priority Date Filing Date
JP2007515549A Pending JP2008502151A (ja) 2004-06-04 2005-06-02 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2012139132A Active JP5703263B2 (ja) 2004-06-04 2012-06-20 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2012139129A Active JP5706369B2 (ja) 2004-06-04 2012-06-20 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2013000174A Active JP5763690B2 (ja) 2004-06-04 2013-01-04 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2014201741A Active JP6049667B2 (ja) 2004-06-04 2014-09-30 印刷可能半導体素子を製造して組み立てるための方法及びデバイス
JP2015095093A Active JP6049800B2 (ja) 2004-06-04 2015-05-07 印刷可能半導体素子を製造して組み立てるための方法及びデバイス

Country Status (10)

Country Link
US (11) US7622367B1 (ja)
EP (4) EP2650905B1 (ja)
JP (7) JP2008502151A (ja)
KR (7) KR101429098B1 (ja)
CN (6) CN101120433B (ja)
HK (2) HK1176742A1 (ja)
IL (1) IL179784A0 (ja)
MY (5) MY161998A (ja)
TW (1) TWI284423B (ja)
WO (1) WO2005122285A2 (ja)

Families Citing this family (922)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070122997A1 (en) * 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6162705A (en) * 1997-05-12 2000-12-19 Silicon Genesis Corporation Controlled cleavage process and resulting device using beta annealing
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US7799699B2 (en) * 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
US7622367B1 (en) 2004-06-04 2009-11-24 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7943491B2 (en) * 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
EP1812969B1 (en) * 2004-11-10 2015-05-06 Canon Kabushiki Kaisha Field effect transistor comprising an amorphous oxide
DE102004059467A1 (de) * 2004-12-10 2006-07-20 Polyic Gmbh & Co. Kg Gatter aus organischen Feldeffekttransistoren
US7229901B2 (en) * 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
MX2007007939A (es) 2004-12-27 2007-11-07 Quantum Paper Inc Dispositivo de representacion visual emisivo direccionable e imprimible.
JP2006217281A (ja) * 2005-02-03 2006-08-17 Toshiba Corp 薄膜バルク音響装置の製造方法
KR100661696B1 (ko) * 2005-02-22 2006-12-26 삼성전자주식회사 이종 구조의 반도체 나노 와이어 및 그의 제조방법
US20080087906A1 (en) * 2005-02-25 2008-04-17 Dowa Electronics Materials Co., Ltd. Algaas-Based Light Emitting Diode Having Double Hetero Junction and Manufacturing Method of the Same
WO2006105478A2 (en) * 2005-03-31 2006-10-05 New York University Conducting polymer nanowire brain-machine interface systems and methods
MY152238A (en) * 2005-06-02 2014-09-15 Univ Illinois Printable semiconductor structures and related methods of making and assembling
US8718437B2 (en) * 2006-03-07 2014-05-06 Qd Vision, Inc. Compositions, optical component, system including an optical component, devices, and other products
WO2008048232A2 (en) 2005-08-22 2008-04-24 Q1 Nanosystems, Inc. Nanostructure and photovoltaic cell implementing same
US20070090459A1 (en) * 2005-10-26 2007-04-26 Motorola, Inc. Multiple gate printed transistor method and apparatus
US8043950B2 (en) * 2005-10-26 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
WO2007057795A1 (en) * 2005-11-16 2007-05-24 Nxp B.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
KR100870820B1 (ko) * 2005-12-29 2008-11-27 매그나칩 반도체 유한회사 이미지 센서 및 그의 제조방법
AU2007209759A1 (en) * 2006-01-24 2007-08-02 Mycrolab Diagnostics Pty Ltd Stamping methods and devices
CN101410250A (zh) * 2006-01-24 2009-04-15 迈克罗拉布私人有限公司 复合层状材料和器件的低成本制造方法
US7354809B2 (en) 2006-02-13 2008-04-08 Wisconsin Alumi Research Foundation Method for double-sided processing of thin film transistors
JP4564929B2 (ja) * 2006-02-21 2010-10-20 キヤノン株式会社 3次元フォトニック結晶の形成方法
US20070194450A1 (en) 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
US9406505B2 (en) * 2006-02-23 2016-08-02 Allos Semiconductors Gmbh Nitride semiconductor component and process for its production
JP2009528254A (ja) 2006-03-03 2009-08-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 空間的に配列したナノチューブ及びナノチューブアレイの作製方法
US9874674B2 (en) 2006-03-07 2018-01-23 Samsung Electronics Co., Ltd. Compositions, optical component, system including an optical component, devices, and other products
KR101150142B1 (ko) * 2006-04-06 2012-06-11 어플라이드 머티어리얼스, 인코포레이티드 대형 기판 상에 아연 산화물 투명 전도성 산화물의 반응성 스퍼터링
WO2007117672A2 (en) * 2006-04-07 2007-10-18 Qd Vision, Inc. Methods of depositing nanomaterial & methods of making a device
WO2007120877A2 (en) * 2006-04-14 2007-10-25 Qd Vision, Inc. Transfer surface for manufacturing a light emitting device
US7741647B2 (en) * 2006-05-22 2010-06-22 Hewlett-Packard Development Company Utilizing nanowire for different applications
US7777290B2 (en) 2006-06-13 2010-08-17 Wisconsin Alumni Research Foundation PIN diodes for photodetection and high-speed, high-resolution image sensing
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
WO2008111947A1 (en) * 2006-06-24 2008-09-18 Qd Vision, Inc. Methods and articles including nanomaterial
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
CN101501846B (zh) 2006-08-14 2012-02-08 皇家飞利浦电子股份有限公司 可变形的集成电路装置
CA2661638C (en) 2006-08-30 2014-07-15 Northwestern University Monodisperse single-walled carbon nanotube populations and related methods for providing same
KR101453419B1 (ko) * 2006-09-06 2014-10-23 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 2차원 인장 가능하고 구부릴 수 있는 장치
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US7960218B2 (en) 2006-09-08 2011-06-14 Wisconsin Alumni Research Foundation Method for fabricating high-speed thin-film transistors
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7834424B2 (en) * 2006-09-12 2010-11-16 The Board Of Trustees Of The Leland Stanford Junior University Extendable connector and network
US7932123B2 (en) * 2006-09-20 2011-04-26 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
WO2008060358A2 (en) * 2006-09-29 2008-05-22 Massachusetts Institute Of Technology System and method for providing the capability of peeling thin polymer films from a substrate
CN101578520B (zh) 2006-10-18 2015-09-16 哈佛学院院长等 基于形成图案的多孔介质的横向流动和穿过生物测定装置、及其制备方法和使用方法
JP5171016B2 (ja) * 2006-10-27 2013-03-27 キヤノン株式会社 半導体部材、半導体物品の製造方法、その製造方法を用いたledアレイ
US7858156B2 (en) 2006-11-27 2010-12-28 The University Of Massachusetts Surface buckling method and articles formed thereby
US7482270B2 (en) * 2006-12-05 2009-01-27 International Business Machines Corporation Fully and uniformly silicided gate structure and method for forming same
US8836212B2 (en) * 2007-01-11 2014-09-16 Qd Vision, Inc. Light emissive printed article printed with quantum dot ink
JP5700750B2 (ja) * 2007-01-17 2015-04-15 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 印刷ベースの組立により製作される光学システム
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080223440A1 (en) * 2007-01-18 2008-09-18 Shuran Sheng Multi-junction solar cells and methods and apparatuses for forming the same
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
GB0701909D0 (en) * 2007-01-31 2007-03-14 Imp Innovations Ltd Deposition Of Organic Layers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
US20080254613A1 (en) * 2007-04-10 2008-10-16 Applied Materials, Inc. Methods for forming metal interconnect structure for thin film transistor applications
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
WO2008150867A2 (en) 2007-05-29 2008-12-11 Innova Materials, Llc Surfaces having particles and related methods
US8889216B2 (en) 2007-05-31 2014-11-18 Nthdegree Technologies Worldwide Inc Method of manufacturing addressable and static electronic displays
US9343593B2 (en) 2007-05-31 2016-05-17 Nthdegree Technologies Worldwide Inc Printable composition of a liquid or gel suspension of diodes
US9425357B2 (en) 2007-05-31 2016-08-23 Nthdegree Technologies Worldwide Inc. Diode for a printable composition
US8133768B2 (en) 2007-05-31 2012-03-13 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, photovoltaic or other electronic apparatus and system
US8415879B2 (en) 2007-05-31 2013-04-09 Nthdegree Technologies Worldwide Inc Diode for a printable composition
US8674593B2 (en) 2007-05-31 2014-03-18 Nthdegree Technologies Worldwide Inc Diode for a printable composition
US8877101B2 (en) 2007-05-31 2014-11-04 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, power generating or other electronic apparatus
US9534772B2 (en) 2007-05-31 2017-01-03 Nthdegree Technologies Worldwide Inc Apparatus with light emitting diodes
US9018833B2 (en) 2007-05-31 2015-04-28 Nthdegree Technologies Worldwide Inc Apparatus with light emitting or absorbing diodes
US8846457B2 (en) 2007-05-31 2014-09-30 Nthdegree Technologies Worldwide Inc Printable composition of a liquid or gel suspension of diodes
US8852467B2 (en) * 2007-05-31 2014-10-07 Nthdegree Technologies Worldwide Inc Method of manufacturing a printable composition of a liquid or gel suspension of diodes
US8456392B2 (en) 2007-05-31 2013-06-04 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, photovoltaic or other electronic apparatus and system
US9419179B2 (en) 2007-05-31 2016-08-16 Nthdegree Technologies Worldwide Inc Diode for a printable composition
US8809126B2 (en) * 2007-05-31 2014-08-19 Nthdegree Technologies Worldwide Inc Printable composition of a liquid or gel suspension of diodes
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
JP5773646B2 (ja) * 2007-06-25 2015-09-02 キユーデイー・ビジヨン・インコーポレーテツド ナノ材料を被着させることを含む組成物および方法
US7875486B2 (en) * 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP2009059870A (ja) * 2007-08-31 2009-03-19 Sanyo Electric Co Ltd 発光モジュールおよびその製造方法
KR100878872B1 (ko) * 2007-09-03 2009-01-15 성균관대학교산학협력단 나노결정 전도성 탄소층을 게이트 전극으로 포함하여 이루어진 유기 박막 트랜지스터, 이의 제조방법 및 이를 포함하여 이루어진 유기 반도체 소자
GB2453766A (en) * 2007-10-18 2009-04-22 Novalia Ltd Method of fabricating an electronic device
US7741144B2 (en) * 2007-11-02 2010-06-22 Applied Materials, Inc. Plasma treatment between deposition processes
WO2009059240A1 (en) * 2007-11-02 2009-05-07 Applied Materials, Inc. Intrinsic amorphous silicon layer
CN101999162A (zh) * 2007-12-14 2011-03-30 纳米系统公司 形成衬底元件的方法
KR100974623B1 (ko) 2007-12-24 2010-08-09 고려대학교 산학협력단 정렬도가 향상된 오산화이바나듐 나노선 박막의 제조방법 및 그로부터 제조된 오산화이바나듐 나노선 박막
TW201001624A (en) 2008-01-24 2010-01-01 Soligie Inc Silicon thin film transistors, systems, and methods of making same
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8800138B2 (en) * 2008-02-08 2014-08-12 Carestream Health, Inc. Method for conditioning a substrate surface for forming an electronic device thereon and resultant device
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
ATE545064T1 (de) * 2008-02-25 2012-02-15 Sony Corp Verfahren zur aufbringung einer struktur aus metall, metalloxid und/oder halbleitermaterial auf einem träger
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
US8552299B2 (en) 2008-03-05 2013-10-08 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
WO2009117438A2 (en) * 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
KR101510785B1 (ko) * 2008-03-27 2015-04-10 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 저렴한 멀티 검사 진단 플랫폼으로서의 무명실
WO2009120963A2 (en) * 2008-03-27 2009-10-01 President And Fellows Of Harvard College Paper-based cellular arrays
CA2719320A1 (en) * 2008-03-27 2009-10-01 President And Fellows Of Harvard College Three-dimensional microfluidic devices
EP2265958A4 (en) * 2008-03-27 2016-10-19 Harvard College MICROFLUIDIC SYSTEMS BASED ON PAPER
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US9372397B2 (en) 2008-04-25 2016-06-21 Northwestern University Polymer pen lithography
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2009137053A1 (en) 2008-05-06 2009-11-12 Qd Vision, Inc. Optical components, systems including an optical component, and devices
JP2011524064A (ja) 2008-05-06 2011-08-25 キユーデイー・ビジヨン・インコーポレーテツド 量子閉じ込め半導体ナノ粒子を含有する固体照明装置
US9207385B2 (en) 2008-05-06 2015-12-08 Qd Vision, Inc. Lighting systems and devices including same
US7992332B2 (en) 2008-05-13 2011-08-09 Nthdegree Technologies Worldwide Inc. Apparatuses for providing power for illumination of a display object
US8127477B2 (en) 2008-05-13 2012-03-06 Nthdegree Technologies Worldwide Inc Illuminating display systems
US8906284B2 (en) * 2008-05-28 2014-12-09 The University Of Massachusetts Wrinkled adhesive surfaces and methods for the preparation thereof
WO2010005707A1 (en) * 2008-06-16 2010-01-14 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US20090315650A1 (en) * 2008-06-19 2009-12-24 Ahmadreza Rofougaran Method and system for an integrated circuit with ferromagnetic layers
US8179336B2 (en) 2008-06-30 2012-05-15 Global Oled Technology, Llc. Tiled electronic display
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US7927976B2 (en) 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
US20100084081A1 (en) * 2008-08-06 2010-04-08 Academia Sinica Method for Fabricating Organic Optoelectronic Multi-Layer Devices
KR101002683B1 (ko) * 2008-08-19 2010-12-20 한국기계연구원 이중시트링과 릴리프밸브를 내장하는 고압력 볼밸브
CA2734864A1 (en) 2008-08-21 2010-02-25 Innova Dynamics, Inc. Enhanced surfaces, coatings, and related methods
US8330126B2 (en) * 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US20100051932A1 (en) * 2008-08-28 2010-03-04 Seo-Yong Cho Nanostructure and uses thereof
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
KR101004849B1 (ko) 2008-09-02 2010-12-28 삼성전기주식회사 박막소자 제조방법
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8384007B2 (en) 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8274039B2 (en) * 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US20100059110A1 (en) * 2008-09-11 2010-03-11 Applied Materials, Inc. Microcrystalline silicon alloys for thin film and wafer based solar applications
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
US7879691B2 (en) 2008-09-24 2011-02-01 Eastman Kodak Company Low cost die placement
US8034663B2 (en) 2008-09-24 2011-10-11 Eastman Kodak Company Low cost die release wafer
US8361840B2 (en) 2008-09-24 2013-01-29 Eastman Kodak Company Thermal barrier layer for integrated circuit manufacture
US7772042B2 (en) 2008-09-24 2010-08-10 Eastman Kodak Company Solvent softening to allow die placement
GB2464102A (en) * 2008-10-01 2010-04-07 Optovate Ltd Illumination apparatus comprising multiple monolithic subarrays
US8372726B2 (en) * 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US9123614B2 (en) 2008-10-07 2015-09-01 Mc10, Inc. Methods and applications of non-planar imaging arrays
JP5646492B2 (ja) 2008-10-07 2014-12-24 エムシー10 インコーポレイテッドMc10,Inc. 伸縮可能な集積回路およびセンサアレイを有する装置
US9119533B2 (en) 2008-10-07 2015-09-01 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US9545285B2 (en) 2011-10-05 2017-01-17 Mc10, Inc. Cardiac catheter employing conformal electronics for mapping
US8247325B2 (en) 2008-10-10 2012-08-21 Uchicago Argonne, Llc Direct growth of metal nanoplates on semiconductor substrates
GB0819450D0 (en) * 2008-10-23 2008-12-03 Cambridge Display Tech Ltd Oled driver chiplet integration
GB0819449D0 (en) * 2008-10-23 2008-12-03 Cambridge Display Tech Ltd Display drivers
KR101736722B1 (ko) 2008-11-19 2017-05-17 셈프리어스 아이엔씨. 전단-보조 탄성 스탬프 전사에 의한 프린팅 반도체 소자
US20100133094A1 (en) * 2008-12-02 2010-06-03 Applied Materials, Inc. Transparent conductive film with high transmittance formed by a reactive sputter deposition
US9352959B1 (en) 2008-12-16 2016-05-31 Massachusetts Institute Of Technology Method and applications of thin-film membrane transfer
US9520314B2 (en) * 2008-12-19 2016-12-13 Applied Materials, Inc. High temperature electrostatic chuck bonding adhesive
US20100163406A1 (en) * 2008-12-30 2010-07-01 Applied Materials, Inc. Substrate support in a reactive sputter chamber
EP2386117A4 (en) * 2009-01-12 2017-12-27 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8082537B1 (en) * 2009-01-28 2011-12-20 Xilinx, Inc. Method and apparatus for implementing spatially programmable through die vias in an integrated circuit
US7989959B1 (en) 2009-01-29 2011-08-02 Xilinx, Inc. Method of forming stacked-die integrated circuit
KR20100087932A (ko) * 2009-01-29 2010-08-06 삼성전기주식회사 자기 조립 단분자막을 이용한 다이 어태치 방법 및 자기 조립 단분자막을 이용하여 다이가 어태치된 패키지 기판
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US20100221596A1 (en) * 2009-02-06 2010-09-02 Huggins Robert A Systems, methods of manufacture and use involving lithium and/or hydrogen for energy-storage applications
MX2011008352A (es) 2009-02-09 2011-11-28 Semprius Inc Modulos, receptores y sub-receptores fotovoltaicos tipo concentrador y metodos para formar los mismos.
US8987868B1 (en) 2009-02-24 2015-03-24 Xilinx, Inc. Method and apparatus for programmable heterogeneous integration of stacked semiconductor die
US8380776B2 (en) * 2009-03-02 2013-02-19 The Yokohama Rubber Co., Ltd. Computational method of material constant of composite material and volume fraction of material component in composite material, and recording medium
ES2612507T3 (es) 2009-03-06 2017-05-17 President And Fellows Of Harvard College Dispositivos microfluídicos y electroquímicos
US8986836B2 (en) * 2009-03-19 2015-03-24 Ohio University Microspheres and their methods of preparation
US8877648B2 (en) * 2009-03-26 2014-11-04 Semprius, Inc. Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8170846B2 (en) * 2009-04-14 2012-05-01 The Yokohama Rubber Co., Ltd. Computation method of mechanical material constant of composite material and volume fraction of material component in composite material, and recording medium
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
EP2427935A1 (en) 2009-05-04 2012-03-14 Advanced Bionics, LLC Multi-contact connector system
KR101706915B1 (ko) 2009-05-12 2017-02-15 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
US8329557B2 (en) * 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US8883287B2 (en) * 2009-06-29 2014-11-11 Infinite Corridor Technology, Llc Structured material substrates for flexible, stretchable electronics
US8261660B2 (en) * 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
US20110114177A1 (en) * 2009-07-23 2011-05-19 Applied Materials, Inc. Mixed silicon phase film for high efficiency thin film silicon solar cells
KR101077789B1 (ko) 2009-08-07 2011-10-28 한국과학기술원 Led 디스플레이 제조 방법 및 이에 의하여 제조된 led 디스플레이
KR101865888B1 (ko) 2009-09-09 2018-06-08 삼성전자주식회사 나노입자들을 포함하는 입자, 그의 용도, 및 방법
WO2011031876A1 (en) 2009-09-09 2011-03-17 Qd Vision, Inc. Formulations including nanoparticles
KR101113692B1 (ko) 2009-09-17 2012-02-27 한국과학기술원 태양전지 제조방법 및 이에 의하여 제조된 태양전지
WO2011037829A2 (en) * 2009-09-24 2011-03-31 Applied Materials, Inc. Methods of fabricating metal oxide or metal oxynitride tfts using wet process for source-drain metal etch
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
US20110218756A1 (en) * 2009-10-01 2011-09-08 Mc10, Inc. Methods and apparatus for conformal sensing of force and/or acceleration at a person's head
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US20120065937A1 (en) * 2009-10-01 2012-03-15 Mc10, Inc. Methods and apparatus for measuring technical parameters of equipment, tools and components via conformal electronics
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8615025B2 (en) * 2009-10-13 2013-12-24 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser
US9316785B2 (en) 2013-10-09 2016-04-19 Skorpios Technologies, Inc. Integration of an unprocessed, direct-bandgap chip into a silicon photonic device
US9882073B2 (en) 2013-10-09 2018-01-30 Skorpios Technologies, Inc. Structures for bonding a direct-bandgap chip to a silicon photonic device
US8368995B2 (en) 2009-10-13 2013-02-05 Skorpios Technologies, Inc. Method and system for hybrid integration of an opto-electronic integrated circuit
US8605766B2 (en) 2009-10-13 2013-12-10 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser and a mach zehnder modulator
US11181688B2 (en) 2009-10-13 2021-11-23 Skorpios Technologies, Inc. Integration of an unprocessed, direct-bandgap chip into a silicon photonic device
US8630326B2 (en) 2009-10-13 2014-01-14 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
US8559470B2 (en) 2009-10-13 2013-10-15 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser and a phase modulator
US8611388B2 (en) 2009-10-13 2013-12-17 Skorpios Technologies, Inc. Method and system for heterogeneous substrate bonding of waveguide receivers
US8867578B2 (en) 2009-10-13 2014-10-21 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser for a cable TV transmitter
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
KR101047486B1 (ko) * 2009-11-12 2011-07-08 삼성전기주식회사 Soi 기판 가공방법
KR101221871B1 (ko) * 2009-12-07 2013-01-15 한국전자통신연구원 반도체 소자의 제조방법
WO2011069242A1 (en) * 2009-12-09 2011-06-16 Cooledge Lighting Inc. Semiconductor dice transfer-enabling apparatus and method for manufacturing transfer-enabling apparatus
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
EP2513953B1 (en) * 2009-12-16 2017-10-18 The Board of Trustees of the University of Illionis Electrophysiology using conformal electronics
US10441185B2 (en) * 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US20110151588A1 (en) * 2009-12-17 2011-06-23 Cooledge Lighting, Inc. Method and magnetic transfer stamp for transferring semiconductor dice using magnetic transfer printing techniques
US9209059B2 (en) 2009-12-17 2015-12-08 Cooledge Lighting, Inc. Method and eletrostatic transfer stamp for transferring semiconductor dice using electrostatic transfer printing techniques
US8334152B2 (en) * 2009-12-18 2012-12-18 Cooledge Lighting, Inc. Method of manufacturing transferable elements incorporating radiation enabled lift off for allowing transfer from host substrate
KR101149677B1 (ko) * 2010-01-20 2012-07-11 주식회사 엘지실트론 플렉서블 소자 제조방법 및 이에 의하여 제조된 플렉서블 소자, 태양전지, led
CN102484534A (zh) * 2010-01-29 2012-05-30 惠普发展公司,有限责任合伙企业 光学传感器网络及其制造方法
AU2011212916B2 (en) 2010-02-03 2015-07-02 President And Fellows Of Harvard College Devices and methods for multiplexed assays
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9202954B2 (en) * 2010-03-03 2015-12-01 Q1 Nanosystems Corporation Nanostructure and photovoltaic cell implementing same
TWI556802B (zh) 2010-03-12 2016-11-11 美國伊利諾大學理事會 在生物可再吸收基板上之可植入生物醫學裝置
KR101837481B1 (ko) 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US20110232753A1 (en) * 2010-03-23 2011-09-29 Applied Materials, Inc. Methods of forming a thin-film solar energy device
US9161448B2 (en) 2010-03-29 2015-10-13 Semprius, Inc. Laser assisted transfer welding process
US8501536B2 (en) 2010-03-31 2013-08-06 Seagate Technology Llc Integrating and aligning laser chips on sliders for HAMR applications
KR20130079327A (ko) * 2010-04-02 2013-07-10 로디아 오퍼레이션스 선택적 나노입자 집합 시스템 및 그 방법
US9040318B2 (en) * 2010-04-09 2015-05-26 The Trustees Of Princeton University Lamination as a modular approach for building organic photosensitive devices
US8907350B2 (en) 2010-04-28 2014-12-09 Cree, Inc. Semiconductor devices having improved adhesion and methods of fabricating the same
US9015023B2 (en) 2010-05-05 2015-04-21 Xilinx, Inc. Device specific configuration of operating voltage
TWI426619B (zh) * 2010-06-25 2014-02-11 Univ Nat Taiwan 太陽能電池與其異質接合結構的製造方法
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
CN102309106A (zh) * 2010-07-08 2012-01-11 富士迈半导体精密工业(上海)有限公司 发光太阳能伞
TWI427829B (zh) 2010-07-26 2014-02-21 Epistar Corp 一種半導體光電元件及其製作方法
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
WO2012018997A2 (en) 2010-08-06 2012-02-09 Semprius, Inc. Materials and processes for releasing printable compound semiconductor devices
CN103155174B (zh) 2010-08-07 2017-06-23 宸鸿科技控股有限公司 具有表面嵌入的添加剂的装置组件和相关的制造方法
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US9142468B2 (en) 2010-08-26 2015-09-22 Semprius, Inc. Structures and methods for testing printable integrated circuits
TWI513032B (zh) * 2010-09-01 2015-12-11 Nthdegree Tech Worldwide Inc 製造發光動力生成或其他電子裝置之方法
WO2012031178A2 (en) * 2010-09-03 2012-03-08 The Procter & Gamble Company A light emitting apparatus
US20130176750A1 (en) * 2011-09-02 2013-07-11 The Procter & Gamble Company Light emitting apparatus
US9455242B2 (en) 2010-09-06 2016-09-27 Epistar Corporation Semiconductor optoelectronic device
KR101696644B1 (ko) * 2010-09-15 2017-01-16 삼성전자주식회사 3차원 수직 배선을 이용한 rf 적층 모듈 및 이의 배치 방법
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8673541B2 (en) 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
GB2485346A (en) * 2010-11-08 2012-05-16 Nanogan Ltd High quality devices growth on pixelated patent templates
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US9899329B2 (en) 2010-11-23 2018-02-20 X-Celeprint Limited Interconnection structures and methods for transfer-printed integrated circuit elements with improved interconnection alignment tolerance
US9922967B2 (en) 2010-12-08 2018-03-20 Skorpios Technologies, Inc. Multilevel template assisted wafer bonding
US8222084B2 (en) 2010-12-08 2012-07-17 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding
US8735191B2 (en) 2012-01-04 2014-05-27 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding using pedestals
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US8932898B2 (en) * 2011-01-14 2015-01-13 The Board Of Trustees Of The Leland Stanford Junior Univerity Deposition and post-processing techniques for transparent conductive films
US9469525B2 (en) 2011-01-31 2016-10-18 Seagate Technology Llc Modified surface for block copolymer self-assembly
US20120194564A1 (en) 2011-01-31 2012-08-02 White Christopher J Display with secure decompression of image signals
US9177500B2 (en) 2011-01-31 2015-11-03 Global Oled Technology Llc Display with secure decryption of image signals
US8619103B2 (en) 2011-01-31 2013-12-31 Global Oled Technology Llc Electroluminescent device multilevel-drive chromaticity-shift compensation
US8456390B2 (en) 2011-01-31 2013-06-04 Global Oled Technology Llc Electroluminescent device aging compensation with multilevel drive
US8803857B2 (en) * 2011-02-10 2014-08-12 Ronald S. Cok Chiplet display device with serial control
US8624882B2 (en) 2011-02-10 2014-01-07 Global Oled Technology Llc Digital display with integrated computing circuit
US8599118B2 (en) 2011-02-16 2013-12-03 Global Oled Technology Llc Chiplet display with electrode connectors
US8587501B2 (en) 2011-02-17 2013-11-19 Global Oled Technology Llc Electroluminescent display device with optically communicating chiplets
FR2972294B1 (fr) * 2011-03-02 2013-04-26 Commissariat Energie Atomique Procede de gravure chimique selective
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
TWI509239B (zh) * 2011-03-07 2015-11-21 Univ Singapore 應用自旋波之非破壞性材料、結構、成分、或元件度量或檢測系統及方法
US9702839B2 (en) 2011-03-11 2017-07-11 Mc10, Inc. Integrated devices to facilitate quantitative assays and diagnostics
US9496454B2 (en) 2011-03-22 2016-11-15 Micron Technology, Inc. Solid state optoelectronic device with plated support substrate
JP6109489B2 (ja) * 2011-05-13 2017-04-05 株式会社半導体エネルギー研究所 El表示装置
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
US20140081154A1 (en) * 2011-05-17 2014-03-20 Landy Toth Devices, systems, and methods for assessing implants, organs, transplants, tissues, synthetic constructs, vascular grafts, and the like
EP2712491B1 (en) 2011-05-27 2019-12-04 Mc10, Inc. Flexible electronic structure
US8520114B2 (en) 2011-06-01 2013-08-27 Global Oled Technology Llc Apparatus for displaying and sensing images
EP2713863B1 (en) 2011-06-03 2020-01-15 The Board of Trustees of the University of Illionis Conformable actively multiplexed high-density surface electrode array for brain interfacing
US8934259B2 (en) 2011-06-08 2015-01-13 Semprius, Inc. Substrates with transferable chiplets
WO2012173654A2 (en) * 2011-06-15 2012-12-20 Power Gold LLC Flexible circuit assembly and method thereof
US8879276B2 (en) 2011-06-15 2014-11-04 Power Gold LLC Flexible circuit assembly and method thereof
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
TWI433625B (zh) 2011-07-04 2014-04-01 Ind Tech Res Inst 軟性電子元件的製法
WO2013010113A1 (en) 2011-07-14 2013-01-17 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
WO2013022853A1 (en) 2011-08-05 2013-02-14 Mc10, Inc. Catheter balloon methods and apparatus employing sensing elements
US9757050B2 (en) 2011-08-05 2017-09-12 Mc10, Inc. Catheter balloon employing force sensing elements
JP5813875B2 (ja) 2011-08-24 2015-11-17 イノバ ダイナミックス, インコーポレイテッド パターン化された透明導体および関連する製造方法
US9977188B2 (en) 2011-08-30 2018-05-22 Skorpios Technologies, Inc. Integrated photonics mode expander
US9579040B2 (en) 2011-09-01 2017-02-28 Mc10, Inc. Electronics for detection of a condition of tissue
US20130175515A1 (en) * 2011-09-02 2013-07-11 The Procter & Gamble Company Light emitting apparatus
JP5214783B2 (ja) * 2011-09-07 2013-06-19 株式会社東芝 磁気記録媒体の製造方法
US9412727B2 (en) 2011-09-20 2016-08-09 Semprius, Inc. Printing transferable components using microstructured elastomeric surfaces with pressure modulated reversible adhesion
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US20140242747A1 (en) * 2011-10-04 2014-08-28 Applied Nanotech Holdings, Inc. Thin Film Deposition of Materials by External Induced Release from a Ribbon Tape
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
CN102435628B (zh) * 2011-11-02 2013-04-03 电子科技大学 一种多孔电极材料组织形貌表征方法
US8573469B2 (en) 2011-11-18 2013-11-05 LuxVue Technology Corporation Method of forming a micro LED structure and array of micro LED structures with an electrically insulating layer
US8426227B1 (en) 2011-11-18 2013-04-23 LuxVue Technology Corporation Method of forming a micro light emitting diode array
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8646505B2 (en) 2011-11-18 2014-02-11 LuxVue Technology Corporation Micro device transfer head
CN104472023B (zh) 2011-12-01 2018-03-27 伊利诺伊大学评议会 经设计以经历可编程转变的瞬态器件
US8927415B2 (en) 2011-12-09 2015-01-06 Intermolecular, Inc. Graphene barrier layers for interconnects and methods for forming the same
US8736008B2 (en) 2012-01-04 2014-05-27 General Electric Company Photodiode array and methods of fabrication
US8999105B2 (en) * 2012-01-06 2015-04-07 President And Fellows Of Harvard College Small-scale fabrication systems and methods
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN105336748B (zh) 2012-01-18 2019-05-03 斯考皮欧技术有限公司 Cmos电子器件与光子器件的垂直集成
US8492245B1 (en) 2012-02-07 2013-07-23 Wisconsin Alumni Research Foundation Methods for making thin layers of crystalline materials
US8895347B2 (en) 2012-02-16 2014-11-25 Industrial Technology Research Institute Method for fabricating semiconductor layer having textured surface and method for fabricating solar cell
CN103258716B (zh) 2012-02-16 2016-03-09 财团法人工业技术研究院 制作具有织化表面的半导体层的方法、制作太阳能电池的方法
WO2013120908A1 (en) 2012-02-17 2013-08-22 Sony Dadc Austria Ag Microstructured polymer devices
US9054188B2 (en) * 2012-02-24 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Curved wafer processing on method and apparatus
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
KR20150004819A (ko) 2012-03-30 2015-01-13 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 표면에 상응하는 부속체 장착가능한 전자 장치
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US9752259B2 (en) 2012-04-09 2017-09-05 The Hong Kong Research Intitute Of Textiles And Apparel Limited Stretchable electrical interconnect and method of making same
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9105492B2 (en) 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
US9034754B2 (en) 2012-05-25 2015-05-19 LuxVue Technology Corporation Method of forming a micro device transfer head with silicon electrode
US9226402B2 (en) 2012-06-11 2015-12-29 Mc10, Inc. Strain isolation structures for stretchable electronics
US9247637B2 (en) 2012-06-11 2016-01-26 Mc10, Inc. Strain relief structures for stretchable interconnects
JP2015521894A (ja) 2012-07-05 2015-08-03 エムシー10 インコーポレイテッドMc10,Inc. 流量センシングを含むカテーテルデバイス
US9295842B2 (en) 2012-07-05 2016-03-29 Mc10, Inc. Catheter or guidewire device including flow sensing and use thereof
US8569115B1 (en) 2012-07-06 2013-10-29 LuxVue Technology Corporation Method of forming a compliant bipolar micro device transfer head with silicon electrodes
WO2014022558A1 (en) * 2012-07-31 2014-02-06 Wayne State University Method of making flexible, foldable, and stretchable devices
US9543457B2 (en) 2012-09-28 2017-01-10 First Solar, Inc. Method and system for manufacturing back contacts of photovoltaic devices
US9087905B2 (en) * 2012-10-03 2015-07-21 International Business Machines Corporation Transistor formation using cold welding
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
JP2016500869A (ja) 2012-10-09 2016-01-14 エムシー10 インコーポレイテッドMc10,Inc. 衣類と一体化されたコンフォーマル電子回路
KR101394689B1 (ko) 2012-10-09 2014-05-15 재단법인대구경북과학기술원 신축성 기판, 그 신축성 기판의 제조 장치 및 제조 방법
US9558721B2 (en) 2012-10-15 2017-01-31 Apple Inc. Content-based adaptive refresh schemes for low-power displays
DE102012218845A1 (de) * 2012-10-16 2014-04-17 Robert Bosch Gmbh Herstellungsverfahren für ein mikromechanisches Bauteil und mikromechanisches Bauteil
DE102012110358B4 (de) * 2012-10-30 2016-04-07 Leibniz-Institut für Neurobiologie Magdeburg Mikroelektrodenarray
KR101980198B1 (ko) 2012-11-12 2019-05-21 삼성전자주식회사 신축성 트랜지스터용 채널층
KR102043703B1 (ko) * 2012-11-12 2019-11-12 한국전자통신연구원 신축성 박막트랜지스터의 제조방법
KR101955335B1 (ko) 2012-11-14 2019-03-07 삼성전자주식회사 스탬프 구조체 및 이를 이용한 전사 방법
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
KR101984734B1 (ko) * 2012-11-16 2019-06-03 삼성디스플레이 주식회사 신축성 베이스 플레이트와 그것을 사용한 신축성 유기 발광 표시 장치 및 그 제조방법
US8963135B2 (en) * 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
KR101968637B1 (ko) * 2012-12-07 2019-04-12 삼성전자주식회사 유연성 반도체소자 및 그 제조방법
US10303842B2 (en) * 2012-12-10 2019-05-28 Hercules Llc Device for sensorial evaluation of consumer product application feel
US9255001B2 (en) 2012-12-10 2016-02-09 LuxVue Technology Corporation Micro device transfer head array with metal electrodes
US9236815B2 (en) 2012-12-10 2016-01-12 LuxVue Technology Corporation Compliant micro device transfer head array with metal electrodes
US9166114B2 (en) 2012-12-11 2015-10-20 LuxVue Technology Corporation Stabilization structure including sacrificial release layer and staging cavity
US9153171B2 (en) 2012-12-17 2015-10-06 LuxVue Technology Corporation Smart pixel lighting and display microcontroller
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
KR102370239B1 (ko) 2012-12-28 2022-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9082911B2 (en) 2013-01-28 2015-07-14 Q1 Nanosystems Corporation Three-dimensional metamaterial device with photovoltaic bristles
US9082936B2 (en) * 2013-01-29 2015-07-14 Nthdegree Technologies Worldwide Inc. Transparent LED lamp for bidirectional lighting
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
WO2014124044A1 (en) * 2013-02-06 2014-08-14 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US10617300B2 (en) 2013-02-13 2020-04-14 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
KR102051519B1 (ko) 2013-02-25 2019-12-03 삼성전자주식회사 파이버 상에 형성된 박막 트랜지스터 및 그 제조 방법
US9922746B2 (en) 2013-03-01 2018-03-20 The Regents Of The University Of Michigan Stretchable composite conductors for flexible electronics, stretchable plasmonic devices, optical filters, and implantable devices and methods for manufacture thereof
US9875974B2 (en) 2013-03-08 2018-01-23 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US20140264998A1 (en) 2013-03-14 2014-09-18 Q1 Nanosystems Corporation Methods for manufacturing three-dimensional metamaterial devices with photovoltaic bristles
US9954126B2 (en) 2013-03-14 2018-04-24 Q1 Nanosystems Corporation Three-dimensional photovoltaic devices including cavity-containing cores and methods of manufacture
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US8901010B2 (en) * 2013-03-15 2014-12-02 Sunpower Corporation Methods for improving solar cell lifetime and efficiency
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9580302B2 (en) 2013-03-15 2017-02-28 Versana Micro Inc. Cell phone having a monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
WO2014165686A2 (en) 2013-04-04 2014-10-09 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
JP6561368B2 (ja) 2013-04-12 2019-08-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 能動的及び受動的過渡性のための材料、電子システム、及びモード
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US9000490B2 (en) 2013-04-19 2015-04-07 Xilinx, Inc. Semiconductor package having IC dice and voltage tuners
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9429769B2 (en) 2013-05-09 2016-08-30 Johnson & Johnson Vision Care, Inc. Ophthalmic device with thin film nanocrystal integrated circuits
US9484504B2 (en) 2013-05-14 2016-11-01 Apple Inc. Micro LED with wavelength conversion layer
US9706647B2 (en) 2013-05-14 2017-07-11 Mc10, Inc. Conformal electronics including nested serpentine interconnects
US9449808B2 (en) * 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
DE102013210668A1 (de) * 2013-06-07 2014-12-11 Würth Elektronik GmbH & Co. KG Verfahren zur Herstellung eines optischen Moduls
US20160329173A1 (en) 2013-06-12 2016-11-10 Rohinni, LLC Keyboard backlighting with deposited light-generating sources
WO2014209294A1 (en) * 2013-06-26 2014-12-31 Empire Technology Development Llc Micro-contact lithography systems forming optical modulators
US9748341B2 (en) * 2013-07-02 2017-08-29 General Electric Company Metal-oxide-semiconductor (MOS) devices with increased channel periphery
US9035279B2 (en) 2013-07-08 2015-05-19 LuxVue Technology Corporation Micro device with stabilization post
US9087764B2 (en) 2013-07-26 2015-07-21 LuxVue Technology Corporation Adhesive wafer bonding with controlled thickness variation
US9372123B2 (en) 2013-08-05 2016-06-21 Mc10, Inc. Flexible temperature sensor including conformable electronics
US9006584B2 (en) 2013-08-06 2015-04-14 Texas Instruments Incorporated High voltage polymer dielectric capacitor isolation device
US8987707B2 (en) * 2013-08-20 2015-03-24 Wisconsin Alumni Research Foundation Stretchable transistors with buckled carbon nanotube films as conducting channels
US8981363B1 (en) * 2013-09-03 2015-03-17 Universal Display Corporation Flexible substrate for OLED device
EP2845726A1 (en) * 2013-09-04 2015-03-11 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Electrically interconnecting foil
US9153548B2 (en) 2013-09-16 2015-10-06 Lux Vue Technology Corporation Adhesive wafer bonding with sacrificial spacers for controlled thickness variation
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US10820862B2 (en) 2013-10-02 2020-11-03 The Board Of Trustees Of The University Of Illinois Organ mounted electronics
JP2016532468A (ja) 2013-10-07 2016-10-20 エムシー10 インコーポレイテッドMc10,Inc. 検知および分析のためのコンフォーマルセンサシステム
KR102229373B1 (ko) * 2013-10-08 2021-03-17 한양대학교 산학협력단 유연소자의 제조방법, 그에 의하여 제조된 유연소자 및 접합소자
FR3012255B1 (fr) * 2013-10-17 2017-03-10 Commissariat Energie Atomique Procede de formation de rides par fusion d'une fondation sur laquelle repose une couche contrainte
WO2015073734A1 (en) * 2013-11-13 2015-05-21 Massachusetts Institute Of Technology Thin-film parylene membrane transfer
US9171810B2 (en) * 2013-11-21 2015-10-27 Nxp B.V. Electronic device incorporating a randomized interconnection layer having a randomized conduction pattern
US9949691B2 (en) 2013-11-22 2018-04-24 Mc10, Inc. Conformal sensor systems for sensing and analysis of cardiac activity
US20150174613A1 (en) * 2013-12-19 2015-06-25 Sk Innovation Co., Ltd. Method for fabricating flexible nano structure
KR102192973B1 (ko) * 2013-12-19 2020-12-18 에스케이이노베이션 주식회사 나노 구조체를 갖는 센서 및 그 제조 방법
KR20150072292A (ko) * 2013-12-19 2015-06-29 에스케이이노베이션 주식회사 플렉시블 기반 나노 구조체를 갖는 센서 및 그 제조 방법
US20150179738A1 (en) * 2013-12-19 2015-06-25 Sk Innovation Co., Ltd. Flexible nano structure
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
US9171719B2 (en) * 2013-12-30 2015-10-27 Shenzhen China Star Optoelectronics Technology Co., Ltd Method of defining poly-silicon growth direction
KR102180089B1 (ko) 2013-12-30 2020-11-18 삼성디스플레이 주식회사 플렉서블 기판의 제조 방법 및 이를 이용하는 표시장치의 제조 방법
CN105874606B (zh) 2014-01-06 2021-01-12 Mc10股份有限公司 包封适形电子系统和器件及其制作和使用方法
US9651718B2 (en) 2014-01-27 2017-05-16 Forelux Inc. Photonic apparatus with periodic structures
US10677965B2 (en) 2014-01-27 2020-06-09 Forelux Inc. Optical apparatus for non-visible light applications
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
EP3114911B1 (en) 2014-03-04 2023-05-03 Medidata Solutions, Inc. Multi-part flexible encapsulation housing for electronic devices
US9960175B2 (en) * 2014-03-06 2018-05-01 The Regents Of The University Of Michigan Field effect transistor memory device
US9664855B2 (en) 2014-03-07 2017-05-30 Skorpios Technologies, Inc. Wide shoulder, high order mode filter for thick-silicon waveguides
CN106062544B (zh) 2014-03-12 2020-07-07 Mc10股份有限公司 对测定变化的量化
KR20160143719A (ko) * 2014-04-04 2016-12-14 더 리젠츠 오브 더 유니버시티 오브 미시간 비추적식 소형 복합 파라볼라 집광기와 통합된 에피텍셜 리프트 오프 처리된 GaAs 박막 태양 전지
US9489974B2 (en) 2014-04-11 2016-11-08 Seagate Technology Llc Method of fabricating a BPM template using hierarchical BCP density patterns
US10003173B2 (en) 2014-04-23 2018-06-19 Skorpios Technologies, Inc. Widely tunable laser control
TWI576715B (zh) * 2014-05-02 2017-04-01 希諾皮斯股份有限公司 非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統
EP3149522A4 (en) 2014-05-27 2018-02-21 Skorpios Technologies, Inc. Waveguide mode expander using amorphous silicon
US9502625B2 (en) * 2014-06-06 2016-11-22 Rohinni, LLC Electrophotographic deposition of unpackaged semiconductor device
EP3158593A1 (en) 2014-06-18 2017-04-26 X-Celeprint Limited Systems and methods for preparing gan and related materials for micro assembly
CN110010750B (zh) 2014-06-18 2021-11-09 艾克斯展示公司技术有限公司 微组装led显示器
WO2015193433A2 (en) 2014-06-18 2015-12-23 X-Celeprint Limited Micro assembled high frequency devices and arrays
EP3157858B1 (en) 2014-06-18 2018-12-26 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
US9865600B2 (en) 2014-06-18 2018-01-09 X-Celeprint Limited Printed capacitors
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
CN105431292B (zh) * 2014-07-11 2018-06-08 英特尔公司 可弯曲并且可拉伸的电子器件和方法
US11472171B2 (en) 2014-07-20 2022-10-18 X Display Company Technology Limited Apparatus and methods for micro-transfer-printing
US20170207193A1 (en) * 2014-07-20 2017-07-20 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
US10252463B2 (en) 2014-07-22 2019-04-09 Nabil A. Amro Compact instrument with exchangeable modules for multiple microfabrication and/or nanofabrication methods
US9917240B2 (en) 2014-07-24 2018-03-13 Samsung Electronics Co., Ltd. Thermoelectric element, method of manufacturing the same and semiconductor device including the same
CN111703212B (zh) 2014-08-07 2022-11-18 奥宝科技有限公司 Lift印刷系统
WO2016025430A1 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
WO2016025468A2 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Devices and related methods for epidermal characterization of biofluids
EP3179902B1 (en) 2014-08-11 2020-10-14 The Board of Trustees of the University of Illionis Epidermal device for analysis of temperature and thermal transport characteristics
US10615222B2 (en) 2014-08-21 2020-04-07 The University Of Hong Kong Flexible GAN light-emitting diodes
US9716082B2 (en) 2014-08-26 2017-07-25 X-Celeprint Limited Micro assembled hybrid displays and lighting elements
CN111128707B (zh) * 2014-08-26 2023-06-16 株式会社尼康 元件制造方法及转印基板
US9209142B1 (en) 2014-09-05 2015-12-08 Skorpios Technologies, Inc. Semiconductor bonding with compliant resin and utilizing hydrogen implantation for transfer-wafer removal
US9773669B2 (en) * 2014-09-11 2017-09-26 Ramot At Tel-Aviv University Ltd. Method of fabricating a nanoribbon and applications thereof
KR101679833B1 (ko) * 2014-09-11 2016-11-28 고려대학교 산학협력단 열전발전모듈 및 그 제조방법
US9468050B1 (en) 2014-09-25 2016-10-11 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US20160093600A1 (en) 2014-09-25 2016-03-31 X-Celeprint Limited Compound micro-assembly strategies and devices
US9799261B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9818725B2 (en) 2015-06-01 2017-11-14 X-Celeprint Limited Inorganic-light-emitter display with integrated black matrix
US9537069B1 (en) 2014-09-25 2017-01-03 X-Celeprint Limited Inorganic light-emitting diode with encapsulating reflector
US9799719B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Active-matrix touchscreen
US9991163B2 (en) 2014-09-25 2018-06-05 X-Celeprint Limited Small-aperture-ratio display with electrical component
KR102416112B1 (ko) * 2014-10-02 2022-07-04 삼성전자주식회사 스트레처블/폴더블 광전자소자와 그 제조방법 및 광전자소자를 포함하는 장치
US9899330B2 (en) 2014-10-03 2018-02-20 Mc10, Inc. Flexible electronic circuits with embedded integrated circuit die
US10297572B2 (en) 2014-10-06 2019-05-21 Mc10, Inc. Discrete flexible interconnects for modules of integrated circuits
USD781270S1 (en) 2014-10-15 2017-03-14 Mc10, Inc. Electronic device having antenna
US10193004B2 (en) 2014-10-19 2019-01-29 Orbotech Ltd. LIFT printing of conductive traces onto a semiconductor substrate
US9942979B2 (en) * 2014-11-03 2018-04-10 Samsung Electronics Co., Ltd. Flexible printed circuit board
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
US9607638B1 (en) 2014-11-19 2017-03-28 Seagate Technology Llc Recording head with an on-wafer integrated laser
US9576595B1 (en) 2014-11-19 2017-02-21 Seagate Technology Llc Transfer printing an epitaxial layer to a read/write head to form an integral laser
US10069029B1 (en) 2014-11-19 2018-09-04 Seagate Technology Llc Transfer-printed photonics
US10984821B1 (en) 2014-11-19 2021-04-20 Seagate Technology Llc Transfer-printed near-field transducer and heat sink
JP6369788B2 (ja) 2014-11-27 2018-08-08 パナソニックIpマネジメント株式会社 エレクトロニクス用構造体
CN107111767B (zh) 2014-12-23 2020-11-20 3M创新有限公司 柔性射频识别标签
US10890669B2 (en) * 2015-01-14 2021-01-12 General Electric Company Flexible X-ray detector and methods for fabricating the same
KR102340855B1 (ko) * 2015-01-15 2021-12-17 삼성디스플레이 주식회사 신축성 표시 장치
US10633758B2 (en) 2015-01-19 2020-04-28 Orbotech Ltd. Printing of three-dimensional metal structures with a sacrificial support
US9823465B2 (en) * 2015-02-06 2017-11-21 The Board Of Trustees Of The University Of Illinois Hybrid organic-inorganic micromirror device and method of making a hybrid microdevice
EP3258837A4 (en) 2015-02-20 2018-10-10 Mc10, Inc. Automated detection and configuration of wearable devices based on on-body status, location, and/or orientation
US10398343B2 (en) 2015-03-02 2019-09-03 Mc10, Inc. Perspiration sensor
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
TWI565082B (zh) * 2015-04-14 2017-01-01 鴻海精密工業股份有限公司 薄膜電晶體及其製造方法
KR101605655B1 (ko) * 2015-04-15 2016-03-22 한국과학기술원 수평형 접합을 갖는 웨이퍼 구조물의 제조방법
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US9829631B2 (en) 2015-04-20 2017-11-28 Skorpios Technologies, Inc. Vertical output couplers for photonic devices
US9467190B1 (en) 2015-04-23 2016-10-11 Connor Sport Court International, Llc Mobile electronic device covering
US9421087B1 (en) 2015-04-27 2016-08-23 International Business Machines Corporation Artificial electronic skin
TW201712881A (zh) * 2015-05-14 2017-04-01 立那工業股份有限公司 具有超過80%填充因數的高效si微線太陽能電池的金屬微網格電極
US9640715B2 (en) 2015-05-15 2017-05-02 X-Celeprint Limited Printable inorganic semiconductor structures
CA2931245C (en) 2015-05-26 2023-07-25 National Research Council Of Canada Metallic surface with karstified relief, forming same, and high surface area metallic electrochemical interface
US10677647B2 (en) 2015-06-01 2020-06-09 The Board Of Trustees Of The University Of Illinois Miniaturized electronic systems with wireless power and near-field communication capabilities
EP3304130B1 (en) 2015-06-01 2021-10-06 The Board of Trustees of the University of Illinois Alternative approach to uv sensing
US10102794B2 (en) 2015-06-09 2018-10-16 X-Celeprint Limited Distributed charge-pump power-supply system
US9871345B2 (en) 2015-06-09 2018-01-16 X-Celeprint Limited Crystalline color-conversion device
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
US11061276B2 (en) 2015-06-18 2021-07-13 X Display Company Technology Limited Laser array display
WO2017004576A1 (en) 2015-07-02 2017-01-05 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
EP3322835A4 (en) 2015-07-09 2019-02-27 Orbotech Ltd. LASER-INDUCED FRONT TRANSFER EJECTION ANGLE CONTROL (LIFT)
WO2017015000A1 (en) 2015-07-17 2017-01-26 Mc10, Inc. Conductive stiffener, method of making a conductive stiffener, and conductive adhesive and encapsulation layers
US9704821B2 (en) 2015-08-11 2017-07-11 X-Celeprint Limited Stamp with structured posts
US10380834B2 (en) 2015-07-22 2019-08-13 Mark A. Litman Replaceable flexible electronic table top with display function for gaming tables
US10255834B2 (en) 2015-07-23 2019-04-09 X-Celeprint Limited Parallel redundant chiplet system for controlling display pixels
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
KR102288354B1 (ko) * 2015-08-10 2021-08-11 삼성디스플레이 주식회사 플렉서블 디스플레이 장치의 제조 방법
US9640108B2 (en) 2015-08-25 2017-05-02 X-Celeprint Limited Bit-plane pulse width modulated digital display system
WO2017031129A1 (en) 2015-08-19 2017-02-23 Mc10, Inc. Wearable heat flux devices and methods of use
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10380930B2 (en) 2015-08-24 2019-08-13 X-Celeprint Limited Heterogeneous light emitter display system
CN105047677B (zh) * 2015-09-09 2017-12-12 京东方科技集团股份有限公司 显示基板及其制作方法和显示装置
WO2017053329A1 (en) 2015-09-21 2017-03-30 Monolithic 3D Inc 3d semiconductor device and structure
US10230048B2 (en) 2015-09-29 2019-03-12 X-Celeprint Limited OLEDs for micro transfer printing
WO2017059215A1 (en) 2015-10-01 2017-04-06 Mc10, Inc. Method and system for interacting with a virtual environment
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
WO2017105581A2 (en) 2015-10-02 2017-06-22 Semprius, Inc. Wafer-integrated, ultra-low profile concentrated photovoltaics (cpv) for space applications
WO2017062508A1 (en) 2015-10-05 2017-04-13 Mc10, Inc. Method and System for Neuromodulation and Stimulation
WO2017068114A1 (en) 2015-10-22 2017-04-27 X-Celeprint Limited Structures and methods for controlling release of transferable semiconductor structures
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
CN108349120B (zh) 2015-11-22 2020-06-23 奥博泰克有限公司 打印的三维结构的表面性质控制
EP3380006B1 (en) * 2015-11-29 2022-04-27 Ramot at Tel-Aviv University Ltd. Sensing electrode and method of fabricating the same
CN105449011B (zh) * 2015-12-08 2017-12-22 厦门理工学院 一种太阳能电池微透镜阵列薄膜及其制作方法
US10066819B2 (en) 2015-12-09 2018-09-04 X-Celeprint Limited Micro-light-emitting diode backlight system
CN105405752B (zh) * 2015-12-15 2018-09-04 苏州大学 一种柔性纳米线栅型透明导电电极的制作方法
US9780318B2 (en) * 2015-12-15 2017-10-03 3M Innovative Properties Company Protective display film
US10091446B2 (en) 2015-12-23 2018-10-02 X-Celeprint Limited Active-matrix displays with common pixel control
US9786646B2 (en) 2015-12-23 2017-10-10 X-Celeprint Limited Matrix addressed device repair
US9930277B2 (en) 2015-12-23 2018-03-27 X-Celeprint Limited Serial row-select matrix-addressed system
US9928771B2 (en) 2015-12-24 2018-03-27 X-Celeprint Limited Distributed pulse width modulation control
WO2017124109A1 (en) 2016-01-15 2017-07-20 Rohinni, LLC Apparatus and method of backlighting through a cover on the apparatus
CN105548318A (zh) * 2016-01-25 2016-05-04 深圳大学 一种可穿戴电化学传感器电极及可穿戴电化学传感器
CN105508889A (zh) * 2016-02-01 2016-04-20 京东方科技集团股份有限公司 发光组件及其制作方法
US11230471B2 (en) 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
US10361677B2 (en) 2016-02-18 2019-07-23 X-Celeprint Limited Transverse bulk acoustic wave filter
CN105577109B (zh) * 2016-02-18 2017-09-05 南通欧贝黎新能源电力股份有限公司 集成结型场效应管逆变器的太阳能电池板的制造方法
US10200013B2 (en) 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10109753B2 (en) 2016-02-19 2018-10-23 X-Celeprint Limited Compound micro-transfer-printed optical filter device
WO2017147053A1 (en) 2016-02-22 2017-08-31 Mc10, Inc. System, device, and method for coupled hub and sensor node on-body acquisition of sensor information
US10277386B2 (en) 2016-02-22 2019-04-30 Mc10, Inc. System, devices, and method for on-body data and power transmission
US10217730B2 (en) 2016-02-25 2019-02-26 X-Celeprint Limited Efficiently micro-transfer printing micro-scale devices onto large-format substrates
US10150325B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid banknote with electronic indicia
US10193025B2 (en) 2016-02-29 2019-01-29 X-Celeprint Limited Inorganic LED pixel structure
US10150326B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid document with variable state
US10153256B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-transfer printable electronic component
US10153257B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-printed display
US10917953B2 (en) 2016-03-21 2021-02-09 X Display Company Technology Limited Electrically parallel fused LEDs
US10223962B2 (en) 2016-03-21 2019-03-05 X-Celeprint Limited Display with fused LEDs
US11154201B2 (en) 2016-04-01 2021-10-26 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10103069B2 (en) 2016-04-01 2018-10-16 X-Celeprint Limited Pressure-activated electrical interconnection by micro-transfer printing
US10008483B2 (en) 2016-04-05 2018-06-26 X-Celeprint Limited Micro-transfer printed LED and color filter structure
US10199546B2 (en) 2016-04-05 2019-02-05 X-Celeprint Limited Color-filter device
WO2017184705A1 (en) 2016-04-19 2017-10-26 Mc10, Inc. Method and system for measuring perspiration
US9997102B2 (en) 2016-04-19 2018-06-12 X-Celeprint Limited Wirelessly powered display and system
US10198890B2 (en) 2016-04-19 2019-02-05 X-Celeprint Limited Hybrid banknote with electronic indicia using near-field-communications
KR102417917B1 (ko) * 2016-04-26 2022-07-07 삼성전자주식회사 공정 시스템 및 그 동작 방법
US10876210B1 (en) 2016-05-05 2020-12-29 Iowa State University Research Foundation, Inc. Tunable nano-structured inkjet printed graphene via UV pulsed-laser irradiation for electrochemical sensing
US10883814B2 (en) * 2016-05-09 2021-01-05 South Dakota Board Of Regents Highly stretchable strain sensor for human motion monitoring
US10360846B2 (en) 2016-05-10 2019-07-23 X-Celeprint Limited Distributed pulse-width modulation system with multi-bit digital storage and output device
US10037985B2 (en) 2016-05-17 2018-07-31 X-Celeprint Limited Compound micro-transfer-printed power transistor device
US10622700B2 (en) 2016-05-18 2020-04-14 X-Celeprint Limited Antenna with micro-transfer-printed circuit element
DE102016109459B4 (de) 2016-05-23 2019-06-13 X-Fab Semiconductor Foundries Ag Optimierter Transfer Print (Überführungsdruck) zwischen Trägersubstraten als Verfahren, Trägersubstrat und mikro-technisches Bauelement
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
DE102016109950B3 (de) 2016-05-30 2017-09-28 X-Fab Semiconductor Foundries Ag Integrierte Schaltung mit einem - durch einen Überführungsdruck aufgebrachten - Bauelement und Verfahren zur Herstellung der integrierten Schaltung
US9997501B2 (en) 2016-06-01 2018-06-12 X-Celeprint Limited Micro-transfer-printed light-emitting diode device
US10453826B2 (en) 2016-06-03 2019-10-22 X-Celeprint Limited Voltage-balanced serial iLED pixel and display
US11137641B2 (en) 2016-06-10 2021-10-05 X Display Company Technology Limited LED structure with polarized light emission
US10653342B2 (en) 2016-06-17 2020-05-19 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US9966301B2 (en) * 2016-06-27 2018-05-08 New Fab, LLC Reduced substrate effects in monolithically integrated RF circuits
US10032827B2 (en) * 2016-06-29 2018-07-24 Applied Materials, Inc. Systems and methods for transfer of micro-devices
EP3267491A1 (en) * 2016-07-06 2018-01-10 Karlsruher Institut für Technologie Process for producing highly conductive, printable pastes from capillary suspensions
DE102016117030B4 (de) 2016-07-17 2018-07-05 X-Fab Semiconductor Foundries Ag Herstellung von Halbleiterstrukturen auf einem Trägersubstrat, die durch Überführungsdruck (Transfer Print) übertragbar sind.
US10475876B2 (en) 2016-07-26 2019-11-12 X-Celeprint Limited Devices with a single metal layer
US10222698B2 (en) 2016-07-28 2019-03-05 X-Celeprint Limited Chiplets with wicking posts
US10593644B2 (en) 2016-07-29 2020-03-17 Industrial Technology Research Institute Apparatus for assembling devices
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
US10447347B2 (en) 2016-08-12 2019-10-15 Mc10, Inc. Wireless charger and high speed data off-loader
WO2018035261A1 (en) * 2016-08-17 2018-02-22 Arizona Board Of Regents On Behalf Of Arizona State University Nanostructured substrates for improved lift-off of iii-v thin films
US9670061B1 (en) 2016-09-12 2017-06-06 International Business Machines Corporation Flexible electronics for wearable healthcare sensors
US9822002B1 (en) 2016-09-12 2017-11-21 International Business Machines Corporation Flexible electronics for wearable healthcare sensors
US9980341B2 (en) 2016-09-22 2018-05-22 X-Celeprint Limited Multi-LED components
US10157880B2 (en) 2016-10-03 2018-12-18 X-Celeprint Limited Micro-transfer printing with volatile adhesive layer
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10782002B2 (en) 2016-10-28 2020-09-22 X Display Company Technology Limited LED optical components
US10141215B2 (en) 2016-11-03 2018-11-27 Rohinni, LLC Compliant needle for direct transfer of semiconductor devices
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US10347168B2 (en) 2016-11-10 2019-07-09 X-Celeprint Limited Spatially dithered high-resolution
US10395966B2 (en) 2016-11-15 2019-08-27 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
TWI739949B (zh) 2016-11-15 2021-09-21 愛爾蘭商艾克斯展示公司技術有限公司 微轉印可印刷覆晶結構及方法
US10600671B2 (en) 2016-11-15 2020-03-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
CN109341515A (zh) * 2016-11-22 2019-02-15 中国科学院力学研究所 一种柔性曲率传感器及其制备方法
US10471545B2 (en) 2016-11-23 2019-11-12 Rohinni, LLC Top-side laser for direct transfer of semiconductor devices
US10504767B2 (en) 2016-11-23 2019-12-10 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
US10783917B1 (en) 2016-11-29 2020-09-22 Seagate Technology Llc Recording head with transfer-printed laser diode unit formed of non-self-supporting layers
CN108231534A (zh) * 2016-12-15 2018-06-29 上海新微技术研发中心有限公司 柔性薄膜的制造方法
US10438859B2 (en) 2016-12-19 2019-10-08 X-Celeprint Limited Transfer printed device repair
US10297502B2 (en) 2016-12-19 2019-05-21 X-Celeprint Limited Isolation structure for micro-transfer-printable devices
US10832609B2 (en) 2017-01-10 2020-11-10 X Display Company Technology Limited Digital-drive pulse-width-modulated output system
EP3346238B1 (en) 2017-01-10 2022-03-02 Melexis Technologies SA Sensor with multiple sensing elements
US10566507B2 (en) 2017-01-12 2020-02-18 Rohinini, LLC Apparatus for high speed printing of semiconductor devices
US10544042B2 (en) * 2017-01-17 2020-01-28 International Business Machines Corporation Nanoparticle structure and process for manufacture
US10062588B2 (en) 2017-01-18 2018-08-28 Rohinni, LLC Flexible support substrate for transfer of semiconductor devices
US10332868B2 (en) 2017-01-26 2019-06-25 X-Celeprint Limited Stacked pixel structures
US10468391B2 (en) 2017-02-08 2019-11-05 X-Celeprint Limited Inorganic light-emitting-diode displays with multi-ILED pixels
CN206512268U (zh) * 2017-03-10 2017-09-22 合肥鑫晟光电科技有限公司 一种蒸镀源盖板、蒸镀源及蒸镀装置
US10396137B2 (en) 2017-03-10 2019-08-27 X-Celeprint Limited Testing transfer-print micro-devices on wafer
US10576268B2 (en) 2017-03-22 2020-03-03 International Business Machines Corporation High resolution brain-electronics interface
US11024608B2 (en) 2017-03-28 2021-06-01 X Display Company Technology Limited Structures and methods for electrical connection of micro-devices and substrates
US10073294B1 (en) * 2017-03-31 2018-09-11 Innolux Corporation Display device
US10020426B1 (en) * 2017-04-10 2018-07-10 Advanced Optoelectronic Technology, Inc Light emitting device
DE102017108136B4 (de) 2017-04-13 2019-03-14 X-Fab Semiconductor Foundries Ag Geometrisch geformte Bauelemente in einer Anordnung für einen Überführungsdruck (Transfer Print) und zugehörige Verfahren
US10957807B2 (en) * 2017-04-19 2021-03-23 The Board Of Trustees Of The University Of Alabama PLZT thin film capacitors apparatus with enhanced photocurrent and power conversion efficiency and method thereof
US10468397B2 (en) 2017-05-05 2019-11-05 X-Celeprint Limited Matrix addressed tiles and arrays
WO2018208964A1 (en) * 2017-05-09 2018-11-15 Forelux Inc. Optical apparatus for non-visible light applications
WO2018212871A2 (en) 2017-05-16 2018-11-22 Hanqing Jiang Three-dimensional soft electrode for lithium metal batteries
TW201901887A (zh) 2017-05-24 2019-01-01 以色列商奧寶科技股份有限公司 於未事先圖樣化基板上電器互連電路元件
US10777700B2 (en) 2017-06-02 2020-09-15 Wisconsin Alumni Research Foundation Optoelectronic devices based on thin single-crystalline semiconductor films and non-epitaxial optical cavities
US11084097B2 (en) 2017-06-23 2021-08-10 Applied Materials, Inc. Additive manufacturing with cell processing recipes
US10804880B2 (en) 2018-12-03 2020-10-13 X-Celeprint Limited Device structures with acoustic wave transducers and connection posts
US10943946B2 (en) 2017-07-21 2021-03-09 X Display Company Technology Limited iLED displays with substrate holes
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US10832935B2 (en) 2017-08-14 2020-11-10 X Display Company Technology Limited Multi-level micro-device tethers
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
CN107910446B (zh) * 2017-08-25 2020-03-27 西安理工大学 一种利用磁场调控有机薄膜分子有序化生长的方法
EP3457154B1 (en) 2017-09-13 2020-04-08 Melexis Technologies SA Stray field rejection in magnetic sensors
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP2019062006A (ja) * 2017-09-25 2019-04-18 株式会社東芝 搬送装置および搬送方法
US10290785B2 (en) * 2017-10-03 2019-05-14 Center For Integrated Smart Sensors Foundation Laminating structure of electronic device using transferring element, transferring apparatus for fabricating the electronic device and method for fabricating the electronic device
US20210190893A1 (en) 2017-10-06 2021-06-24 Melexis Technologies Nv Magnetic sensor sensitivity matching calibration
EP3467528B1 (en) 2017-10-06 2020-05-20 Melexis Technologies NV Magnetic sensor sensitivity matching calibration
EP3470862B1 (en) 2017-10-10 2022-03-02 Melexis Bulgaria Ltd. Sensor defect diagnostic circuit
US10319705B2 (en) * 2017-10-20 2019-06-11 Facebook Technologies, Llc Elastomeric layer fabrication for light emitting diodes
US10649148B2 (en) 2017-10-25 2020-05-12 Skorpios Technologies, Inc. Multistage spot size converter in silicon photonics
EP3477322B1 (en) 2017-10-27 2021-06-16 Melexis Technologies SA Magnetic sensor with integrated solenoid
US10836200B2 (en) 2017-11-13 2020-11-17 X Display Company Technology Limited Rigid micro-modules with ILED and light conductor
CN107768386B (zh) 2017-11-16 2020-09-01 深圳市华星光电半导体显示技术有限公司 Tft阵列基板及其制作方法以及液晶显示面板
US10510937B2 (en) 2017-11-22 2019-12-17 X-Celeprint Limited Interconnection by lateral transfer printing
US10325791B1 (en) * 2017-12-13 2019-06-18 Facebook Technologies, Llc Formation of elastomeric layer on selective regions of light emitting device
US10539528B2 (en) 2017-12-19 2020-01-21 International Business Machines Corporation Stacked nanofluidics structure
US10297585B1 (en) 2017-12-21 2019-05-21 X-Celeprint Limited Multi-resolution compound micro-devices
KR101960265B1 (ko) * 2017-12-29 2019-03-20 (재)한국나노기술원 발광형 태양 집광 장치용 다중접합 태양전지의 제조방법 및 그 다중접합 태양전지를 이용한 발광형 태양 집광 장치
CN108054191B (zh) 2018-01-11 2020-02-07 京东方科技集团股份有限公司 一种显示面板及显示装置
US11423928B1 (en) 2018-01-19 2022-08-23 Seagate Technology Llc Processing for forming single-grain near-field transducer
US10593827B2 (en) 2018-01-24 2020-03-17 X-Celeprint Limited Device source wafers with patterned dissociation interfaces
KR102100550B1 (ko) * 2018-01-29 2020-04-13 충북대학교 산학협력단 구리 전극 제작 방법 및 구리 전극 제작 시스템
WO2019151550A1 (ko) * 2018-02-01 2019-08-08 엘지전자 주식회사 반도체 발광소자를 이용한 디스플레이 장치 및 이의 제조방법
US10692996B1 (en) 2018-02-05 2020-06-23 United States Of America As Represented By The Secretary Of The Air Force Systems, methods and apparatus for radio frequency devices
US11069376B1 (en) 2018-02-21 2021-07-20 Seagate Technology Llc Waveguide with optical isolator for heat-assisted magnetic recording
US11189605B2 (en) 2018-02-28 2021-11-30 X Display Company Technology Limited Displays with transparent bezels
CN108493338B (zh) * 2018-02-28 2020-04-21 中山大学 一种可延展的屈曲结构有机薄膜功能器件及其制备方法
US10690920B2 (en) 2018-02-28 2020-06-23 X Display Company Technology Limited Displays with transparent bezels
WO2019185109A1 (en) * 2018-03-26 2019-10-03 Applied Materials, Inc. Method for producing a flexible device, flexible electronic device and flexible arrangement of a plurality of electronic devices
FR3079345B1 (fr) * 2018-03-26 2020-02-21 Soitec Procede de fabrication d'un substrat pour dispositif radiofrequence
US11362229B2 (en) * 2018-04-04 2022-06-14 California Institute Of Technology Epitaxy-free nanowire cell process for the manufacture of photovoltaics
US11127612B2 (en) * 2018-04-25 2021-09-21 Micron Technology, Inc. Testing semiconductor devices based on warpage and associated methods
US10910355B2 (en) 2018-04-30 2021-02-02 X Display Company Technology Limited Bezel-free displays
US10505079B2 (en) 2018-05-09 2019-12-10 X-Celeprint Limited Flexible devices and methods using laser lift-off
US10410905B1 (en) 2018-05-12 2019-09-10 Rohinni, LLC Method and apparatus for direct transfer of multiple semiconductor devices
US10374034B1 (en) 2018-05-21 2019-08-06 International Business Machines Corporation Undercut control in isotropic wet etch processes
CN108511547A (zh) * 2018-06-12 2018-09-07 汉能移动能源控股集团有限公司 一种太阳能组件及其制备方法、太阳能装置
EP3581951A1 (en) 2018-06-12 2019-12-18 Melexis Bulgaria Ltd. Sensor saturation fault detection
US11295972B2 (en) 2018-06-12 2022-04-05 Korea Advanced Institute Of Science And Technology Layout structure between substrate, micro-LED array and micro-vacuum module for micro-LED array transfer using micro-vacuum module, and method for manufacturing micro-LED display using the same
CN108831893B (zh) 2018-06-14 2021-05-18 京东方科技集团股份有限公司 阵列基板、阵列基板的制造方法和显示面板
US10832934B2 (en) 2018-06-14 2020-11-10 X Display Company Technology Limited Multi-layer tethers for micro-transfer printing
US10748957B1 (en) * 2018-06-21 2020-08-18 Hrl Laboratories, Llc Method of manufacturing a curved semiconductor die
CN108807570B (zh) * 2018-06-28 2020-04-14 华南师范大学 嵌入柔性衬底的ZnO微米线阵列紫外探测器的制备方法
US10714001B2 (en) 2018-07-11 2020-07-14 X Display Company Technology Limited Micro-light-emitting-diode displays
CN109136858B (zh) * 2018-07-31 2020-09-25 电子科技大学 一种基于二维材料的氧化物薄膜剥离方法
RU2767470C1 (ru) * 2018-08-07 2022-03-17 Е Инк Корпорэйшн Гибкие инкапсулированные электрооптические среды
US10796971B2 (en) 2018-08-13 2020-10-06 X Display Company Technology Limited Pressure-activated electrical interconnection with additive repair
WO2020041522A1 (en) 2018-08-21 2020-02-27 California Institute Of Technology Windows implementing effectively transparent conductors and related methods of manufacturing
US11152536B2 (en) 2018-09-17 2021-10-19 The Board Of Trustees Of The University Of Illinois Photoresist contact patterning of quantum dot films
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
DE102018217087B4 (de) * 2018-10-05 2020-07-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Elektrisches Kabel oder elektrische Litze sowie drahtförmiges elektrisches Verbindungselement mit halbleitendem Funktionsabschnitt
KR102536844B1 (ko) * 2018-10-15 2023-05-30 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
US10573544B1 (en) 2018-10-17 2020-02-25 X-Celeprint Limited Micro-transfer printing with selective component removal
US10796938B2 (en) 2018-10-17 2020-10-06 X Display Company Technology Limited Micro-transfer printing with selective component removal
KR102116728B1 (ko) * 2018-10-25 2020-05-29 엘지전자 주식회사 반도체 발광소자의 자가조립 장치 및 방법
EP3647741B1 (en) 2018-10-29 2022-08-03 Melexis Bulgaria Ltd. Sensor diagnostic device and method
US11923472B2 (en) 2018-11-05 2024-03-05 The United States Of America As Represented By The Secretary Of The Army Deformable array of semiconductor devices
US11062946B2 (en) 2018-11-08 2021-07-13 International Business Machines Corporation Self-aligned contact on a semiconductor device
CN109256442B (zh) * 2018-11-15 2020-05-22 安徽省华腾农业科技有限公司 薄膜电池的制备方法及薄膜电池
US11482979B2 (en) 2018-12-03 2022-10-25 X Display Company Technology Limited Printing components over substrate post edges
US11274035B2 (en) 2019-04-24 2022-03-15 X-Celeprint Limited Overhanging device structures and related methods of manufacture
US20210002128A1 (en) 2018-12-03 2021-01-07 X-Celeprint Limited Enclosed cavity structures
US11528808B2 (en) 2018-12-03 2022-12-13 X Display Company Technology Limited Printing components to substrate posts
US10790173B2 (en) 2018-12-03 2020-09-29 X Display Company Technology Limited Printed components on substrate posts
US11282786B2 (en) 2018-12-12 2022-03-22 X Display Company Technology Limited Laser-formed interconnects for redundant devices
WO2020132559A1 (en) * 2018-12-21 2020-06-25 The Johns Hopkins University Melanin based bio-composites for 3d printing
US11483937B2 (en) 2018-12-28 2022-10-25 X Display Company Technology Limited Methods of making printed structures
EP3682941B1 (en) * 2019-01-18 2021-11-10 Ecole Polytechnique Federale De Lausanne (EPFL) EPFL-TTO Biomedical device comprising a mechanically adaptive member
US11322460B2 (en) 2019-01-22 2022-05-03 X-Celeprint Limited Secure integrated-circuit systems
US11251139B2 (en) 2019-01-22 2022-02-15 X-Celeprint Limited Secure integrated-circuit systems
WO2020159856A1 (en) * 2019-01-28 2020-08-06 Amerasia International Technology, Inc. Semiconductor wafer processing system and method
US11360263B2 (en) 2019-01-31 2022-06-14 Skorpios Technologies. Inc. Self-aligned spot size converter
US11088121B2 (en) 2019-02-13 2021-08-10 X Display Company Technology Limited Printed LED arrays with large-scale uniformity
US10748793B1 (en) 2019-02-13 2020-08-18 X Display Company Technology Limited Printing component arrays with different orientations
US11398399B2 (en) 2019-03-08 2022-07-26 X Display Company Technology Limited Components with backside adhesive layers
US11094870B2 (en) 2019-03-12 2021-08-17 X Display Company Technology Limited Surface-mountable pixel packages and pixel engines
US11164934B2 (en) 2019-03-12 2021-11-02 X Display Company Technology Limited Tiled displays with black-matrix support screens
US11805597B2 (en) * 2019-03-12 2023-10-31 Carnegie Mellon University Liquid metal circuits and methods of making the same
GB201907270D0 (en) 2019-03-14 2019-07-10 Imp College Innovations Ltd Component for a stretchable electronic device
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10714374B1 (en) 2019-05-09 2020-07-14 X Display Company Technology Limited High-precision printed structures
CN113841305A (zh) 2019-05-10 2021-12-24 3M创新有限公司 可移除电连接器和设备
US11295982B2 (en) 2019-06-11 2022-04-05 International Business Machines Corporation Forming ultra-thin chips for flexible electronics applications
US10944027B2 (en) 2019-06-14 2021-03-09 X Display Company Technology Limited Pixel modules with controllers and light emitters
US11488943B2 (en) 2019-06-14 2022-11-01 X Display Company Technology Limited Modules with integrated circuits and devices
DE102019118270B4 (de) 2019-07-05 2021-10-07 X-Fab Semiconductor Foundries Gmbh Verfahren zur Herstellung von Halbleiterbauelementen zur Ausbeutesteigerung beim Mikrotransferdruck
US11652082B2 (en) 2019-08-05 2023-05-16 X Display Company Technology Limited Particle capture using transfer stamp
US11101417B2 (en) 2019-08-06 2021-08-24 X Display Company Technology Limited Structures and methods for electrically connecting printed components
US20220285309A1 (en) 2019-08-26 2022-09-08 X-Celeprint Limited Variable stiffness modules
US11626856B2 (en) 2019-10-30 2023-04-11 X-Celeprint Limited Non-linear tethers for suspended devices
US11637540B2 (en) 2019-10-30 2023-04-25 X-Celeprint Limited Non-linear tethers for suspended devices
US11127889B2 (en) 2019-10-30 2021-09-21 X Display Company Technology Limited Displays with unpatterned layers of light-absorbing material
US11869880B2 (en) 2019-11-05 2024-01-09 Samsung Electronics Co., Ltd. Method of transferring micro-light emitting diode for LED display
DE102020107288A1 (de) * 2019-12-10 2021-06-10 X-Fab Semiconductor Foundries Gmbh Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
US11062936B1 (en) 2019-12-19 2021-07-13 X Display Company Technology Limited Transfer stamps with multiple separate pedestals
US11315909B2 (en) 2019-12-20 2022-04-26 X Display Company Technology Limited Displays with embedded light emitters
KR102257552B1 (ko) * 2019-12-24 2021-05-27 한국세라믹기술원 다중 팁 기반 패턴 인쇄 장치의 제조방법
US11037912B1 (en) 2020-01-31 2021-06-15 X Display Company Technology Limited LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel
US11387178B2 (en) 2020-03-06 2022-07-12 X-Celeprint Limited Printable 3D electronic components and structures
US11464451B1 (en) 2020-03-11 2022-10-11 Huxley Medical, Inc. Patch for improved biometric data capture and related processes
US10963607B1 (en) * 2020-03-16 2021-03-30 Ansys, Inc. Determining mechanical reliability of electronic packages assembled with thermal pads
US11850874B2 (en) * 2020-03-30 2023-12-26 X Display Company Technology Limited Micro-transfer printing stamps and components
WO2021219833A2 (en) 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia and piezoelectric power components usable in such documents
WO2021224284A1 (en) 2020-05-05 2021-11-11 X-Celeprint Limited Enclosed cavity structures
US11088007B1 (en) 2020-05-07 2021-08-10 X-Celeprint Limited Component tethers with spacers
US11495561B2 (en) 2020-05-11 2022-11-08 X Display Company Technology Limited Multilayer electrical conductors for transfer printing
US11538849B2 (en) 2020-05-28 2022-12-27 X Display Company Technology Limited Multi-LED structures with reduced circuitry
US11088093B1 (en) 2020-05-28 2021-08-10 X-Celeprint Limited Micro-component anti-stiction structures
US11777065B2 (en) 2020-05-29 2023-10-03 X Display Company Technology Limited White-light-emitting LED structures
US11353381B1 (en) * 2020-06-09 2022-06-07 Applied Materials, Inc. Portable disc to measure chemical gas contaminants within semiconductor equipment and clean room
CN113873757B (zh) * 2020-06-30 2023-04-04 联策科技股份有限公司 可挠性基板水平湿制程方法
US11316086B2 (en) 2020-07-10 2022-04-26 X Display Company Technology Limited Printed structures with electrical contact having reflowable polymer core
US11282439B2 (en) 2020-07-16 2022-03-22 X Display Company Technology Limited Analog pulse-width-modulation control circuits
US11742450B2 (en) 2020-08-31 2023-08-29 X Display Company Technology Limited Hybrid electro-optically controlled matrix-addressed systems
US11952266B2 (en) 2020-10-08 2024-04-09 X-Celeprint Limited Micro-device structures with etch holes
US11495172B2 (en) 2020-10-19 2022-11-08 X Display Company Technology Limited Pixel group and column token display architectures
US11488518B2 (en) 2020-10-19 2022-11-01 X Display Company Technology Limited Pixel group and column token display architectures
AU2021371145A1 (en) * 2020-10-27 2023-06-15 The Regents Of The University Of Michigan Water splitting device protection
US11152395B1 (en) 2020-11-12 2021-10-19 X-Celeprint Limited Monolithic multi-FETs
US11588075B2 (en) 2020-11-24 2023-02-21 X Display Company Technology Limited Displays with interpolated pixels
US11490519B2 (en) 2021-01-11 2022-11-01 X-Celeprint Limited Printed stacked micro-devices
US11328744B1 (en) 2021-01-29 2022-05-10 Seagate Technology Llc On-wafer integrated laser for heat-assisted magnetic recording
KR20220122880A (ko) * 2021-02-26 2022-09-05 삼성전자주식회사 연신 센서 및 그 제조 방법과 웨어러블 기기
US11894399B2 (en) 2021-03-02 2024-02-06 Wisconsin Alumni Research Foundation Compact hyperspectral spectrometers based on semiconductor nanomembranes
US11430375B1 (en) 2021-03-19 2022-08-30 X Display Company Technology Limited Pulse-density-modulation pixel control circuits and devices including them
US11660005B1 (en) 2021-06-04 2023-05-30 Huxley Medical, Inc. Processing and analyzing biometric data
US11386826B1 (en) 2021-06-22 2022-07-12 X Display Company Technology Limited Flat-panel pixel arrays with signal regeneration
US11568796B1 (en) 2021-07-29 2023-01-31 X Display Company Technology Limited Displays with current-controlled pixel clusters
WO2023016625A1 (en) 2021-08-09 2023-02-16 X-Celeprint Limited Integrated-circuit module collection and deposition
US11862607B2 (en) 2021-08-16 2024-01-02 Micron Technology, Inc. Composite dielectric structures for semiconductor die assemblies and associated systems and methods
CN113905561B (zh) * 2021-10-11 2023-02-24 Oppo广东移动通信有限公司 具有纹理图案的壳体及其加工方法、电子设备
WO2023115191A1 (en) * 2021-12-20 2023-06-29 University Of Ottawa Polymer capacitive sensors and methods of uses thereof
US11592933B1 (en) 2022-01-07 2023-02-28 X Display Company Technology Limited Displays with integrated touch screens
KR102635505B1 (ko) * 2022-02-16 2024-02-07 연세대학교 산학협력단 3차원 구조 기반의 신축성 디스플레이 및 그 제조 방법
US11906133B2 (en) 2022-03-31 2024-02-20 Alliance Sports Group, L.P. Outdoor lighting apparatus
US11568803B1 (en) 2022-04-27 2023-01-31 X Display Company Technology Limited Multi-row buffering for active-matrix cluster displays
WO2023217637A1 (en) 2022-05-09 2023-11-16 X-Celeprint Limited High-precision printed structures and methods of making
CN115320271B (zh) * 2022-08-04 2023-06-16 中国科学院上海微系统与信息技术研究所 一种半导体薄膜的转移印刷方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03220787A (ja) * 1990-01-26 1991-09-27 Yazaki Corp フレキシブル回路体とその製造方法
JPH06504139A (ja) * 1990-12-31 1994-05-12 コピン・コーポレーシヨン 表示パネル用の単結晶シリコン配列素子
JPH1126733A (ja) * 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
JP2003258211A (ja) * 2001-12-28 2003-09-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2003289136A (ja) * 2002-03-28 2003-10-10 Toshiba Corp アクティブマトリクス基板及びその製造方法、表示装置
JP2003323132A (ja) * 2002-04-30 2003-11-14 Sony Corp 薄膜デバイスの製造方法および半導体装置
WO2004043849A2 (fr) * 2002-11-08 2004-05-27 Commissariat A L'energie Atomique Procede de realisation d'un composant comportant un micro-joint et composant realise par ce procede

Family Cites Families (465)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3743148A (en) * 1971-03-08 1973-07-03 H Carlson Wafer breaker
US4058418A (en) 1974-04-01 1977-11-15 Solarex Corporation Fabrication of thin film solar cells utilizing epitaxial deposition onto a liquid surface to obtain lateral growth
US3949410A (en) 1975-01-23 1976-04-06 International Business Machines Corporation Jet nozzle structure for electrohydrodynamic droplet formation and ink jet printing system therewith
US4487162A (en) 1980-11-25 1984-12-11 Cann Gordon L Magnetoplasmadynamic apparatus for the separation and deposition of materials
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
US4392451A (en) 1980-12-31 1983-07-12 The Boeing Company Apparatus for forming thin-film heterojunction solar cells employing materials selected from the class of I-III-VI2 chalcopyrite compounds
US4761335A (en) * 1985-03-07 1988-08-02 National Starch And Chemical Corporation Alpha-particle protection of semiconductor devices
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4663828A (en) 1985-10-11 1987-05-12 Energy Conversion Devices, Inc. Process and apparatus for continuous production of lightweight arrays of photovoltaic cells
US4766670A (en) * 1987-02-02 1988-08-30 International Business Machines Corporation Full panel electronic packaging structure and method of making same
CA1292572C (en) 1988-10-25 1991-11-26 Fernando C. Lebron Cardiac mapping system simulator
US5178957A (en) 1989-05-02 1993-01-12 Minnesota Mining And Manufacturing Company Noble metal-polymer composites and flexible thin-film conductors prepared therefrom
US5086785A (en) 1989-08-10 1992-02-11 Abrams/Gentille Entertainment Inc. Angular displacement sensors
US5118400A (en) 1990-01-29 1992-06-02 Spire Corporation Method of making biocompatible electrodes
US5108819A (en) 1990-02-14 1992-04-28 Eli Lilly And Company Thin film electrical component
DE59109246D1 (de) 1990-05-03 2003-04-03 Hoffmann La Roche Mikrooptischer Sensor
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5300788A (en) 1991-01-18 1994-04-05 Kopin Corporation Light emitting diode bars and arrays and method of making same
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5204144A (en) 1991-05-10 1993-04-20 Celestech, Inc. Method for plasma deposition on apertured substrates
US5246003A (en) 1991-08-28 1993-09-21 Nellcor Incorporated Disposable pulse oximeter sensor
JPH06118441A (ja) * 1991-11-05 1994-04-28 Tadanobu Kato 表示セル
US5313094A (en) 1992-01-28 1994-05-17 International Business Machines Corportion Thermal dissipation of integrated circuits using diamond paths
US5465009A (en) * 1992-04-08 1995-11-07 Georgia Tech Research Corporation Processes and apparatus for lift-off and bonding of materials and devices
US5687737A (en) 1992-10-09 1997-11-18 Washington University Computerized three-dimensional cardiac mapping with interactive visual displays
JPH06163365A (ja) 1992-11-25 1994-06-10 Nec Corp 半導体装置の製造方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
TW253999B (ja) * 1993-06-30 1995-08-11 Hitachi Cable
US6687345B1 (en) * 1993-08-25 2004-02-03 Symbol Technologies, Inc. Wireless telephone for acquiring data encoded in bar code indicia
US5793107A (en) 1993-10-29 1998-08-11 Vlsi Technology, Inc. Polysilicon pillar heat sinks for semiconductor on insulator circuits
US5822436A (en) * 1996-04-25 1998-10-13 Digimarc Corporation Photographic products and methods employing embedded information
US5427096A (en) 1993-11-19 1995-06-27 Cmc Assemblers, Inc. Water-degradable electrode
US5824186A (en) 1993-12-17 1998-10-20 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US5904545A (en) * 1993-12-17 1999-05-18 The Regents Of The University Of California Apparatus for fabricating self-assembling microstructures
US6864570B2 (en) 1993-12-17 2005-03-08 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US5545291A (en) * 1993-12-17 1996-08-13 The Regents Of The University Of California Method for fabricating self-assembling microstructures
US5514242A (en) 1993-12-30 1996-05-07 Saint Gobain/Norton Industrial Ceramics Corporation Method of forming a heat-sinked electronic component
EP0676814B1 (en) 1994-04-06 2006-03-22 Denso Corporation Process of producing trench semiconductor device
US5434751A (en) 1994-04-11 1995-07-18 Martin Marietta Corporation Reworkable high density interconnect structure incorporating a release layer
US5753529A (en) 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5525815A (en) 1994-10-03 1996-06-11 General Electric Company Diamond film structure with high thermal conductivity
US5767578A (en) 1994-10-12 1998-06-16 Siliconix Incorporated Surface mount and flip chip technology with diamond film passivation for total integated circuit isolation
US5625471A (en) 1994-11-02 1997-04-29 Litel Instruments Dual plate holographic imaging technique and masks
US5686697A (en) 1995-01-06 1997-11-11 Metatech Corporation Electrical circuit suspension system
US5917534A (en) 1995-06-29 1999-06-29 Eastman Kodak Company Light-emitting diode arrays with integrated photodetectors formed as a monolithic device and methods and apparatus for using same
US6459418B1 (en) 1995-07-20 2002-10-01 E Ink Corporation Displays combining active and non-active inks
US6639578B1 (en) 1995-07-20 2003-10-28 E Ink Corporation Flexible displays
US6505160B1 (en) * 1995-07-27 2003-01-07 Digimarc Corporation Connected audio and other media objects
GB9611437D0 (en) 1995-08-03 1996-08-07 Secr Defence Biomaterial
EP0784542B1 (en) * 1995-08-04 2001-11-28 International Business Machines Corporation Stamp for a lithographic process
US6518168B1 (en) 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5822432A (en) * 1996-01-17 1998-10-13 The Dice Company Method for human-assisted random key generation and application for digital watermark system
GB9601289D0 (en) 1996-01-23 1996-03-27 Nimbus Manufacturing Uk Limite Manufacture of optical data storage disc
US5790151A (en) 1996-03-27 1998-08-04 Imaging Technology International Corp. Ink jet printhead and method of making
US6784023B2 (en) 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
US5889868A (en) * 1996-07-02 1999-03-30 The Dice Company Optimization methods for the insertion, protection, and detection of digital watermarks in digitized data
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
JP4619462B2 (ja) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 薄膜素子の転写方法
US6096155A (en) 1996-09-27 2000-08-01 Digital Optics Corporation Method of dicing wafer level integrated multiple optical elements
KR100616479B1 (ko) 1996-10-17 2006-08-28 세이코 엡슨 가부시키가이샤 반도체 장치 및 그 제조방법, 회로기판 및 플렉시블 기판
DE19643550A1 (de) 1996-10-24 1998-05-14 Leybold Systems Gmbh Lichttransparentes, Wärmestrahlung reflektierendes Schichtensystem
US5691245A (en) 1996-10-28 1997-11-25 He Holdings, Inc. Methods of forming two-sided HDMI interconnect structures
US6386453B1 (en) * 1996-11-25 2002-05-14 Metrologic Instruments, Inc. System and method for carrying out information-related transactions
SG67458A1 (en) * 1996-12-18 1999-09-21 Canon Kk Process for producing semiconductor article
DE69738307T2 (de) * 1996-12-27 2008-10-02 Canon K.K. Herstellungsverfahren eines Halbleiter-Bauelements und Herstellungsverfahren einer Solarzelle
US6980196B1 (en) 1997-03-18 2005-12-27 Massachusetts Institute Of Technology Printable electronic display
US6059812A (en) 1997-03-21 2000-05-09 Schneider (Usa) Inc. Self-expanding medical device for centering radioactive treatment sources in body vessels
US5998291A (en) 1997-04-07 1999-12-07 Raytheon Company Attachment method for assembly of high density multiple interconnect structures
AUPO662497A0 (en) 1997-05-05 1997-05-29 Cardiac Crc Nominees Pty Limited An epicardial electrode array
US6381698B1 (en) * 1997-05-21 2002-04-30 At&T Corp System and method for providing assurance to a host that a piece of software possesses a particular property
US5907189A (en) 1997-05-29 1999-05-25 Lsi Logic Corporation Conformal diamond coating for thermal improvement of electronic packages
JPH1126344A (ja) 1997-06-30 1999-01-29 Hitachi Ltd パターン形成方法及び装置並びに半導体装置の製造方法
DE19829309B4 (de) 1997-07-04 2008-02-07 Fuji Electric Co., Ltd., Kawasaki Verfahren zur Herstellung eines thermischen Oxidfilms auf Siliciumcarbid
US6024702A (en) 1997-09-03 2000-02-15 Pmt Corporation Implantable electrode manufactured with flexible printed circuit
US5928001A (en) 1997-09-08 1999-07-27 Motorola, Inc. Surface mountable flexible interconnect
FR2769640B1 (fr) * 1997-10-15 1999-12-17 Sgs Thomson Microelectronics Amelioration de la resistance mecanique d'une tranche de silicium monocristallin
DE19748173A1 (de) 1997-10-31 1999-05-06 Ahlers Horst Dr Ing Habil Elektronikbauelemente einschließlich Sensoren
US6171730B1 (en) 1997-11-07 2001-01-09 Canon Kabushiki Kaisha Exposure method and exposure apparatus
JP3406207B2 (ja) * 1997-11-12 2003-05-12 シャープ株式会社 表示用トランジスタアレイパネルの形成方法
US6037984A (en) * 1997-12-24 2000-03-14 Sarnoff Corporation Method and apparatus for embedding a watermark into a digital image or image sequence
US6393060B1 (en) * 1997-12-31 2002-05-21 Lg Electronics Inc. Video coding and decoding method and its apparatus
JP3219043B2 (ja) 1998-01-07 2001-10-15 日本電気株式会社 半導体装置のパッケージ方法および半導体装置
US5955781A (en) 1998-01-13 1999-09-21 International Business Machines Corporation Embedded thermal conductors for semiconductor chips
US6513118B1 (en) * 1998-01-27 2003-01-28 Canon Kabushiki Kaisha Electronic watermarking method, electronic information distribution system, image filing apparatus and storage medium therefor
JPH11232286A (ja) * 1998-02-12 1999-08-27 Hitachi Ltd 情報検索システム
GB9805214D0 (en) 1998-03-11 1998-05-06 Univ Glasgow Cell adhesion
US6316283B1 (en) 1998-03-25 2001-11-13 Asulab Sa Batch manufacturing method for photovoltaic cells
JP3176580B2 (ja) 1998-04-09 2001-06-18 太陽誘電株式会社 電子部品の実装方法及び実装装置
US6557103B1 (en) * 1998-04-13 2003-04-29 The United States Of America As Represented By The Secretary Of The Army Spread spectrum image steganography
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
KR100275730B1 (ko) * 1998-05-11 2000-12-15 윤종용 트렌치 소자분리 방법
KR100351485B1 (ko) * 1998-10-08 2002-09-05 마츠시타 덴끼 산교 가부시키가이샤 데이터 처리 장치 및 데이터 기록 매체
US6209094B1 (en) * 1998-10-14 2001-03-27 Liquid Audio Inc. Robust watermark method and apparatus for digital signals
WO2000030084A1 (en) 1998-11-16 2000-05-25 Cambridge Scientific, Inc. Biopolymer-based holographic optical element
US6097984A (en) 1998-11-25 2000-08-01 Medtronic, Inc. System and method of stimulation for treating gastro-esophageal reflux disease
US6563935B1 (en) * 1998-12-02 2003-05-13 Hitachi, Ltd. Method of extracting digital watermark information and method of judging bit value of digital watermark information
US6236883B1 (en) 1999-02-03 2001-05-22 The Trustees Of Columbia University In The City Of New York Methods and systems for localizing reentrant circuits from electrogram features
US6281038B1 (en) 1999-02-05 2001-08-28 Alien Technology Corporation Methods for forming assemblies
US6274508B1 (en) * 1999-02-05 2001-08-14 Alien Technology Corporation Apparatuses and methods used in forming assemblies
US6555408B1 (en) 1999-02-05 2003-04-29 Alien Technology Corporation Methods for transferring elements from a template to a substrate
US6683663B1 (en) 1999-02-05 2004-01-27 Alien Technology Corporation Web fabrication of devices
US6850312B2 (en) * 1999-03-16 2005-02-01 Alien Technology Corporation Apparatuses and methods for flexible displays
JP2002536695A (ja) * 1999-02-05 2002-10-29 エイリアン・テクノロジイ・コーポレーション アセンブリを形成するための装置および方法
US6606079B1 (en) * 1999-02-16 2003-08-12 Alien Technology Corporation Pixel integrated circuit
US6291896B1 (en) 1999-02-16 2001-09-18 Alien Technology Corporation Functionally symmetric integrated circuit die
US6380729B1 (en) * 1999-02-16 2002-04-30 Alien Technology Corporation Testing integrated circuit dice
US6752505B2 (en) 1999-02-23 2004-06-22 Solid State Opto Limited Light redirecting films and film systems
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6316278B1 (en) 1999-03-16 2001-11-13 Alien Technology Corporation Methods for fabricating a multiple modular assembly
US6468638B2 (en) * 1999-03-16 2002-10-22 Alien Technology Corporation Web process interconnect in electronic assemblies
KR100434537B1 (ko) 1999-03-31 2004-06-05 삼성전자주식회사 다공질 실리콘 혹은 다공질 산화 실리콘을 이용한 두꺼운 희생층을 가진 다층 구조 웨이퍼 및 그 제조방법
US6433401B1 (en) 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
EE04249B1 (et) 1999-04-21 2004-02-16 Asper O� Meetod biopolümeermaatriksi lugemiseks ja fluorestsentsdetektor
US6276775B1 (en) * 1999-04-29 2001-08-21 Hewlett-Packard Company Variable drop mass inkjet drop generator
US6225149B1 (en) 1999-05-03 2001-05-01 Feng Yuan Gan Methods to fabricate thin film transistors and circuits
US6150602A (en) 1999-05-25 2000-11-21 Hughes Electronics Corporation Large area solar cell extended life interconnect
JP3447619B2 (ja) * 1999-06-25 2003-09-16 株式会社東芝 アクティブマトリクス基板の製造方法、中間転写基板
JP4948726B2 (ja) 1999-07-21 2012-06-06 イー インク コーポレイション 電子ディスプレイを制御するための電子回路素子を作製する好適な方法
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
AU1348901A (en) 1999-10-28 2001-05-08 P1 Diamond, Inc. Improved diamond thermal management components
US6420266B1 (en) 1999-11-02 2002-07-16 Alien Technology Corporation Methods for creating elements of predetermined shape and apparatuses using these elements
US6479395B1 (en) 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
US6527964B1 (en) * 1999-11-02 2003-03-04 Alien Technology Corporation Methods and apparatuses for improved flow in performing fluidic self assembly
US6451191B1 (en) 1999-11-18 2002-09-17 3M Innovative Properties Company Film based addressable programmable electronic matrix articles and methods of manufacturing and using the same
JP4104800B2 (ja) * 1999-12-08 2008-06-18 三菱電機株式会社 液晶表示装置およびtftパネル
US6385329B1 (en) * 2000-02-14 2002-05-07 Digimarc Corporation Wavelet domain watermarks
WO2001066833A1 (en) 2000-03-06 2001-09-13 University Of Connecticut Apparatus and method for fabrication of photonic crystals
JP4360015B2 (ja) * 2000-03-17 2009-11-11 セイコーエプソン株式会社 有機el表示体の製造方法、半導体素子の配置方法、半導体装置の製造方法
JP2002057882A (ja) * 2000-04-21 2002-02-22 Sony Corp 情報埋め込み装置及び情報埋め込み方法、情報処理装置及び情報処理方法、コンテンツ処理装置及びコンテンツ処理方法、監視装置及び監視方法、並びに、記憶媒体
KR20010097834A (ko) * 2000-04-26 2001-11-08 이영아 암호화된 알고리즘을 이용하여 실시간으로디지털워터마킹을 하는 시스템 및 그 방법
JP4489247B2 (ja) * 2000-05-18 2010-06-23 沖電気工業株式会社 ディジタルコンテンツの配布システムおよび配布方法。
US6521542B1 (en) * 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
US6787052B1 (en) * 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
CN1214286C (zh) 2000-06-22 2005-08-10 皇家菲利浦电子有限公司 形成光学图象的方法、用于本方法的掩模、用本方法制造器件的方法及实施本方法的设备
US6403397B1 (en) 2000-06-28 2002-06-11 Agere Systems Guardian Corp. Process for fabricating organic semiconductor device involving selective patterning
JP4120184B2 (ja) 2000-06-30 2008-07-16 セイコーエプソン株式会社 実装用微小構造体および光伝送装置
WO2002003142A2 (en) 2000-06-30 2002-01-10 President And Fellows Of Harvard College Electric microcontact printing method and apparatus
US6723576B2 (en) * 2000-06-30 2004-04-20 Seiko Epson Corporation Disposing method for semiconductor elements
WO2002008810A2 (en) 2000-07-21 2002-01-31 Micro Managed Photons A/S Surface plasmon polariton band gap structures
DE10037715A1 (de) 2000-08-02 2002-02-14 Endress Hauser Gmbh Co Vorrichtung zur Messung des Füllstands eines Füllguts in einem Behälter
JP3501218B2 (ja) * 2000-08-11 2004-03-02 日本電気株式会社 フラットパネル表示モジュール及びその製造方法
EP2360298A3 (en) 2000-08-22 2011-10-05 President and Fellows of Harvard College Method for depositing a semiconductor nanowire
US6780696B1 (en) 2000-09-12 2004-08-24 Alien Technology Corporation Method and apparatus for self-assembly of functional blocks on a substrate facilitated by electrode pairs
JP2002092984A (ja) 2000-09-18 2002-03-29 Hitachi Maxell Ltd スタンパ及びその製造方法、並びにプラスチック基板
US6980184B1 (en) 2000-09-27 2005-12-27 Alien Technology Corporation Display devices and integrated circuits
JP4491948B2 (ja) 2000-10-06 2010-06-30 ソニー株式会社 素子実装方法および画像表示装置の製造方法
US6814898B1 (en) 2000-10-17 2004-11-09 Seagate Technology Llc Imprint lithography utilizing room temperature embossing
WO2002043032A2 (en) 2000-11-21 2002-05-30 Avery Dennison Corporation Display device and methods of manufacture and control
JP2004521485A (ja) 2000-11-27 2004-07-15 コピン コーポレーション 格子整合されたベース層を有するバイポーラトランジスタ
US6743982B2 (en) 2000-11-29 2004-06-01 Xerox Corporation Stretchable interconnects using stress gradient films
GB0029312D0 (en) 2000-12-01 2001-01-17 Philips Corp Intellectual Pty Flexible electronic device
US6608360B2 (en) 2000-12-15 2003-08-19 University Of Houston One-chip micro-integrated optoelectronic sensor
US20070031607A1 (en) 2000-12-19 2007-02-08 Alexander Dubson Method and apparatus for coating medical implants
US6277711B1 (en) 2001-01-08 2001-08-21 Jiahn-Chang Wu Semiconductor matrix formation
US6666821B2 (en) 2001-01-08 2003-12-23 Medtronic, Inc. Sensor system
JP4657577B2 (ja) 2001-01-09 2011-03-23 マイクロチップス・インコーポレーテッド 眼への適用および他への適用のための可撓性マイクロチップデバイス
US6655286B2 (en) * 2001-01-19 2003-12-02 Lucent Technologies Inc. Method for preventing distortions in a flexibly transferred feature pattern
JP2002217391A (ja) * 2001-01-23 2002-08-02 Seiko Epson Corp 積層体の製造方法及び半導体装置
US20020110766A1 (en) 2001-02-09 2002-08-15 Industrial Technology Research Institute Process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array
JP3665579B2 (ja) * 2001-02-26 2005-06-29 ソニーケミカル株式会社 電気装置製造方法
KR20020093113A (ko) 2001-03-06 2002-12-12 코닌클리케 필립스 일렉트로닉스 엔.브이. 디스플레이 디바이스
JP2002268585A (ja) 2001-03-08 2002-09-20 Matsushita Electric Ind Co Ltd アクティブマトリクス基板およびその製造方法
JP2004527905A (ja) 2001-03-14 2004-09-09 ユニバーシティー オブ マサチューセッツ ナノ製造
US6417025B1 (en) * 2001-04-02 2002-07-09 Alien Technology Corporation Integrated circuit packages assembled utilizing fluidic self-assembly
US6667548B2 (en) 2001-04-06 2003-12-23 Intel Corporation Diamond heat spreading and cooling technique for integrated circuits
US7232460B2 (en) 2001-04-25 2007-06-19 Xillus, Inc. Nanodevices, microdevices and sensors on in-vivo structures and method for the same
US6864435B2 (en) 2001-04-25 2005-03-08 Alien Technology Corporation Electrical contacts for flexible displays
JP2004531859A (ja) * 2001-04-26 2004-10-14 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 有機エレクトロルミネセントデバイスとその製造方法
WO2002092778A2 (en) 2001-05-17 2002-11-21 The Board Of Trustees Of The Leland Stanford Junior University Device and method for three-dimensional spatial localization and functional interconnection of different types of cells
US6606247B2 (en) * 2001-05-31 2003-08-12 Alien Technology Corporation Multi-feature-size electronic structures
US6988667B2 (en) 2001-05-31 2006-01-24 Alien Technology Corporation Methods and apparatuses to identify devices
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
CA2448112A1 (en) 2001-06-05 2002-12-12 Exelixis Inc. Lces as modifiers of the p53 pathway and methods of use
WO2002103760A2 (en) 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US20030006527A1 (en) * 2001-06-22 2003-01-09 Rabolt John F. Method of fabricating micron-and submicron-scale elastomeric templates for surface patterning
US6984934B2 (en) 2001-07-10 2006-01-10 The Trustees Of Princeton University Micro-lens arrays for display intensity enhancement
US6657289B1 (en) 2001-07-13 2003-12-02 Alien Technology Corporation Apparatus relating to block configurations and fluidic self-assembly processes
US6590346B1 (en) 2001-07-16 2003-07-08 Alien Technology Corporation Double-metal background driven displays
US6856830B2 (en) 2001-07-19 2005-02-15 Bin He Method and apparatus of three dimension electrocardiographic imaging
AU2002322581A1 (en) 2001-07-20 2003-03-03 Microlink Devices, Inc. Graded base gaassb for high speed gaas hbt
WO2003009396A2 (en) 2001-07-20 2003-01-30 Microlink Devices, Inc. Algaas or ingap low turn-on voltage gaas-based heterojunction bipolar transistor
US6661037B2 (en) 2001-07-20 2003-12-09 Microlink Devices, Inc. Low emitter resistance contacts to GaAs high speed HBT
TW586231B (en) * 2001-07-24 2004-05-01 Seiko Epson Corp Transfer method, methods of manufacturing thin film devices and integrated circuits, circuit board and manufacturing method thereof, electro-optical apparatus and manufacturing method thereof, manufacturing methods of IC card and electronic appliance
JP2003142666A (ja) * 2001-07-24 2003-05-16 Seiko Epson Corp 素子の転写方法、素子の製造方法、集積回路、回路基板、電気光学装置、icカード、及び電子機器
US6706402B2 (en) 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
JP5057619B2 (ja) * 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6949199B1 (en) 2001-08-16 2005-09-27 Seagate Technology Llc Heat-transfer-stamp process for thermal imprint lithography
US6863219B1 (en) * 2001-08-17 2005-03-08 Alien Technology Corporation Apparatuses and methods for forming electronic assemblies
US6731353B1 (en) 2001-08-17 2004-05-04 Alien Technology Corporation Method and apparatus for transferring blocks
JP2003077940A (ja) 2001-09-06 2003-03-14 Sony Corp 素子の転写方法及びこれを用いた素子の配列方法、画像表示装置の製造方法
AUPR795401A0 (en) 2001-09-28 2001-10-18 University Of Queensland, The Components based on melanin and melanin-like bio-molecules and processes for their production
US7193504B2 (en) 2001-10-09 2007-03-20 Alien Technology Corporation Methods and apparatuses for identification
US6936181B2 (en) 2001-10-11 2005-08-30 Kovio, Inc. Methods for patterning using liquid embossing
US6724914B2 (en) * 2001-10-16 2004-04-20 Digimarc Corporation Progressive watermark decoding on a distributed computing platform
JP3907439B2 (ja) * 2001-10-26 2007-04-18 キヤノン株式会社 携帯端末システム及び携帯端末及び画像処理装置及びその動作方法
KR100944886B1 (ko) 2001-10-30 2010-03-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제조 방법
JP2003229548A (ja) * 2001-11-30 2003-08-15 Semiconductor Energy Lab Co Ltd 乗物、表示装置、および半導体装置の作製方法
US7169669B2 (en) * 2001-12-04 2007-01-30 Origin Energy Solar Pty. Ltd. Method of making thin silicon sheets for solar cells
US6844673B1 (en) 2001-12-06 2005-01-18 Alien Technology Corporation Split-fabrication for light emitting display structures
JP2003197881A (ja) * 2001-12-27 2003-07-11 Seiko Epson Corp 半導体集積回路、半導体集積回路の製造方法、半導体素子部材、電気光学装置、電子機器
JP3956697B2 (ja) * 2001-12-28 2007-08-08 セイコーエプソン株式会社 半導体集積回路の製造方法
US6887450B2 (en) 2002-01-02 2005-05-03 Zyvex Corporation Directional assembly of carbon nanotube strings
CN1606796A (zh) 2002-01-23 2005-04-13 艾伦技术公司 具有小形体尺寸和大形体尺寸元件的装置以及制造这种装置的方法
US6653030B2 (en) 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features
US6608370B1 (en) * 2002-01-28 2003-08-19 Motorola, Inc. Semiconductor wafer having a thin die and tethers and methods of making the same
US20030149456A1 (en) 2002-02-01 2003-08-07 Rottenberg William B. Multi-electrode cardiac lead adapter with multiplexer
US6693384B1 (en) 2002-02-01 2004-02-17 Alien Technology Corporation Interconnect structure for electronic devices
US20030151118A1 (en) * 2002-02-14 2003-08-14 3M Innovative Properties Company Aperture masks for circuit fabrication
US20030157795A1 (en) * 2002-02-19 2003-08-21 Macronix International Co. Ltd. Self-aligned patterning in dual damascene process
JP3975272B2 (ja) 2002-02-21 2007-09-12 独立行政法人産業技術総合研究所 超微細流体ジェット装置
DE60310282T2 (de) 2002-03-01 2007-05-10 Dai Nippon Printing Co., Ltd. Thermisch übertragbares Bildschutzblatt, Verfahren zur Schutzschicht-Bildung und durch das Verfahren hergestellte Aufnahme
AU2003212753A1 (en) 2002-03-07 2003-09-16 Acreo Ab Electrochemical device
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
JP3889700B2 (ja) * 2002-03-13 2007-03-07 三井金属鉱業株式会社 Cofフィルムキャリアテープの製造方法
US6950220B2 (en) 2002-03-18 2005-09-27 E Ink Corporation Electro-optic displays, and methods for driving same
US7101729B2 (en) * 2002-03-28 2006-09-05 Seiko Epson Corporation Method of manufacturing a semiconductor device having adjoining substrates
JP2003298029A (ja) * 2002-03-28 2003-10-17 Seiko Epson Corp 剥離転写装置、剥離転写方法、半導体装置及びicカード
JP2003297974A (ja) * 2002-03-29 2003-10-17 Seiko Epson Corp 半導体装置、電気光学装置および半導体装置の製造方法
JP2003298006A (ja) * 2002-03-29 2003-10-17 Seiko Epson Corp 半導体装置および電気光学装置
US20040026684A1 (en) * 2002-04-02 2004-02-12 Nanosys, Inc. Nanowire heterostructures for encoding information
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US7081210B2 (en) 2002-04-22 2006-07-25 Konica Minolta Holdings, Inc. Organic semiconductor composition
EP1497867A2 (en) 2002-04-24 2005-01-19 E Ink Corporation Electronic displays
US6946205B2 (en) 2002-04-25 2005-09-20 Matsushita Electric Industrial Co., Ltd. Wiring transfer sheet and method for producing the same, and wiring board and method for producing the same
DE10219120A1 (de) * 2002-04-29 2003-11-20 Infineon Technologies Ag Oberflächenfunktionalisierte anorganische Halbleiterpartikel als elektrische Halbleiter für mikroelektronische Anwendungen
CN1659810B (zh) 2002-04-29 2012-04-25 三星电子株式会社 直接连接信号传送系统
JP4180833B2 (ja) * 2002-04-30 2008-11-12 株式会社リコー インバータ
JP4052631B2 (ja) 2002-05-17 2008-02-27 株式会社東芝 アクティブマトリクス型表示装置
AU2003253690A1 (en) 2002-06-24 2004-01-06 Massachusetts Institute Of Technology Silk biomaterials and methods of use thereof
WO2004000915A2 (en) 2002-06-24 2003-12-31 Tufts University Silk biomaterials and methods of use thereof
US20040136866A1 (en) 2002-06-27 2004-07-15 Nanosys, Inc. Planar nanowire based sensor elements, devices, systems and methods for using and making same
US7371963B2 (en) 2002-07-31 2008-05-13 Kyocera Corporation Photovoltaic power generation system
US6915551B2 (en) * 2002-08-02 2005-07-12 Matrics, Inc. Multi-barrel die transfer apparatus and method for transferring dies therewith
JP2004071874A (ja) 2002-08-07 2004-03-04 Sharp Corp 半導体装置製造方法および半導体装置
AU2003253192A1 (en) 2002-08-27 2004-04-30 Nanosys Gmbh Method for applying a hydrophobic coating to the surface of a porous substrate, maintaining its porosity
AU2003298998A1 (en) 2002-09-05 2004-04-08 Nanosys, Inc. Oriented nanostructures and methods of preparing
EP2399970A3 (en) 2002-09-05 2012-04-18 Nanosys, Inc. Nanocomposites
AU2003279708A1 (en) * 2002-09-05 2004-03-29 Nanosys, Inc. Nanostructure and nanocomposite based compositions and photovoltaic devices
US6949206B2 (en) 2002-09-05 2005-09-27 Nanosys, Inc. Organic species that facilitate charge transfer to or from nanostructures
US6887792B2 (en) 2002-09-17 2005-05-03 Hewlett-Packard Development Company, L.P. Embossed mask lithography
EP1563555A4 (en) * 2002-09-30 2009-08-26 Nanosys Inc APPLICATIONS OF NANO-ACTIVE LARGE SURFACE MACRO-ELECTRONIC SUBSTRATES INCORPORATING NANOWILS AND NANOFIL COMPOSITES
US7051945B2 (en) 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
JP4669784B2 (ja) 2002-09-30 2011-04-13 ナノシス・インコーポレイテッド ナノワイヤトランジスタを用いる集積ディスプレイ
EP2194026A1 (en) * 2002-09-30 2010-06-09 Nanosys, Inc. Large-area nanoenabled macroelectronic substrates and uses therefor
WO2004034025A2 (en) 2002-10-10 2004-04-22 Nanosys, Inc. Nano-chem-fet based biosensors
US20040081384A1 (en) 2002-10-25 2004-04-29 Datesman Aaron M. Multiple-mode planar-waveguide sensor, fabrication materials and techniques
GB0224871D0 (en) * 2002-10-25 2002-12-04 Plastic Logic Ltd Self-aligned doping of source-drain contacts
US7067903B2 (en) 2002-11-07 2006-06-27 Kabushiki Kaisha Kobe Seiko Sho Heat spreader and semiconductor device and package using the same
US20040200734A1 (en) 2002-12-19 2004-10-14 Co Man Sung Nanotube-based sensors for biomolecules
US7842780B2 (en) 2003-01-07 2010-11-30 Trustees Of Tufts College Silk fibroin materials and use thereof
AU2003900180A0 (en) * 2003-01-16 2003-01-30 Silverbrook Research Pty Ltd Method and apparatus (dam001)
US7190051B2 (en) 2003-01-17 2007-03-13 Second Sight Medical Products, Inc. Chip level hermetic and biocompatible electronics package using SOI wafers
KR101029162B1 (ko) 2003-02-03 2011-04-12 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크를 이용한 패턴 전사 방법
JP4082242B2 (ja) * 2003-03-06 2008-04-30 ソニー株式会社 素子転写方法
WO2005022120A2 (en) 2003-03-11 2005-03-10 Nanosys, Inc. Process for producing nanocrystals and nanocrystals produced thereby
US6855638B2 (en) * 2003-03-24 2005-02-15 Union Semiconductor Technology Corporation Process to pattern thick TiW metal layers using uniform and selective etching
US7253735B2 (en) 2003-03-24 2007-08-07 Alien Technology Corporation RFID tags and processes for producing RFID tags
US20040189638A1 (en) 2003-03-25 2004-09-30 Frisken Sarah F. Method for converting a two-dimensional distance field to a set of boundary descriptors
US7465678B2 (en) 2003-03-28 2008-12-16 The Trustees Of Princeton University Deformable organic devices
US7491892B2 (en) * 2003-03-28 2009-02-17 Princeton University Stretchable and elastic interconnects
US20050227389A1 (en) 2004-04-13 2005-10-13 Rabin Bhattacharya Deformable organic devices
JP4269748B2 (ja) 2003-04-01 2009-05-27 セイコーエプソン株式会社 表示装置の製造方法
EP1467224A1 (en) 2003-04-07 2004-10-13 CSEM Centre Suisse d'Electronique et de Microtechnique SA Optical proximity detector
EP3231846A1 (en) 2003-04-10 2017-10-18 Tufts University Concentrated aqueous silk fibroin solution and use thereof
US7056409B2 (en) 2003-04-17 2006-06-06 Nanosys, Inc. Structures, systems and methods for joining articles and materials and uses therefor
US20050038498A1 (en) * 2003-04-17 2005-02-17 Nanosys, Inc. Medical device applications of nanostructured surfaces
US7074294B2 (en) 2003-04-17 2006-07-11 Nanosys, Inc. Structures, systems and methods for joining articles and materials and uses therefor
JP2004327836A (ja) * 2003-04-25 2004-11-18 Seiko Epson Corp 被転写体の転写方法、被転写体の製造方法、回路基板の製造方法、電気光学装置、及び電子機器
JP4871726B2 (ja) 2003-04-28 2012-02-08 ナノシス・インク. 超疎液性表面、その作製法及び用途
US20040211458A1 (en) 2003-04-28 2004-10-28 General Electric Company Tandem photovoltaic cell stacks
TWI427709B (zh) 2003-05-05 2014-02-21 Nanosys Inc 用於增加表面面積之應用的奈米纖維表面
US7803574B2 (en) 2003-05-05 2010-09-28 Nanosys, Inc. Medical device applications of nanostructured surfaces
AU2003902270A0 (en) 2003-05-09 2003-05-29 Origin Energy Solar Pty Ltd Separating and assembling semiconductor strips
US6951173B1 (en) * 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US7244326B2 (en) 2003-05-16 2007-07-17 Alien Technology Corporation Transfer assembly for manufacturing electronic devices
US7265298B2 (en) 2003-05-30 2007-09-04 The Regents Of The University Of California Serpentine and corduroy circuits to enhance the stretchability of a stretchable electronic device
WO2005000483A1 (en) 2003-06-06 2005-01-06 Tufts University Method for forming inorganic coatings
US7494896B2 (en) 2003-06-12 2009-02-24 International Business Machines Corporation Method of forming magnetic random access memory (MRAM) devices on thermally-sensitive substrates using laser transfer
US7033961B1 (en) 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
TWI273019B (en) 2003-07-25 2007-02-11 Hon Hai Prec Ind Co Ltd A method of transfer pattern
CA2532991A1 (en) 2003-08-04 2005-02-24 Nanosys, Inc. System and process for producing nanowire composites and electronic substrates therefrom
EP1665120B1 (en) 2003-08-09 2013-04-17 Alien Technology Corporation Methods and apparatuses to identify devices
US7223609B2 (en) 2003-08-14 2007-05-29 Agilent Technologies, Inc. Arrays for multiplexed surface plasmon resonance detection of biological molecules
JP2005072528A (ja) 2003-08-28 2005-03-17 Shin Etsu Chem Co Ltd 薄層電界効果トランジスター及びその製造方法
KR100541395B1 (ko) * 2003-09-09 2006-01-11 삼성전자주식회사 반도체칩 적층장치, 이것을 이용한 반도체 패키지의제조방법, 그리고 이러한 방법에 의하여 제조된 반도체패키지
US7029951B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Cooling system for a semiconductor device and method of fabricating same
WO2005029578A1 (en) 2003-09-24 2005-03-31 Koninklijke Philips Electronics N.V. Semiconductor device, method of manufacturing same, identification label and information carrier
GB0323285D0 (en) 2003-10-04 2003-11-05 Koninkl Philips Electronics Nv Device and method of making a device having a patterned layer on a flexible substrate
GB0323286D0 (en) 2003-10-04 2003-11-05 Koninkl Philips Electronics Nv Device and method of making a device having a flexible layer structure
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
DE10349963A1 (de) 2003-10-24 2005-06-02 Leonhard Kurz Gmbh & Co. Kg Verfahren zur Herstellung einer Folie
WO2005046470A1 (en) 2003-11-06 2005-05-26 The Regents Of The University Of Colorado, A Body Corporate Shape-memory polymer coated electrodes
DE112004001974T5 (de) 2003-11-11 2006-08-24 Tae Ii Kim Werbefolie mit retroreflektiver Mikroprismenfolie und Verfahren zum Herstellen derselben
EP1700161B1 (en) 2003-12-01 2018-01-24 The Board of Trustees of the University of Illinois Methods and devices for fabricating three-dimensional nanoscale structures
US20050124712A1 (en) 2003-12-05 2005-06-09 3M Innovative Properties Company Process for producing photonic crystals
JP5110766B2 (ja) 2003-12-15 2012-12-26 株式会社半導体エネルギー研究所 薄膜集積回路装置の作製方法及び非接触型薄膜集積回路装置の作製方法
KR101137797B1 (ko) 2003-12-15 2012-04-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박막 집적회로장치의 제조방법, 비접촉형 박막집적회로장치 및 그 제조 방법, 비접촉형 박막 집적회로장치를 가지는 아이디 태그 및 동전
US20090198293A1 (en) 2003-12-19 2009-08-06 Lawrence Cauller Microtransponder Array for Implant
KR101376715B1 (ko) 2003-12-19 2014-03-27 더 유니버시티 오브 노쓰 캐롤라이나 엣 채플 힐 소프트 또는 임프린트 리소그래피를 이용하여 분리된 마이크로- 및 나노- 구조를 제작하는 방법
US7632087B2 (en) 2003-12-19 2009-12-15 Wd Media, Inc. Composite stamper for imprint lithography
DE10361940A1 (de) 2003-12-24 2005-07-28 Restate Patent Ag Degradationssteuerung biodegradierbarer Implantate durch Beschichtung
US7132796B2 (en) * 2003-12-30 2006-11-07 Lg.Philips Lcd Co., Ltd Organic electroluminescent device and method of fabricating the same
JP4877870B2 (ja) 2004-01-30 2012-02-15 株式会社半導体エネルギー研究所 半導体装置の製造方法
JP4841807B2 (ja) 2004-02-27 2011-12-21 株式会社半導体エネルギー研究所 薄膜集積回路及び薄型半導体装置
TWI299358B (en) 2004-03-12 2008-08-01 Hon Hai Prec Ind Co Ltd Thermal interface material and method for making same
US7304393B1 (en) * 2004-03-24 2007-12-04 Microtune (Texas), L.P. System and method for coupling internal circuitry of an integrated circuit to the integrated circuit's package pins
US7052924B2 (en) 2004-03-29 2006-05-30 Articulated Technologies, Llc Light active sheet and methods for making the same
KR100880812B1 (ko) 2004-03-29 2009-01-30 아티큘레이티드 테크놀러지스 엘엘씨 롤-투-롤 제조된 광 시트 및 캡슐화된 반도체 회로디바이스들
CN100383213C (zh) 2004-04-02 2008-04-23 清华大学 一种热界面材料及其制造方法
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
JP2008507114A (ja) 2004-04-27 2008-03-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ ソフトリソグラフィ用複合パターニングデバイス
CN100481327C (zh) 2004-04-28 2009-04-22 汉阳大学校产学协力团 柔性光电设备及其制造方法
JP2005322858A (ja) 2004-05-11 2005-11-17 Shinko Electric Ind Co Ltd 半導体装置の製造方法
US20050261561A1 (en) 2004-05-24 2005-11-24 Christopher W. Jones Blood testing and therapeutic compound delivery system
US7622367B1 (en) 2004-06-04 2009-11-24 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
US7943491B2 (en) 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
WO2005123114A2 (en) 2004-06-11 2005-12-29 Trustees Of Tufts College Silk-based drug delivery system
US7629691B2 (en) 2004-06-16 2009-12-08 Honeywell International Inc. Conductor geometry for electronic circuits fabricated on flexible substrates
US7425523B2 (en) 2004-07-05 2008-09-16 Dai Nippon Printing Co., Ltd. Thermal transfer recording material and thermal transfer recording method
US7320584B1 (en) * 2004-07-07 2008-01-22 Komag, Inc. Die set having sealed compliant member
US7687886B2 (en) 2004-08-19 2010-03-30 Microlink Devices, Inc. High on-state breakdown heterojunction bipolar transistor
WO2006028996A2 (en) 2004-09-03 2006-03-16 Trustees Of Tufts College Emulsan-alginate microspheres and methods of use thereof
US20060057763A1 (en) * 2004-09-14 2006-03-16 Agency For Science, Technology And Research Method of forming a surface mountable IC and its assembly
US20080280360A1 (en) 2004-10-12 2008-11-13 Trustees Of Tufts College Method for Producing Biomaterial Scaffolds
US7662545B2 (en) 2004-10-14 2010-02-16 The Board Of Trustees Of The University Of Illinois Decal transfer lithography
US7621044B2 (en) 2004-10-22 2009-11-24 Formfactor, Inc. Method of manufacturing a resilient contact
WO2006050325A2 (en) 2004-10-29 2006-05-11 Worcester Polytechnic Institute System and method for multi-channel electrophysiologic signal data acquisition
CA2586197C (en) 2004-11-04 2012-08-14 Mesophotonics Limited Metal nano-void photonic crystal for enhanced raman spectroscopy
US7695602B2 (en) 2004-11-12 2010-04-13 Xerox Corporation Systems and methods for transporting particles
JP2006186294A (ja) 2004-12-03 2006-07-13 Toppan Printing Co Ltd 薄膜トランジスタ及びその製造方法
US20060129056A1 (en) 2004-12-10 2006-06-15 Washington University Electrocorticography telemitter
US20060127817A1 (en) * 2004-12-10 2006-06-15 Eastman Kodak Company In-line fabrication of curved surface transistors
US7229901B2 (en) 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
US20060132025A1 (en) 2004-12-22 2006-06-22 Eastman Kodak Company Flexible display designed for minimal mechanical strain
US7960509B2 (en) 2005-01-14 2011-06-14 Trustees Of Tufts College Fibrous protein fusions and use thereof in the formation of advanced organic/inorganic composite materials
US7374968B2 (en) * 2005-01-28 2008-05-20 Hewlett-Packard Development Company, L.P. Method of utilizing a contact printing stamp
US7794742B2 (en) 2005-02-08 2010-09-14 University Of Washington Devices for promoting epithelial cell differentiation and keratinization
WO2006104069A1 (ja) 2005-03-28 2006-10-05 Pioneer Corporation ゲート絶縁膜、有機トランジスタ、有機el表示装置の製造方法、ディスプレイ
US9290579B2 (en) 2005-04-20 2016-03-22 Trustees Of Tufts College Covalently immobilized protein gradients in three-dimensional porous scaffolds
US8101498B2 (en) 2005-04-21 2012-01-24 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2008103195A2 (en) 2005-04-28 2008-08-28 Second Sight Medical Products, Inc. Flexible circuit electrode array
US8024022B2 (en) 2005-05-25 2011-09-20 Alfred E. Mann Foundation For Scientific Research Hermetically sealed three-dimensional electrode array
US7501069B2 (en) 2005-06-01 2009-03-10 The Board Of Trustees Of The University Of Illinois Flexible structures for sensors and electronics
MY152238A (en) 2005-06-02 2014-09-15 Univ Illinois Printable semiconductor structures and related methods of making and assembling
EP1915774B1 (en) 2005-06-02 2015-05-20 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7763353B2 (en) 2005-06-10 2010-07-27 Ut-Battelle, Llc Fabrication of high thermal conductivity arrays of carbon nanotubes and their composites
WO2007000037A1 (en) 2005-06-29 2007-01-04 Mitchell, Richard, J. Bendable high flux led array
US7479404B2 (en) 2005-07-08 2009-01-20 The Board Of Trustees Of The University Of Illinois Photonic crystal biosensor structure and fabrication method
ES2374428T3 (es) 2005-08-02 2012-02-16 Trustees Of Tufts College Métodos para la deposición progresiva de recubrimientos de fibroína de seda.
US20070043416A1 (en) 2005-08-19 2007-02-22 Cardiac Pacemakers, Inc. Implantable electrode array
KR100758699B1 (ko) 2005-08-29 2007-09-14 재단법인서울대학교산학협력재단 고종횡비 나노구조물 형성방법 및 이를 이용한 미세패턴형성방법
US8005526B2 (en) 2005-08-31 2011-08-23 The Regents Of The University Of Michigan Biologically integrated electrode devices
AU2006311850B2 (en) 2005-11-02 2011-06-16 Second Sight Medical Products, Inc. Implantable microelectronic device and method of manufacture
DE102006008501B3 (de) 2006-02-23 2007-10-25 Albert-Ludwigs-Universität Freiburg Sonde und Verfahren zur Datenübertragung zwischen einem Gehirn und einer Datenverarbeitungsvorrichtung
US7649098B2 (en) 2006-02-24 2010-01-19 Lexicon Pharmaceuticals, Inc. Imidazole-based compounds, compositions comprising them and methods of their use
JP2009528254A (ja) 2006-03-03 2009-08-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 空間的に配列したナノチューブ及びナノチューブアレイの作製方法
US20080038236A1 (en) 2006-03-06 2008-02-14 Artecel Sciences, Inc. Biocompatible scaffolds and adipose-derived stem cells
US20070233208A1 (en) 2006-03-28 2007-10-04 Eastman Kodak Company Light therapy bandage with imbedded emitters
US20070227586A1 (en) 2006-03-31 2007-10-04 Kla-Tencor Technologies Corporation Detection and ablation of localized shunting defects in photovoltaics
US7705280B2 (en) 2006-07-25 2010-04-27 The Board Of Trustees Of The University Of Illinois Multispectral plasmonic crystal sensors
DE102006037433B4 (de) 2006-08-09 2010-08-19 Ovd Kinegram Ag Verfahren zur Herstellung eines Mehrschichtkörpers sowie Mehrschichtkörper
TWI378747B (en) 2006-08-18 2012-12-01 Ind Tech Res Inst Flexible electronic assembly
AU2007289057C1 (en) 2006-09-01 2014-01-16 Pacific Biosciences Of California, Inc. Substrates, systems and methods for analyzing materials
KR101453419B1 (ko) 2006-09-06 2014-10-23 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 2차원 인장 가능하고 구부릴 수 있는 장치
US7932123B2 (en) 2006-09-20 2011-04-26 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
EP1903000B1 (fr) 2006-09-25 2019-09-18 Sorin CRM SAS Composant biocompatible implantable incorporant un élément actif intégré tel qu'un capteur de mesure d'un paramètre physiologique, microsystème électromécanique ou circuit électronique
US20100028451A1 (en) 2006-09-26 2010-02-04 Trustees Of Tufts College Silk microspheres for encapsulation and controlled release
US20100046902A1 (en) 2006-11-03 2010-02-25 Trustees Of Tufts College Biopolymer photonic crystals and method of manufacturing the same
CA2704309C (en) 2006-11-03 2017-02-28 Trustees Of Tufts College Electroactive biopolymer optical and electro-optical devices and method of manufacturing the same
US20100068740A1 (en) 2006-11-03 2010-03-18 Trustees Of Tufts College Microfluidic device with a cylindrical microchannel and a method for fabricating same
US8529835B2 (en) 2006-11-03 2013-09-10 Tufts University Biopolymer sensor and method of manufacturing the same
EP2086749B1 (en) 2006-11-03 2013-05-08 Trustees Of Tufts College Nanopatterned biopolymer optical device and method of manufacturing the same
US7868354B2 (en) 2006-11-08 2011-01-11 Duke University GaN-based nitric oxide sensors and methods of making and using the same
US8975073B2 (en) 2006-11-21 2015-03-10 The Charles Stark Draper Laboratory, Inc. Microfluidic device comprising silk films coupled to form a microchannel
US20120223293A1 (en) 2007-01-05 2012-09-06 Borenstein Jeffrey T Biodegradable Electronic Devices
JP5700750B2 (ja) 2007-01-17 2015-04-15 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 印刷ベースの組立により製作される光学システム
JP2008202022A (ja) 2007-01-23 2008-09-04 Fujifilm Corp 光ナノインプリントリソグラフィ用硬化性組成物およびそれを用いたパターン形成方法
US8057390B2 (en) 2007-01-26 2011-11-15 The Regents Of The University Of Michigan High-resolution mapping of bio-electric fields
JP2010522583A (ja) 2007-02-27 2010-07-08 トラスティーズ オブ タフツ カレッジ 組織工学的に作製された絹製臓器
WO2008136958A1 (en) 2007-04-30 2008-11-13 Opthera, Inc. Uva1-led phototherapy device and method
DK2211876T3 (en) 2007-05-29 2015-01-12 Tufts College PROCESS FOR silk fibroin-GELATION USING sonication
US9061494B2 (en) 2007-07-19 2015-06-23 The Board Of Trustees Of The University Of Illinois High resolution electrohydrodynamic jet printing for manufacturing systems
WO2009023615A1 (en) 2007-08-10 2009-02-19 Trustees Of Tufts College Tubular silk compositions and methods of use thereof
WO2009061823A1 (en) 2007-11-05 2009-05-14 Trustees Of Tufts College Fabrication of silk fibroin photonic structures by nanocontact imprinting
US20090149930A1 (en) 2007-12-07 2009-06-11 Thermage, Inc. Apparatus and methods for cooling a treatment apparatus configured to non-invasively deliver electromagnetic energy to a patient's tissue
PL2219726T3 (pl) 2007-12-10 2013-07-31 Neuronano Ab Elektroda medyczna, wiązka elektrod i układ wiązki elektrod
US8290557B2 (en) 2007-12-12 2012-10-16 Medtronic, Inc. Implantable optical sensor and method for use
GB0800797D0 (en) 2008-01-16 2008-02-27 Cambridge Entpr Ltd Neural interface
CA2713251A1 (en) 2008-02-07 2009-08-13 Trustees Of Tufts College 3-dimensional silk hydroxyapatite compositions
US8552299B2 (en) 2008-03-05 2013-10-08 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
WO2009114115A1 (en) 2008-03-10 2009-09-17 S.E.A. Medical Systems, Inc. Intravenous fluid monitoring
US9107592B2 (en) 2008-03-12 2015-08-18 The Trustees Of The University Of Pennsylvania Flexible and scalable sensor arrays for recording and modulating physiologic activity
US8206774B2 (en) 2008-03-13 2012-06-26 Trustees Of Tufts College Diazonium salt modification of silk polymer
JP2011515815A (ja) 2008-03-26 2011-05-19 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 発光ダイオード装置
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US9068282B2 (en) 2008-04-08 2015-06-30 Trustees Of Tufts College System and method for making biomaterial structures
WO2009140588A1 (en) 2008-05-15 2009-11-19 Trustees Of Tufts College Silk polymer-based adenosine release: therapeutic potential for epilepsy
WO2010005707A1 (en) 2008-06-16 2010-01-14 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US20110135697A1 (en) 2008-06-18 2011-06-09 Trustees Of Tufts College Edible holographic silk products
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
EP2349366B1 (en) 2008-09-26 2013-08-28 Trustees Of Tufts College Active silk muco-adhesives, silk electrogelation process, and devices
KR20110094277A (ko) 2008-10-09 2011-08-23 트러스티즈 오브 터프츠 칼리지 글리세롤을 함유하는 변성 실크 필름
JP2012504985A (ja) 2008-10-10 2012-03-01 グット,カルステン,ニルス 埋め込み装置及び埋め込み方法
EP2345069B1 (en) 2008-10-27 2016-02-17 Nxp B.V. Method of manufacturing a biocompatible electrode
US9427499B2 (en) 2008-11-17 2016-08-30 Trustees Of Tufts College Surface modification of silk fibroin matrices with poly(ethylene glycol) useful as anti-adhesion barriers and anti-thrombotic materials
WO2010065957A2 (en) 2008-12-05 2010-06-10 Trustees Of Tufts College Vascularized living skin constructs and methods of use thereof
KR100992411B1 (ko) 2009-02-06 2010-11-05 (주)실리콘화일 피사체의 근접여부 판단이 가능한 이미지센서
WO2010126640A2 (en) 2009-02-12 2010-11-04 Trustees Of Tufts College Nanoimprinting of silk fibroin structures for biomedical and biophotonic applications
US20120052124A1 (en) 2009-03-04 2012-03-01 Trustees Of Tufts College Silk fibroin systems for antibiotic delivery
KR101706915B1 (ko) 2009-05-12 2017-02-15 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
US20120070427A1 (en) 2009-06-01 2012-03-22 Trustees Of Tufts College Vortex-induced silk fibroin gelation for encapsulation and delivery
EP2451953A4 (en) 2009-07-10 2013-07-03 Tufts College GEN-MANIPULATED SILK PROTEIN-BASED NUCLEIC ACID DELIVERY SYSTEMS
EP2453931A4 (en) 2009-07-14 2014-04-30 Tufts College WOUND HEALING SYSTEMS WITH AN ELECTRO-SPONSORED SILK MATERIAL
JP2012533780A (ja) 2009-07-20 2012-12-27 タフツ ユニバーシティー/トラスティーズ オブ タフツ カレッジ タンパク質のみからなる移植可能な吸収性反射体
US8293486B2 (en) 2009-07-21 2012-10-23 Trustees Of Tufts College Functionalization of silk material by avidin-biotin interaction
EP2474054A4 (en) 2009-08-31 2013-03-13 Tufts University Trustees Of Tufts College SILK-BASED TRANSISTOR DEVICES
WO2011038401A2 (en) 2009-09-28 2011-03-31 Trustees Of Tufts College Drawn silk egel fibers and methods of making same
IN2012DN02358A (ja) 2009-09-29 2015-08-21 Tufts College
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
EP2513953B1 (en) 2009-12-16 2017-10-18 The Board of Trustees of the University of Illionis Electrophysiology using conformal electronics
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US9057994B2 (en) 2010-01-08 2015-06-16 The Board Of Trustees Of The University Of Illinois High resolution printing of charge
TWI556802B (zh) 2010-03-12 2016-11-11 美國伊利諾大學理事會 在生物可再吸收基板上之可植入生物醫學裝置
KR101837481B1 (ko) 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US8562095B2 (en) 2010-11-01 2013-10-22 The Board Of Trustees Of The University Of Illinois High resolution sensing and control of electrohydrodynamic jet printing
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
EP2713863B1 (en) 2011-06-03 2020-01-15 The Board of Trustees of the University of Illionis Conformable actively multiplexed high-density surface electrode array for brain interfacing
WO2013010113A1 (en) 2011-07-14 2013-01-17 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
CN104472023B (zh) 2011-12-01 2018-03-27 伊利诺伊大学评议会 经设计以经历可编程转变的瞬态器件
KR20150004819A (ko) 2012-03-30 2015-01-13 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 표면에 상응하는 부속체 장착가능한 전자 장치
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10617300B2 (en) 2013-02-13 2020-04-14 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
US9875974B2 (en) 2013-03-08 2018-01-23 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
WO2014165686A2 (en) 2013-04-04 2014-10-09 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
JP6561368B2 (ja) 2013-04-12 2019-08-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 能動的及び受動的過渡性のための材料、電子システム、及びモード
US9087764B2 (en) * 2013-07-26 2015-07-21 LuxVue Technology Corporation Adhesive wafer bonding with controlled thickness variation
US10820862B2 (en) 2013-10-02 2020-11-03 The Board Of Trustees Of The University Of Illinois Organ mounted electronics
CN106463553A (zh) 2014-01-16 2017-02-22 伊利诺斯州大学信托董事会 基于印刷的多结、多端光伏装置
EP3179902B1 (en) 2014-08-11 2020-10-14 The Board of Trustees of the University of Illionis Epidermal device for analysis of temperature and thermal transport characteristics
WO2016025430A1 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
WO2016025468A2 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Devices and related methods for epidermal characterization of biofluids
US20170347891A1 (en) 2014-10-01 2017-12-07 The Board Of Trustees Of The University Of Illinois Thermal Transport Characteristics of Human Skin Measured In Vivo Using Thermal Elements
US11894350B2 (en) * 2014-10-31 2024-02-06 e Lux, Inc. Fluidic assembly enabled mass transfer for microLED displays
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
US20170020402A1 (en) 2015-05-04 2017-01-26 The Board Of Trustees Of The University Of Illinois Implantable and bioresorbable sensors
EP3304130B1 (en) 2015-06-01 2021-10-06 The Board of Trustees of the University of Illinois Alternative approach to uv sensing
US10677647B2 (en) 2015-06-01 2020-06-09 The Board Of Trustees Of The University Of Illinois Miniaturized electronic systems with wireless power and near-field communication capabilities
WO2017004576A1 (en) 2015-07-02 2017-01-05 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
US20180192952A1 (en) 2015-07-02 2018-07-12 The Board Of Trustees Of The University Of Illinois Fully implantable soft medical devices for interfacing with biological tissue
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US11154201B2 (en) 2016-04-01 2021-10-26 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10653342B2 (en) 2016-06-17 2020-05-19 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US11394720B2 (en) 2019-12-30 2022-07-19 Itron, Inc. Time synchronization using trust aggregation

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03220787A (ja) * 1990-01-26 1991-09-27 Yazaki Corp フレキシブル回路体とその製造方法
JPH06504139A (ja) * 1990-12-31 1994-05-12 コピン・コーポレーシヨン 表示パネル用の単結晶シリコン配列素子
JPH1126733A (ja) * 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
JP2003258211A (ja) * 2001-12-28 2003-09-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2003289136A (ja) * 2002-03-28 2003-10-10 Toshiba Corp アクティブマトリクス基板及びその製造方法、表示装置
JP2003323132A (ja) * 2002-04-30 2003-11-14 Sony Corp 薄膜デバイスの製造方法および半導体装置
WO2004043849A2 (fr) * 2002-11-08 2004-05-27 Commissariat A L'energie Atomique Procede de realisation d'un composant comportant un micro-joint et composant realise par ce procede
JP2006505418A (ja) * 2002-11-08 2006-02-16 コミサリア、ア、レネルジ、アトミク 微小接合部付きコンポーネントの製造方法及び該製造方法により製造されたコンポーネント

Also Published As

Publication number Publication date
WO2005122285A2 (en) 2005-12-22
KR20120135528A (ko) 2012-12-14
KR20070037484A (ko) 2007-04-04
KR20150083133A (ko) 2015-07-16
JP2015029131A (ja) 2015-02-12
US20170309733A1 (en) 2017-10-26
JP6049800B2 (ja) 2016-12-21
EP2650906A3 (en) 2015-02-18
MY189260A (en) 2022-01-31
CN102683391A (zh) 2012-09-19
EP2650907A2 (en) 2013-10-16
WO2005122285A3 (en) 2007-08-30
JP5703263B2 (ja) 2015-04-15
CN102097458B (zh) 2013-10-30
JP2012235133A (ja) 2012-11-29
US20200006540A1 (en) 2020-01-02
MY187019A (en) 2021-08-26
KR101368748B1 (ko) 2014-03-05
CN103633099B (zh) 2016-09-28
US20100072577A1 (en) 2010-03-25
US20160293794A1 (en) 2016-10-06
KR101746412B1 (ko) 2017-06-14
KR101307481B1 (ko) 2013-09-26
KR20140027424A (ko) 2014-03-06
US20130320503A1 (en) 2013-12-05
IL179784A0 (en) 2007-05-15
US11088268B2 (en) 2021-08-10
EP2650907A3 (en) 2014-10-08
JP5763690B2 (ja) 2015-08-12
HK1211742A1 (en) 2016-05-27
US8440546B2 (en) 2013-05-14
US7982296B2 (en) 2011-07-19
EP2650906A2 (en) 2013-10-16
KR20120049951A (ko) 2012-05-17
CN101120433B (zh) 2010-12-08
US7557367B2 (en) 2009-07-07
JP6049667B2 (ja) 2016-12-21
JP2012256892A (ja) 2012-12-27
JP5706369B2 (ja) 2015-04-22
CN102097458A (zh) 2011-06-15
EP2650905B1 (en) 2022-11-09
US9761444B2 (en) 2017-09-12
EP2650905A3 (en) 2014-10-01
JP2013118391A (ja) 2013-06-13
KR101260981B1 (ko) 2013-05-10
JP2008502151A (ja) 2008-01-24
HK1176742A1 (zh) 2013-08-02
KR101504579B1 (ko) 2015-03-23
US20060038182A1 (en) 2006-02-23
EP1759422A4 (en) 2011-04-06
TWI284423B (en) 2007-07-21
MY153233A (en) 2015-01-29
KR20130107372A (ko) 2013-10-01
MY151968A (en) 2014-07-31
US10374072B2 (en) 2019-08-06
KR101572992B1 (ko) 2015-12-11
US20210343862A1 (en) 2021-11-04
TW200618321A (en) 2006-06-01
US20160284544A1 (en) 2016-09-29
US9768086B2 (en) 2017-09-19
US20090294803A1 (en) 2009-12-03
US7622367B1 (en) 2009-11-24
CN104716170A (zh) 2015-06-17
EP1759422B1 (en) 2022-01-26
US8664699B2 (en) 2014-03-04
KR101429098B1 (ko) 2014-09-22
CN103646848B (zh) 2018-06-05
US20110220890A1 (en) 2011-09-15
CN103646848A (zh) 2014-03-19
EP2650905A2 (en) 2013-10-16
CN104716170B (zh) 2019-07-26
US9450043B2 (en) 2016-09-20
KR20130107373A (ko) 2013-10-01
CN103633099A (zh) 2014-03-12
US20140191236A1 (en) 2014-07-10
EP1759422A2 (en) 2007-03-07
MY161998A (en) 2017-05-31
JP2015201649A (ja) 2015-11-12
CN101120433A (zh) 2008-02-06
CN102683391B (zh) 2015-11-18

Similar Documents

Publication Publication Date Title
JP6049800B2 (ja) 印刷可能半導体素子を製造して組み立てるための方法及びデバイス

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170530

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170830

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20171219