TWI576715B - 非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統 - Google Patents

非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統 Download PDF

Info

Publication number
TWI576715B
TWI576715B TW104113718A TW104113718A TWI576715B TW I576715 B TWI576715 B TW I576715B TW 104113718 A TW104113718 A TW 104113718A TW 104113718 A TW104113718 A TW 104113718A TW I576715 B TWI576715 B TW I576715B
Authority
TW
Taiwan
Prior art keywords
dopant
dimensional
profile
mask
integrated circuit
Prior art date
Application number
TW104113718A
Other languages
English (en)
Other versions
TW201606546A (zh
Inventor
亞森 特泰瑞恩
湯瑪索 賽藍托
Original Assignee
希諾皮斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 希諾皮斯股份有限公司 filed Critical 希諾皮斯股份有限公司
Publication of TW201606546A publication Critical patent/TW201606546A/zh
Application granted granted Critical
Publication of TWI576715B publication Critical patent/TWI576715B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

Description

非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統 相關申請案交互參考
本申請案主張2014年6月25日提出申請之美國臨時申請案No.62/016,943、2014年6月13日提出申請之美國臨時申請案No.62/011,724、及2014年5月02日提出申請之美國臨時申請案No.61/987,766的權益。所有這些申請案併入供參考。
本發明之實施例係關於用以增進積體電路之表示法之間的轉換方法及系統。
本技術的一態樣係其上儲存有複數個指令的非暫態電腦可讀取媒體,當該等指令被處理器執行時,將接受處理之積體電路的第一表示法轉換成接受處理之積體電路的第二表示法,接受處理之積體電路的第二表示法相對於接受處理之積體電路的第一表示法還包括了添加的摻雜物,其所包含的指令執行: 實施在第一組處理條件之下的第一摻雜物之第一次添加的處理模擬,以產生第一摻雜物的一維橫向剖面及第一摻雜物的一維深度剖面;藉由在二維中以橫向擴散函數捲積對應於第一摻雜物的第一遮罩,從在第一組處理條件之下以第一遮罩添加第一摻雜物來產生二維的橫向摻雜物剖面,該橫向擴散函數係以來自該一維橫向剖面的散布資料所制定;以及藉由結合摻雜物的二維橫向剖面與摻雜物的一維深度剖面,從在第一組處理條件之下以該遮罩添加第一摻雜物來產生三維的摻雜物分布。
在一實施例中,該處理模擬係以不同於第一遮罩的另一遮罩來實施。
在一實施例中,該三維摻雜物分布保存第一摻雜物的量。
在一實施例中,第一組條件係處理結束的條件,其結合了接續於第一摻雜物之第一次添加之後的其它熱處理,其它的熱處理與第一摻雜物之另一次添加及另一摻雜物之添加至少其中一者相關聯。
在一實施例中,散布資料係使一維橫向剖面與至少一個誤差函數erf匹配所產生的參數。
在一實施例中,一維橫向剖面係選擇自該處模擬在表面深度的結果。
在一實施例中,場氧化物與硬遮罩氧化物的二維橫向摻雜物剖面不同,且場氧化物與硬遮罩氧化物的一維深度 剖面不同。
在一實施例中,橫向擴散函數係高斯函數。
在一實施例中,散布資料係標準差。
在一實施例中,以該遮罩所產生的該三維摻雜物分布係非長方形,具有在完整3D模擬之大約10%以內的結果。
在一實施例中,該遮罩所產生的三維摻雜物分布具有大約1-4微米的開口尺寸,具有在完整3D模擬之大約10%以內的結果。
在一實施例中,該資料處理器被進一步組構成:將第一遮罩分割成第二遮罩與第三遮罩,第二遮罩與諸如LOCOS遮罩的氧化物遮罩重疊,及第三遮罩不與諸如LOCOS的氧化物遮罩重疊,且以不同的橫向擴散函數與第二遮罩及第三遮罩實施個別的捲積。
本技術的一態樣係其上儲存有複數個指令的非暫態電腦可讀取媒體,當該等指令被處理器執行時,將接受處理之積體電路的第一表示法轉換成接受處理之積體電路的第二表示法,接受處理之積體電路的第二表示法相對於接受處理之積體電路的該第一表示法還包括了添加的摻雜物,其所包含的指令執行:實施在第一組處理條件之下的第一摻雜物之第一次添加的處理模擬,以產生第一摻雜物的一維橫向剖面及第一摻雜物的一維深度剖面;使用至少擴散方程式,從在第一組處理條件之下以對 應於第一摻雜物的第一遮罩添加第一摻雜物來產生二維的橫向摻雜物剖面,該擴散方程式係以來自一維橫向剖面的擴散長度資料所制定;以及藉由結合摻雜物的二維橫向剖面與摻雜物的一維深度剖面,從在第一組處理條件之下以該遮罩添加第一摻雜物來產生三維的摻雜物分布。
本技術的一態樣係其上儲存有複數個指令的非暫態電腦可讀取媒體,當該等指令被處理器執行時,將接受處理之積體電路的第一表示法轉換成接受處理之積體電路的第二表示法,接受處理之積體電路的第二表示法相對於接受處理之積體電路的第一表示法還包括了添加的摻雜物,其所包含的指令執行:存取第一摻雜物的一維橫向剖面與第一摻雜物的一維深度剖面,在第一組處理條件下之第一摻雜物之第一次添加的一維橫向剖面表示法;存取第一摻雜物的二維橫向摻雜物剖面,在第一組處理條件之下以對應於第一摻雜物的第一遮罩添加第一摻雜物的二維橫向摻雜物剖面表示法,其中,二維橫向摻雜物剖面係在二維中以橫向擴散函數捲積第一遮罩的結果,該橫向擴散函數係以來自一維橫向剖面的散布資料所制定;以及藉由結合摻雜物的二維橫向剖面與摻雜物的一維深度剖面,從在第一組處理條件之下以該遮罩添加第一摻雜物來產生三維的摻雜物分布。
本發明的另一態樣係用於模擬積體電路處理的系統,包含記憶體與耦接至記憶體的資料處理器。資料處理器被組構成將接受處理之積體電路的第一表示法轉換成接受處理之積體電路的第二表示法。接受處理之積體電路的第二表示法相對於接受處理之積體電路的該第一表示法還包括了添加的摻雜物。資料處理器執行本文所描述的步驟。
用於模擬積體電路處理之技術方法的另一態樣如本文之描述。
110‧‧‧計算系統
112‧‧‧匯流排子系統
114‧‧‧處理器子系統
116‧‧‧網路介面子系統
118‧‧‧通訊網路
120‧‧‧使用者介面輸出裝置
122‧‧‧使用者介面輸入裝置
124‧‧‧儲存子系統
126‧‧‧記憶體子系統
128‧‧‧檔案儲存子系統
130‧‧‧主隨機存取記憶體
132‧‧‧唯讀記憶體
圖1係改良之3D製造程序模擬的簡化處理流程。
圖2係更詳細顯示完成圖1之資料庫之步驟的簡化處理流程。
圖3係更詳細顯示實施圖1之2D處理模擬之步驟的簡化處理流程。
圖4顯示垂直的2D處理模擬,及產生測試的一維橫向與一維深度剖面的例子。
圖5顯示從測試的一維橫向剖面提取橫向散布參數。
圖6係更詳細顯示完成圖1之三維摻雜物分布之步驟的簡化處理流程。
圖7係更詳細顯示產生圖6之三維摻雜物分布之步驟的簡化處理流程。
圖8係可用來實施本發明之各態樣之計算系統的簡化方塊圖。
圖9係說明積體電路設計流程的簡化表示法。
圖10係顯示再混合擴散剖面之效果的一組曲線。
圖11係顯示再混合擴散剖面之效果的一組濃度曲線。
圖12顯示從一維橫向剖面所提取的衰變長度。
圖13a-13e顯示部分微影處理流程及二維平切片的透視圖。
圖14顯示與圖13a-13e有關的摻雜物初始濃度。
圖15a-c顯示藉由以高斯曲線捲積遮罩影像以產生二維橫向剖面的一實施例。
圖16顯示植入物之摻雜物濃度的三維網目。
圖17a-e顯示藉由使用以遮罩做為初始條件之擴散方程式的解來產生二維橫向剖面的一實施例。
圖18顯示植入之摻雜物濃度的二維網目。
圖19係更詳細顯示完成三維摻雜物分布之步驟的簡化處理流程,類似於圖6,但是根據擴散而非捲積。
【發明內容及實施方式】
以下所提出的描述將使任何熟悉此領域之習知技藝者能夠製造與使用本發明,且是在特定的應用與其需求的情況下提供。熟悉此領域之習知技藝者將很容易明瞭對所揭示之實施例的各種修改,且本文所定義的一般原理也可適用到其它的實施例及應用,不會偏離本發明的精神與範圍。因此,本發明並無意限制在所顯示的實施例,而是依 照與本文所揭示之原理與特徵相一致之最寬廣的範圍。
圖1係改良之3D製造程序模擬的簡化處理流程。
大體上,處理流程被劃分成建立處理資訊資料庫10與根據資料庫完成三維摻雜物分布20兩個步驟。更詳細說,在步驟10中,資料庫包括所有摻雜物之所有植入物的橫向散布參數與一維深度剖面。更詳細說,在步驟20中,根據資料庫與布局的遮罩來建立三維摻雜物分布。在圖2中以更多的步驟來顯示步驟10。在圖6中以更多的步驟來顯示步驟20。
圖2係簡化的處理流程,顯示完成圖1中資料庫之更詳細的步驟。
在22,挑選特定摻雜物的特定植入物。植入物可以是周期表上的元素或這些元素的化合物。或者,此步驟可以是特定氧化步驟之挑選。
在24,為特定摻雜物的特定植入物實施二維處理模擬。或者,可為特定的氧化實施二維處理模擬。圖3更詳細顯示步驟24。在另一實施例中,實施三維處理模擬,並提取二維垂直切片,其為實施二維處理模擬的另一方法,不過要花費更多的計算。
在26,從二維處理模擬提取一維橫向剖面。在28,從二維處理模擬提取一維深度剖面。例子顯示於圖4。在一實施例中,二維處理模擬可以是獨立的模擬,以便從不同的二維處理模擬中提取一維橫向剖面與一維深度剖面。不過,從相同的二維處理模擬提取一維橫向剖面與一維深 度剖面之實施例所需的處理資源較少。兩者中任一個實施例都是實施處理模擬以產生摻雜物之一維橫向剖面與第一摻雜物之一維深度剖面的例子。
在30,從一維橫向剖面提取橫向散布參數。例子顯示於圖5。
在32,將橫向散布剖面與一維深度剖面儲存在一或多個資料庫中。在另一實施例中,儲存一維橫向剖面以取代橫向散布參數,或除了橫向散布參數再儲存一維橫向剖面。如果儲存了一維橫向剖面,則橫向散布參數可延後到較後的步驟再提取,但不會晚於當需要橫向散布參數時。
在34,處理流程迴路為相同摻雜物的其它植入物返回。
在36,處理流程迴路為其它的摻雜物返回。
在另一實施例中,該處理至少部分交錯,及/或至少部分同時實施,以計算相同摻雜物或不同摻雜物的不同植入物。
在38,為所有摻雜物之所有植入物及所有氧化完成橫向散布參數與一維深度剖面的資料庫。在又另一實施例中,資料庫儲存二維處理模擬,且於稍後提取橫向與垂直剖面及橫向散布參數。
各不同實施例之處理流程中的步驟都可重排序、加入、移除、或改變。
圖3係簡化的處理流程,更詳細顯示實施圖1中之2D處理模擬的步驟。
在42,為特定摻雜物的特定植入物產生處理結束的條件。另者,為特定的氧化步驟產生處理結束的條件。處理結束條件不僅要考慮緊接在特定摻雜物之特定植入物後之熱處理的溫度與持續時間,還要考慮後續熱處理的溫度與持續時間。
在44,使用測試結構來產生測試橫向與測試深度剖面。在一例中,測試結構係根據基本的多邊形形狀,諸如長方形遮罩。遮罩的長方形開孔產生足夠的資料供提取測試橫向與測試深度剖面。其它的實施例具有不同大小或形狀的遮罩開孔。除了被提取的測試橫向與測試深度剖面之外,其餘的二維模擬結果都丟棄。雖然也可使用更複雜的遮罩,但此等複雜的遮罩需要更複雜的二維模擬,其對於提取測試橫向與測試深度剖面之目的並非必要。
整個模擬的不同階段使用不同的遮罩。步驟44使用第一遮罩來產生基本資料。此整個技術的最終結果係利用以第一遮罩所獲得的基本資料,從通過第二遮罩添加摻雜物來模擬二維摻雜分布。第一與第二遮罩不相同。
在46,以來自44的測試結構在來自42的處理結束條件下實施二維模擬。
圖4顯示垂直的2D處理模擬,及產生測試的一維橫向及一維深度剖面的例子。
圖中顯示例示之測試的一維橫向剖面52與例示之測試的一維深度剖面。此二維處理模擬的目的係為特定摻雜物的特定植入物產生測試的一維橫向與測試的一維深度剖 面。因此,此二維處理模擬之剩餘的結果可以丟棄。
例示之測試的一維橫向剖面52係沿著基板的表面取得。例示之測試的一維深度剖面一般取自遮罩開孔之大約中間的位置。二維切片圖並未顯示遮罩開孔的右部分,其可利用對稱性而於模擬期間予以省略,以快速得到模擬結果。
圖5顯示從測試的一維橫向剖面提取橫向散布參數的例子。
該曲線圖包括提取自各個二維處理模擬的橫向剖面66及68。在一實施例中,橫向散布參數係藉由曲線匹配以下形式的誤差函數erf而提取自橫向剖面66及68,含有用於最大濃度的另一個定比常數(未顯示):
在此情況,各個橫向散布參數為標準差,係在實施了erf(x)與各不同之候選標準差之間的曲線匹配之後所提取。62對66的曲線匹配為橫向剖面66產生橫向散布參數。64對68的曲線匹配為橫向剖面68產生橫向散布參數。橫向散布參數σ之單一個量描繪了整條曲線的特徵。
圖6係簡化的處理流程,更詳細顯示完成圖1中三維摻雜物分布之步驟。
在72,挑選特定摻雜物的特定植入物。此與圖2之步驟22係同組的摻雜物與同組的植入物。或著,如圖2之步驟22挑選特定的氧化。
在74,存取資料庫的橫向散布參數。橫向散布參數係於圖2之32存入資料庫中。
在76,從橫向散布剖面產生二維橫向函數。在一實施例中,於圖2之30所提取的橫向散布參數(例如,標準差)被用於以下形式的高斯函數,含另一個定比常數(未顯示):
在78,以遮罩捲積二維橫向函數來為特定摻雜物的特定植入物產生二維橫向剖面。另者,以遮罩捲積二維橫向函數來為特定的氧化產生二維橫向剖面。
或者,經由另一類型之以高斯函數解析植入物以得到二維橫向剖面。不過解析植入物通常需要計算密集的三維網目,
在80,從資料庫提取一維深度剖面。一維深度剖面係於圖2的32儲存在資料庫中。
在82,從二維橫向剖面與一維深度剖面產生三維摻雜物分布。
在84,處理流程為相同摻雜物的其它植入物返回。
在86,處理流程為其它摻雜物返回。
在另一實施例中,處理至少部分地交錯、及/或至少部分同時地為相同摻雜物或不同摻雜物的不同植入物計算。
在88,為所有摻雜物之所有植入及所有氧化完成三 維摻雜物分布。
各不同實施例中之處理流程的各步驟都可重排序、增加、移除、或改變。
在某些實施例中,在氧化(諸如LOCOS)與活性區之下的植入物行為會有所不同。因此,給定的植入物可劃分成兩個遮罩:植入物的第一遮罩,與諸如LOCOS的氧化重疊,以及其餘部分的第二遮罩。
在一例中,隔離遮罩與植入遮罩的軟體表示法經處理後得到隔離遮罩與植入遮罩之交集的軟體表示法。布林AND運算或"*"產生交集,交集區=隔離遮罩*植入遮罩。此交集區指示植入時離子命中隔離氧化物(諸如STI或LOCOS)之處。植入遮罩減去隔離遮罩指示裝置暴露於離子束的活性區,例如,活性區=植入遮罩-隔離遮罩。交集區與活性區以不同的一維深度剖面及所得到的三維摻雜物分布來處理,儘管代表相同的摻雜物。
更一般來說,遮罩的此布林乘法可考慮阻擋植入物之材料的遮蔽特性。另一例是考慮閘極的遮蔽特性,諸如複晶矽閘極,例如,對於LDD植入物,藉由從交集區與活性區減去閘極區。另一例是考慮間隔物的遮蔽特性,諸如氮化物間隔物(諸如當間隔物延伸閘極遮罩時),例如,藉由從交集區與活性區減去間隔物區。
在另一實施例中,具有稍微不同劑量的裝置,諸如NWELL劑量,可按以下不同的方式模擬到諸如+/-10%:重調整舊劑量資料的比例,而不必實施更多的處理模擬, 或以改變後的植入物劑量實施更多的處理模擬。
在另一實施例中,模擬產生二維剖面。為產生二維摻雜物分布,以一維橫向剖面乘以一維深度剖面。係藉由以一維高斯函數捲積遮罩的一維切片而產生一維橫向剖面。二維摻雜物分布對應於遮罩之一維切片下方的區域。
一維高斯函數具有以下形式,含另一個定比常數(未顯示):
圖7係簡化的處理流程,更詳細顯示產生圖6之三維摻雜物分布之步驟。
在92,藉由二維橫向剖面與一維深度剖面相乘而為特定摻雜物的特定植入物產生三維剖面。
在94,藉由邊界模倣產生三維邊界結構。
在96,將三維剖面內插到三維邊界結構的網目之上。
在98,為特定摻雜物的特定植入物完成三維結構。或者,為特定的氧化完成三維結構。
計算系統
圖8係計算系統110的簡化方塊圖,其可用來實施結合本發明之各態樣的軟體。雖然本文顯示了執行指定操作的各個步驟,但須瞭解,實際上,每一步驟係以致使計算系統110按指定方法操作之軟體指令來實施。用來實施特 定步驟之軟體指令與資料的群組,連同能夠執行此些軟體指令之計算系統的處理子系統及其它組件,構成實施特定步驟的模組。
典型上,計算系統110包括處理器子系統114,其經由匯流排子系統112與若干個周邊裝置通訊。這些周邊裝置可包括包含記憶體子系統126與檔案儲存子系統128的儲存子系統124,其、使用者介面輸入裝置122、使用者介面輸出裝置120、及網路介面子系統116。輸入與輸出裝置允許使用者與計算系統110互動。網路介面子系統116提供與外部網路的介面,包括到通訊網路118的介面,並經由通訊網路118耦接到其它計算系統中之對應的介面裝置。通訊網路118可包含很多互連的計算系統與通訊鏈結。這些通訊鏈結可以是有線鏈結、光學鏈結、無線鏈結、或用於資訊之通訊的任何其它機制。雖然在一實施例中,通訊網路118係網際網路,但在其它實施例中,通訊網路118可以是任何適合的電腦網路。
網路介面的實體硬體組件有時稱為網路介面卡(NIC),雖然它們並不需要具有卡的形式:例如,它們可能是積體電路(IC)的形式,且連接器直接適配於主機板之上,或是巨型單元之形式,與計算系統的其它組件一同製造在積體電路晶片上。
使用者介面輸入裝置122可包括鍵盤;指標裝置,諸如滑鼠、軌跡球、觸控板、繪圖板、掃瞄器、結合於顯示器內的觸控螢幕;音頻輸入裝置,諸如語音辨識系統、麥 克風;及其它類型的輸入裝置。一般言之,使用“輸入裝置”一詞意欲包括將資訊輸入到計算系統110內或通訊網路118上之所有可能的裝置類型及方法。
使用者介面輸出裝置120可包括顯示子系統、印表機、傳真機、或非目視顯示器,諸如音頻輸出裝置。顯示子系統可包括陰極射線管(CRT);平面面板裝置,諸如液晶顯示器(LCD)、投影裝置、或用於產生可視影像的某些其它機制。顯示子系統也可提供非目視顯示,諸如經由音頻輸出裝置。一般言之,使用名詞“輸出裝置”意欲包括從計算系統110將資訊輸出給使用者或其它機器或計算系統之所有可能的裝置類型及方法。
儲存子系統124儲存用以提供本發明某些實施例之功能的基本編程與資料構造。例如,實施本發明某些實施例之功能的各種模組可儲存在儲存子系統124中。某些例子係用於根據提取自一維測試橫向剖面之橫向散布參數來產生三維摻雜物分布或三維氧化的工具;以及用於產生一維垂直剖面及橫向散布參數之資料庫的工具。這些軟體模組一般係由處理器子系統114來執行。儲存子系統124也代表電腦系統可存取的儲存器,本文提及的各種資料庫儲存於其上。儲存子系統124可儲存一維垂直剖面與橫向散布參數的資料庫;以及用於產生一維垂直剖面與橫向散布參數之資料庫的工具。或者,存取一維垂直剖面與橫向散布參數之本地或遠端資料庫,或結合產生與存取資料庫兩者的工具。在另一實施例中,某些或全部資料庫都位於計算 系統經由網路118可存取的儲存器上。
典型上,記憶體子系統126包括若干個記憶體,包括有主隨機存取記憶體(RAM)130,於程式執行期間用以儲存指令與資料,以及其中儲存固定指令的唯讀記憶體(ROM)132。檔案儲存子系統128提供程式與資料檔案的永久儲存,且可包括硬式磁碟機、軟式磁碟機連同相關的抽取式媒體、CD ROM碟機、光碟機、或抽取式媒體匣。實施本發明某些實施例之功能的資料庫與模組可提供於電腦可讀取媒體上,諸如一或多片CD-ROM,且可藉由檔案儲存子系統128來儲存。除此之外,主記憶體126還包含電腦指令,當被處理器子系統114執行時,致使電腦系統操作或實施本文所描述的功能。如本文中所使用,在“主機”或“電腦”內或在其上運行的處理與軟體,回應主記憶體子系統126中之電腦指令及資料在處理器子系統114上執行,該主記憶體子系統126包括用於儲存此等指令及資料之任何其它的本地或遠端儲存器。
匯流排子系統112提供讓計算系統110之各不同組件及子系統按預期彼此通訊的機制。雖然概圖中顯示的匯流排子系統112是單匯流排,但在另些匯流排子系統的實施例中可以使用多匯流排。
計算系統110其本身可以是各種不同的類型,包括個人電腦、攜行式電腦、工作站、電腦終端機、網路電腦、電視、大型電腦、伺服器場、或任何其它的資料處理系統或使用者裝置。由於電腦與網路的本質不斷在改變,因 此,描繪於圖8之計算系統110的描述僅意欲為一特定的例子,其目的是說明本發明的某些實施例。計算系統110可以是很多其它的組構,具有比圖8中所描繪之計算系統更多或更少組件。
積體電路製造流程
圖9顯示說明結合本發明技術特徵之積體電路設計流程的簡化表示法。在上層中,處理流程從產品構想(步驟200)開始,並在電子設計自動化(EDA)軟體設計程序中實現(步驟210)。當設計定案時,其可投片試產(步驟240)。在投片試產之後,發生製造程序(步驟250)及封裝與組合程序(步驟260),導致最終完成的積體電路晶片(步驟270)。
EDA軟體設計程序(步驟210)實際上是由若干個步驟212-230所組成,以直線方式簡單顯示。在實際的積體電路設計程序中,特定的設計必須返回數個步驟直到某些測試通過為止。同樣地,在任何實際的設計程序中,這些步驟可按不同的次序與組合發生。因此,此描述係經由上下文與一般的解釋提供,而非用於特定積體電路之明確或推薦的設計流程。
現在提供EDA軟體設計程序(步驟210)之組成步驟的簡單描述。
系統設計(步驟212):設計者描述他們想要實施的功能,其可實施若-則規劃以精進功能、檢查成本等。硬 體-軟體架構劃分可發生於此階段。此步驟可使用Synopsys公司的例示EDA軟體產品,包括Architect、Saber、System Studio、及DesignWare®等產品。
邏輯設計與功能確認(步驟214):在此階段,撰寫系統中之模組的集成電路硬體描述語言(VHDL)或Verilog碼,並檢查設計的功能準確度。更明確地說,檢查該設計以確保其反應特定的輸入刺激能產生正確的輸出。此步驟可使用Synopsys公司的例示EDA軟體產品,包括VCS、VERA、DesignWare®、Magellan、Formality、ESP、及LEDA等產品。
為測試進行合成與設計(步驟216):在此,VHDL/Verilog被轉換成淨表列。該淨表列可為目標技術最佳化。此外,測試的設計與實施以允許發生完成之晶片的檢查。此步驟可使用Synopsys公司的例示EDA軟體產品,包括Design Compiler®、Physical Compiler、Test Compiler、Power Compiler、FPGA Compiler、Tetramax、及DesignWare®等產品。
淨表列確認(步驟218):在此步驟,為了與時序限制相容並與VHDL/Verilog源碼一致而檢查淨表列。此步驟可使用Synopsys公司的例示EDA軟體產品,包括Formality、PrimeTime、與VCS等產品。
設計規劃(步驟220):在此建構晶片之整體平面佈置,並分析時序與頂層的選路。此步驟可使用Synopsys公司的例示EDA軟體產品,包括Astro與IC編譯器產品。
實體實施(步驟222):在此步驟發生配置(電路元件之定位)與選路(電路元件的連接)。此步驟可使用Synopsys公司的例示EDA軟體產品,包括Astro與IC編譯器產品。
分析與擷取(步驟224):在此步驟確認電晶體層級的電路功能,此依次允許若-則改進。此步驟可使用Synopsys公司的例示EDA軟體產品,包括AstroRail、PrimeRail、Primetime、及Star RC/XT等產品。
實體確認(步驟226):在此步驟實施各種的檢查功能以確保:製造、電問題、微影蝕刻問題、及電路等的正確性。此步驟可使用Synopsys公司的例示EDA軟體產品,包括Hercules產品。
投片試產(步驟227):此步驟提供“投片試產”資料用以生產微影蝕刻所用的光罩,以用來製造完成的晶片。在此步驟可使用來自Synopsys公司的例示EDA軟體產品,包括CATS(R)系列的產品。
解析度增強(步驟228):此步驟包括佈局的幾何調處,以改進設計的可製造性。此步驟可使用Synopsys公司的例示EDA軟體產品,包括ProteusAF、及PSMGen等產品。
光罩資料準備(步驟230):此步驟提供“投片試產”資料用以生產微影蝕刻所用的光罩,以用來製造完成的晶片。此步驟可使用Synopsys公司的例示EDA軟體產品,包括CATS(R)系列的產品。
典型的積體電路製造流程也包括以下的平行流程:
(1)開發用於製造積體電路的各個處理步驟。此可用Synopsys工具“Sentaurus Process”、“Sentaurus Topography”、及“Sentaurus Lithography”來模型化。在此,輸入資訊包括光罩或佈局資訊,及諸如溫度、反應器環境、植入能量等處理條件。輸出資訊係最終的幾何或摻雜剖面或應力分布。在製造流程的此步驟中可使用本發明之態樣。
(2)將各個處理步驟整合到完整的處理流程中。此可用Synopsys工具“Sentaurus Process”來模型化。在此,輸入資訊包括佈局資訊及按適當的順序收集處理步驟。輸出包括幾何、摻雜剖面、以及,電晶體及電晶體與電晶體間之空間的應力分布。在製造流程的此步驟中也可使用本發明之態樣。
(3)分析以此處理流程所製造之電晶體的性能。此可用Synopsys工具“Sentaurus Device”來完成。在此,輸入資訊包括步驟(2)的輸出及施加到電晶體終端的偏壓。輸出資訊包括每一種偏壓組合的電流與電容。
(4)如有需要,修改處理步驟及處理流程,以獲得所想要的電晶體性能。此可使用上述的Synopsys工具迭代地完成。
處理流程一旦準備就緒,就可用來製造來自不同公司之各設計者的多種電路設計。EDA流程212-230供這些設計者使用。在此所描述的平行流程則是在晶元代工廠使 用,用來開發可用於製造來自設計者之設計的處理流程。處理流程與來自步驟230所製作的光罩相結合,即可用來製造任何特定的電路。如果設計者在不同的公司,例如無廠的半導體設計公司,則通常是由晶元代工廠實施此平行處理流程,然而,圖9的處理步驟典型上是由無廠的公司實施。如果積體電路是在IDM(整合式裝置製造商)公司製造,而非無廠公司與晶圓代工廠的組合,則上述的兩平行流程都在相同的IDM公司內完成。
這些工具與212-230 EDA工具之間也有橋接。該橋接係Synopsys工具“Seismos”,其對特定的電路設計與佈局施加緊密近接模型(compact proximity model),以得到電路中各個電晶體每一個以其鄰域與應力(包括材料轉化應力)為函數之實例參數的淨表列。分析步驟224使用此淨表列。
申請人等特此以分離之方式揭示本文所描述之每一個單獨的特徵及兩或多個這些特徵的任何組合,總的來說,按照熟悉此方面技藝者的一般常識,已達到有能力根據本說明書執行這些特徵或這些特徵之組合的程度,無論這些特徵或這些特徵之組合解決本文所揭示之任何問題,且不對申請專利範圍的範圍造成限制。申請人等指出,本發明之態樣可由任何這些特徵或這些特徵之組合構成。由於以上的描述,熟悉此方面技藝者將可明白,在本發明的範圍內可做各種不同的修改。
圖10係顯示再混合擴散剖面之效果的一組曲線。
圖11係顯示對應於圖10之再混合擴散剖面之效果的一組濃度曲線。
再混合操作用來應付出現於活性區與隔離區間之過渡區中的摻雜異常生成物。
例子顯示具有間隔物重疊於STI(淺溝隔離氧化物)的閘極。在圖10中,在再混合結束前位於STI底部的剖面1002指示在STI區域(典型的氧化物)內的活性摻雜濃度為零,這是因為摻雜物在絕緣體內無活性。活性剖面1001一直延伸到矽表面。當使用此兩剖面1001與1002來合併活性區與隔離剖面時,就會導致圖11的異常生成物1105與1106,圖中顯示STI邊緣附近的活性摻雜物濃度不正常下降,從STI下方的大約1017cm-3,到矽正下方之STI兩側的大約5 x 1016cm-3。藉由延伸剖面1004直到矽表面並使用此剖面來合併活性區與隔離剖面1003與1004,此異常生成物被解決,如圖11之1107與1108所示。所得到的一維剖面在STI邊緣附近的活性摻雜物濃度沒有不正常的下降。
以下討論產生二維橫向剖面的替代方案。
圖12顯示從一維橫向剖面所提取的衰變長度。
例子顯示不同摻雜物硼與砷的橫向剖面與各自的散布參數,在此情況,衰變長度以誤差函數表示。在圖12中,剖面164係硼之模擬的橫向剖面,及168係硼之適配的橫向剖面。剖面162係砷之模擬的橫向剖面,及166係砷之適配的橫向剖面。使用以下的一維適配剖面:
圖13a-13d使用以下數個圖來顯示部分微影處理流程的透視圖。在圖13a中,以微影處理形成遮蓋區域302但不遮蓋區域304的光阻。在圖13b中,蝕刻未被光阻罩蓋之區域304中的矽,產生被蝕刻的區域306。在圖13c中,將光阻剝除,留下經剝除的區域308與被蝕刻的區域306。在圖13d中,在經蝕刻的區域306中沉積氧化物,以形成氧化物區310。圖13e顯示圖13d之平坦的二維切片,具有經剝除的區域308與氧化物區310。
圖14顯示與圖13a-d之微影處理及圖13e之平坦二維切片有關的初始摻雜物濃度。在擴散前,在氧化物區410中之硼的濃度為1016cm-3,且在剝除區的矽408中為零。
圖15-16顯示產生二維橫向剖面的一實施例,其中,為了產生二維橫向剖面而產生三維網目。
圖15a顯示銳利的光罩影像,其指示捲積技術之良好的結果。光罩通常可分解成諸如圖15a的基本形狀。圖15b顯示二維橫向函數,在此情況為高斯函數,用以代表摻雜物的移動,具有以下形式:
其中,標準差σ係擴散長度DL除以2的平方根。
為產生二維橫向剖面,以二維橫向函數捲積二維光罩或得自光罩的二維光阻。圖15c顯示高斯模糊的捲積結 果。
圖16顯示三維網目與藉由高斯模糊所決定之位於三維網目之不同深度處的植入摻雜物濃度。三維網目之標度以峰值濃度為1正常化。
圖17-18顯示產生二維橫向剖面的另一例,其中,為了產生二維橫向剖面,可產生二維網目而非三維網目。
圖17a顯示銳利的光罩影像,其指示擴散技術之良好的結果。光罩通常可分解成諸如圖17a的基本形狀。圖17b顯示用以代表摻雜物之移動的擴散方程式,其具有以下的形式:一維擴散公式如下:
其中,D為擴散常數。
使用擴散方程式的解來產生二維橫向剖面。圖17c顯示以圖17a之銳利光罩影像所代表之擴散為初始條件所產生之擴散方程式的解。
在例中,在光罩平面中解1秒鐘的擴散方程式。假如擴散係數為常數且等於擴散長度(DL)的1/4,則與圖15-16之解析植入的替代方案具有相同的分布結果。
一維擴散方程式的解如下:
雖然為了方便而選擇1秒的時間,但擴散係數與等於擴散長度之擴散時間之乘積是更具決定性的結果。
二維擴散公式如下:
二維擴散公式呈現與一維擴散公式類似的解,但具有圓柱形分布。
圖18顯示由擴散方程式之解所決定的植入摻雜物濃度的二維網目。其二維網目之標度以峰值濃度為1來正常化。
捲積與擴散方程式兩方法可得到相同的結果,但以後者較佳,由於後者不需要三維網目,因此其自然較快且更強固。
圖19係更詳細顯示完成圖1之三維摻雜物分布之步驟的簡化處理流程,類似於圖6,但是根據擴散而非捲積。
圖6與19之間的處理流程類似。不過,在76,二維橫向函數係根據擴散方程式的解。在79,二維橫向剖面係產生自二維橫向函數,二維橫向函數可以根據對擴散方程式的解而非捲積來產生二維橫向剖面,而根據光阻層之光罩的初始摻雜物條件係根據用於特定摻雜物之特定植入物的光罩或用於特定氧化之光罩。
基於說明與描述之目的,已提供以上對本發明之較佳實施例的描述。其無意窮舉或將本發明限制在與所揭示之絲毫不差的形式。很明顯,熟悉此方面技藝者將可明瞭許 多的修改與衍生。此外,且不限於,本專利申請案之背景節所描述、建議或併入供參考的任何及所有衍生,明確地併入本文所描述之本發明之實施例供參考。此外,關於任何一個實施例之描述、建議或併入本文供參考的任何及所有衍生,也視為關於所有其它實施例之教導。為了對本發明之原理與它的實際應用做最佳的解釋,本文所描述的實施例經過選擇與描述,藉以使熟悉其它方面技藝者也能夠瞭解本發明的各不同實施例,及各種不同的修改,以適合特定的使用考量。本發明之範圍意欲藉由以下的申請專利與其相等物來界定。

Claims (17)

  1. 一種非暫態電腦可讀取媒體,其上儲存有複數個指令,當該等指令被處理器執行時,將接受處理之積體電路的第一表示法轉換成接受處理之該積體電路的第二表示法,接受處理之該積體電路的該第二表示法相對於接受處理之該積體電路的該第一表示法還包括了添加的摻雜物,該非暫態電腦可讀取媒體包含的該指令執行:實施在第一組處理條件之下的第一摻雜物之第一次添加的處理模擬,以產生該第一摻雜物的一維橫向剖面及該第一摻雜物的一維深度剖面;藉由在二維中以橫向擴散函數捲積對應於該第一摻雜物的第一遮罩,從在該第一組處理條件之下以該第一遮罩添加該第一摻雜物來產生二維橫向摻雜物剖面,該橫向擴散函數係以來自該一維橫向剖面的散布資料所制定;以及藉由結合該摻雜物的該二維橫向摻雜物剖面與該摻雜物的該一維深度剖面,從在該第一組處理條件之下以該遮罩添加該第一摻雜物來產生三維的摻雜物分布。
  2. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該處理模擬係以不同於該第一遮罩的另一遮罩來實施。
  3. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該三維摻雜物分布保存該第一摻雜物的量。
  4. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該第一組條件係處理結束的條件,其結合了接 續於該第一摻雜物之該第一次添加之後的其它熱處理,該等其它的熱處理與該第一摻雜物之另一次添加與另一摻雜物之添加至少其中一者相關聯。
  5. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該散布資料係使該一維橫向剖面與至少一個誤差函數erf匹配所產生的參數。
  6. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該一維橫向剖面係選擇自該處模擬在表面深度的結果。
  7. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,場氧化物與硬遮罩氧化物的該二維橫向摻雜物剖面不同,且場氧化物與硬遮罩氧化物的該一維深度剖面不同。
  8. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該橫向擴散函數係高斯函數。
  9. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該散布資料係標準差。
  10. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,以該遮罩所產生的該三維摻雜物分布係非長方形,具有在完整3D模擬之10%以內的結果。
  11. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,以該遮罩所產生的該三維摻雜物分布具有大約1-4微米的開口尺寸,具有在完整3D模擬之10%以內的結果。
  12. 如申請專利範圍第1項之非暫態電腦可讀取媒體,其中,該等指令進一步實施:將該第一遮罩分割成第二遮罩與第三遮罩,該第二遮罩與LOCOS遮罩重疊,及該第三遮罩不與LOCOS遮罩重疊,且以不同的橫向擴散函數與該第二遮罩及該第三遮罩實施個別的捲積。
  13. 一種用於模擬積體電路處理的系統,包含:記憶體;以及資料處理器,耦接至該記憶體,該資料處理器被組構成將接受處理之積體電路的第一表示法轉換成接受處理之該積體電路的第二表示法,接受處理之該積體電路的該第二表示法相對於接受處理之該積體電路的該第一表示法還包括了添加的摻雜物,該資料處理器實施:實施在第一組處理條件下之的第一摻雜物之第一次添加的處理模擬,以產生該第一摻雜物的一維橫向剖面及該第一摻雜物的一維深度剖面;藉由以橫向擴散函數在二維中捲積對應於該第一摻雜物的第一遮罩,從在該第一組處理條件之下以該第一遮罩添加該第一摻雜物來產生二維橫向摻雜物剖面,該橫向擴散函數係以來自該一維橫向剖面的散布資料所制定;以及藉由結合該摻雜物的該二維橫向摻雜物剖面與該摻雜物的該一維深度剖面,從在該第一組處理條件之下以該遮罩添加該第一摻雜物來產生三維的摻雜物分布。
  14. 一種用於模擬積體電路處理的系統,包含:記憶體;以及資料處理器,耦接至該記憶體,該資料處理器被組構成將接受處理之積體電路的第一表示法轉換成接受處理之該積體電路的第二表示法,接受處理之該積體電路的該第二表示法相對於接受處理之該積體電路的該第一表示法還包括了添加的摻雜物,該資料處理器實施:實施在第一組處理條件下之的第一摻雜物之第一次添加的處理模擬,以產生該第一摻雜物的一維橫向剖面及該第一摻雜物的一維深度剖面;至少使用擴散方程式的第一解,從在該第一組處理條件之下以對應於該第一摻雜物的第一遮罩添加該第一摻雜物來產生二維橫向摻雜物剖面,該擴散方程式的該第一解係以來自該一維橫向剖面的擴散長度資料所制定;以及藉由結合該摻雜物的該二維橫向摻雜物剖面與該摻雜物的該一維深度剖面,從在該第一組處理條件之下以該遮罩添加該第一摻雜物來產生三維的摻雜物分布。
  15. 一種非暫態電腦可讀取媒體,其上儲存有複數個指令,當該等指令被處理器執行時,將接受處理之積體電路的第一表示法轉換成接受處理之該積體電路的第二表示法,接受處理之該積體電路之該第二表示法相對於接受處理之該積體電路之該第一表示法還包括了添加的摻雜物,該非暫態電腦可讀取媒體包含的該指令執行: 實施在第一組處理條件下之的第一摻雜物之第一次添加的處理模擬,以產生該第一摻雜物的一維橫向剖面及該第一摻雜物的一維深度剖面;使用至少一個擴散方程式,從在該第一組處理條件之下以對應於該第一摻雜物的第一遮罩添加該第一摻雜物來產生二維橫向摻雜物剖面,該擴散方程式係以來自該一維橫向剖面的擴散長度資料所制定;以及藉由結合該摻雜物的該二維橫向摻雜物剖面與該摻雜物的該一維深度剖面,從在該第一組處理條件之下以該遮罩添加該第一摻雜物來產生三維的摻雜物分布。
  16. 一種非暫態電腦可讀取媒體,其上儲存有複數個指令,當該等指令被處理器執行時,將接受處理之積體電路的第一表示法轉換成接受處理之該積體電路的第二表示法,接受處理之該積體電路之該第二表示法相對於接受處理之該積體電路之該第一表示法還包括了添加的摻雜物,該非暫態電腦可讀取媒體包含的該指令執行:存取該第一摻雜物的一維橫向剖面與該第一摻雜物的一維深度剖面,在該第一組處理條件下之的該第一摻雜物之該第一次添加的表示法;存取該第一摻雜物的二維橫向摻雜物剖面,在該第一組處理條件下以對應於該第一摻雜物的第一遮罩添加該第一摻雜物的該二維橫向摻雜物剖面表示法,其中,二維橫向摻雜物剖面係在二維中以橫向擴散函數捲積該第一遮罩的結果,該橫向擴散函數係以來自該一維橫向剖面的散布 資料所制定;以及藉由結合該摻雜物的該二維橫向摻雜物剖面與該摻雜物的該一維深度剖面,從在該第一組處理條件之下以該遮罩添加該第一摻雜物來產生三維的摻雜物分布。
  17. 一種用於模擬積體電路處理的系統,包含:記憶體;以及資料處理器,耦接至該記憶體,該資料處理器被組構成將接受處理之積體電路的第一表示法轉換成接受處理之該積體電路的第二表示法,接受處理之該積體電路的該第二表示法相對於接受處理之該積體電路的該第一表示法還包括了添加的摻雜物,該資料處理器實施:存取該第一摻雜物的一維橫向剖面與該第一摻雜物的一維深度剖面,在該第一組處理條件下之該第一摻雜物之該第一次添加的一維橫向剖面表示法;存取該第一摻雜物的二維橫向摻雜物剖面,在該第一組處理條件下以對應於該第一摻雜物的第一遮罩添加該第一摻雜物的該二維橫向摻雜物剖面表示法,其中,二維橫向摻雜物剖面係至少使用擴散方程式之第一解的結果,該擴散方程式的該第一解係以來自該一維橫向剖面的擴散長度資料所制定;以及藉由結合該摻雜物的該二維橫向摻雜物剖面與該摻雜物的該一維深度剖面,從在該第一組處理條件之下以該遮罩添加該第一摻雜物來產生三維的摻雜物分布。
TW104113718A 2014-05-02 2015-04-29 非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統 TWI576715B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461987766P 2014-05-02 2014-05-02
US201462011724P 2014-06-13 2014-06-13
US201462016943P 2014-06-25 2014-06-25

Publications (2)

Publication Number Publication Date
TW201606546A TW201606546A (zh) 2016-02-16
TWI576715B true TWI576715B (zh) 2017-04-01

Family

ID=54355419

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104113718A TWI576715B (zh) 2014-05-02 2015-04-29 非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統

Country Status (6)

Country Link
US (1) US10410862B2 (zh)
EP (1) EP3138028A4 (zh)
JP (1) JP6393407B2 (zh)
CN (1) CN106415553B (zh)
TW (1) TWI576715B (zh)
WO (1) WO2015168273A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107735864B (zh) 2015-06-08 2021-08-31 美商新思科技有限公司 衬底和具有3d几何图形上的2d材料沟道的晶体管
KR102580947B1 (ko) * 2018-06-29 2023-09-20 삼성전자주식회사 추출된 모델 파라미터를 이용하여 집적 회로를 설계하기 위한 컴퓨팅 시스템 및 이를 이용한 집적 회로의 제조 방법
US11693386B2 (en) 2019-08-27 2023-07-04 Samsung Eleotronics Co., Ltd. Method and electronic device for guiding semiconductor manufacturing process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
US5889678A (en) * 1996-08-22 1999-03-30 Kabushiki Kaisha Toshiba Topography simulation method
TWI284423B (en) * 2004-06-04 2007-07-21 Univ Illinois Methods and devices for fabricating and assembling printable semiconductor elements
TW200836353A (en) * 2006-09-06 2008-09-01 Univ Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4536945A (en) * 1983-11-02 1985-08-27 National Semiconductor Corporation Process for producing CMOS structures with Schottky bipolar transistors
JPH06290240A (ja) 1993-03-31 1994-10-18 Toshiba Corp シミュレーション方法
US6362080B1 (en) 2000-07-11 2002-03-26 National Semiconductor Corporation Formation of a vertical junction through process simulation based optimization of implant doses and energies
US6826517B2 (en) 2000-12-21 2004-11-30 Kabushiki Kaisha Toshiba Method and apparatus for simulating manufacturing, electrical and physical characteristics of a semiconductor device
US7100131B2 (en) * 2002-11-07 2006-08-29 Semiconductor Energy/Laboratory Co., Ltd. Evaluation method of semiconductor device, manufacturing method of the semiconductor device, design management system of device comprising the semiconductor device, dose amount control program for the semiconductor device, computer-readable recording medium recording the program, and dose amount control apparatus
US7091556B2 (en) * 2003-12-24 2006-08-15 Texas Instruments Incorporated High voltage drain-extended transistor
JP2005217230A (ja) 2004-01-30 2005-08-11 Toshiba Corp イオン注入シミュレーション装置、イオン注入シミュレーション方法、イオン注入シミュレーションプログラム及びイオン注入シミュレーションプログラムを記録したコンピュータ読み取り可能な記録媒体
US7304354B2 (en) 2004-02-17 2007-12-04 Silicon Space Technology Corp. Buried guard ring and radiation hardened isolation structures and fabrication methods
US7846822B2 (en) 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
JP2007019173A (ja) 2005-07-06 2007-01-25 Matsushita Electric Ind Co Ltd 不純物拡散シミュレーション方法、不純物拡散シミュレーション装置、及び、不純物拡散シミュレーションプログラム
CN103080725B (zh) 2010-07-21 2016-08-10 Imec公司 用于确定有效掺杂物分布的方法
JP2012209536A (ja) 2011-03-11 2012-10-25 Sony Corp イオン注入シミュレーション方法及びイオン注入シミュレーション装置、半導体装置の製造方法、半導体装置の設計方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
US5889678A (en) * 1996-08-22 1999-03-30 Kabushiki Kaisha Toshiba Topography simulation method
TWI284423B (en) * 2004-06-04 2007-07-21 Univ Illinois Methods and devices for fabricating and assembling printable semiconductor elements
TW200836353A (en) * 2006-09-06 2008-09-01 Univ Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics

Also Published As

Publication number Publication date
EP3138028A1 (en) 2017-03-08
US10410862B2 (en) 2019-09-10
WO2015168273A1 (en) 2015-11-05
TW201606546A (zh) 2016-02-16
CN106415553A (zh) 2017-02-15
EP3138028A4 (en) 2018-01-24
US20150317420A1 (en) 2015-11-05
JP6393407B2 (ja) 2018-09-19
CN106415553B (zh) 2020-06-02
JP2017520127A (ja) 2017-07-20

Similar Documents

Publication Publication Date Title
US10860769B2 (en) Method and system for integrated circuit design with on-chip variation and spatial correlation
KR102396699B1 (ko) 셀 레벨 레이아웃 의존성 응력 효과들을 사용하는 셀의 배치 및 라우팅
US8745554B2 (en) Practical approach to layout migration
CN103544333B (zh) 半导体器件设计方法、系统和计算机程序产品
CN101807219B (zh) 一种集成电路设计方法
US10606968B2 (en) Atomic scale grid for modeling semiconductor structures and fabrication processes
US9477798B1 (en) Moving mesh system and method for finite element/finite volume simulations
TWI576715B (zh) 非暫態電腦可讀取媒體以及用於模擬積體電路處理的系統
US20090254331A1 (en) Compact circuit-simulation output
Lorenz et al. Hierarchical simulation of process variations and their impact on circuits and systems: Results
US20100274376A1 (en) Method and Apparatus for Performing Stress Modeling of Integrated Circuit Material Undergoing Material Conversion
US20040073879A1 (en) Modeling devices in consideration of process fluctuations
Strojwas et al. The process engineer's workbench
US9785736B2 (en) Connectivity-aware layout data reduction for design verification
US10483171B2 (en) Method and apparatus with channel stop doped devices
US10867097B2 (en) Elmore delay time (EDT)-based resistance model
CN111143767A (zh) 统计模型的开发方法及开发系统