KR101746412B1 - 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치 - Google Patents

인쇄가능한 반도체소자들의 제조 및 조립방법과 장치 Download PDF

Info

Publication number
KR101746412B1
KR101746412B1 KR1020157017151A KR20157017151A KR101746412B1 KR 101746412 B1 KR101746412 B1 KR 101746412B1 KR 1020157017151 A KR1020157017151 A KR 1020157017151A KR 20157017151 A KR20157017151 A KR 20157017151A KR 101746412 B1 KR101746412 B1 KR 101746412B1
Authority
KR
South Korea
Prior art keywords
substrate
semiconductor
printable
present
printable semiconductor
Prior art date
Application number
KR1020157017151A
Other languages
English (en)
Other versions
KR20150083133A (ko
Inventor
랄프 지. 누조
존 에이. 로저스
에티엔 메나드
이건재
강달영
위강 쑨
매튜 메이틀
정타오 주
Original Assignee
더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 filed Critical 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈
Publication of KR20150083133A publication Critical patent/KR20150083133A/ko
Application granted granted Critical
Publication of KR101746412B1 publication Critical patent/KR101746412B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • H01L21/02628Liquid deposition using solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/4985Flexible insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1292Multistep manufacturing methods using liquid deposition, e.g. printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03926Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate comprising a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • H01L31/1896Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates for thin-film semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of group III and group V of the periodic system
    • H01L33/32Materials of the light emitting region containing only elements of group III and group V of the periodic system containing nitrogen
    • H01L51/0097
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0183Selective deposition
    • B81C2201/0185Printing, e.g. microcontact printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/033Manufacturing methods by local deposition of the material of the bonding area
    • H01L2224/0331Manufacturing methods by local deposition of the material of the bonding area in liquid form
    • H01L2224/0332Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03614Physical or chemical etching by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05553Shape in top view being rectangular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8012Aligning
    • H01L2224/80121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/8085Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/80855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/80862Heat curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8312Aligning
    • H01L2224/83121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83193Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83862Heat curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00012Relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/0665Epoxy resin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12032Schottky diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12036PN diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12043Photo diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13063Metal-Semiconductor Field-Effect Transistor [MESFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15159Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15158Shape the die mounting substrate being other than a cuboid
    • H01L2924/15162Top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/1579Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/707Integrated with dissimilar structures on a common substrate having different types of nanoscale structures or devices on a common substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/724Devices having flexible or movable element

Abstract

본 발명은 인쇄가능한 반도체 소자를 제조하고 기판 표면 상으로 인쇄가능한 반도체 소자를 조립하기 위한 방법 및 장치를 제공한다. 본 발명의 방법, 장치 및 장치 부품은 넓은 범위의 유연한 전자 및 광전자 장치 그리고 중합 재료를 포함하는 기판 상의 장치의 배열 생성이 가능하다. 본 발명은 또한 잡아 늘이거나 압축가능한 반도체 구조물 및 잡아 늘이거나 압축가능한 형태에서 우수한 효율을 보이는 잡아 늘이거나 압축가능한 전자 장치를 제공한다.

Description

인쇄가능한 반도체소자들의 제조 및 조립방법과 장치{METHODS AND DEVICES FOR FABRICATING AND ASSEMBLING PRINTABLE SEMICONDUCTOR ELEMENTS}
본 출원은 2004년 6월 4일, 2004년 8월 11일, 2005년 2월 4일, 2005년 5월 4일에 각각 기록된 미국 임시 특허 출원 제60/577,077, 60/601,061, 60/650,305, 60/663,391 그리고 60/677,617호 상세한 설명에 일치하는 범위 전부를 참조함으로써 결합된 이익을 청구한다.
1994년에 모든 중합체 트랜지스터에 대해 논문에서 처음 증명된 이래로, 플라스틱 기판상에서 유연한 집적 전자 장치를 포함하는 전자 시스템의 잠재적인 새로운 부류에 대단한 관심이 유도되어왔다[Garnier, F., Hajlaoui, R., Yassar, A. and Srivastava, P., Science, Vol.265, 1684-1686]. 최근 유연한 플라스틱 전자 장치를 위한 전도체, 유전체 및 반도체 소자용으로 세로운 용액 처리가 가능한 재료의 개발에 상당한 연구가 진행되고 있다. 그러나, 유연한 전자 제품의 분야에서의 진행은 세로운 용액처리가 가능한 재료의 개발에 있을 뿐만 아니라 세로운 장치 부품의 기하구조, 효율적인 장치 및 장치 부품 처리 방법 및 플라스틱 기판에 적용가능한 고해상도 패턴 기술에 의해 유도된다. 이 같은 재료, 장치 구성 및 제조 방법은 급속히 출현하는 신흥 부류의 유연한 집적 전자 장치, 시스템 및 회로에 있어서 필수적인 역할을 할 것으로 기대된다.
유연한 전자 분야에서의 관심은 대체로 이 기술에 의해 제공된 일부 중요한 이점에 의해 생긴다. 첫째로, 플라스틱 기판 물질의 기계적인 견뢰성은 기계적인 응력에 의해 야기된 손상 및/또는 전자 효율 저하에 영향을 덜 받는 전자 장치를 제공한다. 둘째로, 이러한 기판 물질의 고유한 유연성은 내구성이 약한 종래의 실리콘 계 전자 장치로는 불가능했던 복수의 유용한 장치 형태를을 제공하기 위한 여러 형상으로 집적할 수 있게 한다. 예컨대, 구부릴 수 있는 유연한 전자 장치는 기존의 실리콘 계 기술로 쉽게 얻을 수 없는 전자 종이, 착용가능한 컴퓨터 및 광범위한 고해상 디스플레이와 같은 세로운 장치의 제조를 용이하게 함을 예상할 수 있다. 결과적으로, 공정가능한 부품 물질과 플라스틱 기판 용액의 결합은 큰 기판 영역에 걸쳐 저렴하게 전자 장치를 생성시킬 수 있는 지속적인, 고속의, 인쇄 기술에 의한 제조를 용이하게 한다.
그러나, 우수한 전기적 성능을 나타내는 유연한 전자 장치들의 설계 및 제조에는 여러가지 많은 문제점들이 있다. 첫째로, 종래의 잘 개발된 실리콘계 전자 장치의 제조 방법은 대부분의 플라스틱 재료들과 호환성이 없다. 예컨대, 단결정 실리콘 또는 게르마늄 반도체와 같은 전통적인 고품질의 무기질 반도체 부품들은 대부분의 플라스틱 기판들의 녹는점 또는 분해 온도를 상당히 초과하는 온도(>1000 ℃)에서 박막을 성장시킴으로써 처리된다. 또한, 대부분의 무기질 반도체들은 처리 및 운반을 기초로 한 용액에 허용되는 편의 용매(convenient solution)에는 본질적으로 용해되지 않는다. 둘째로, 많은 비정질의 실리콘, 유기질 또는 혼성 유기질-무기질 반도체들이 플라스틱 기판들 내 혼합으로 융화되며 상대적으로 낮은 온도에서 처리될 수 있다 하더라도, 이들 재료들은 전기적 성능이 좋은 집적 전자 장치들을 제공하는 것이 가능한 전기적 성질을 갖지 않는다. 예컨대, 이들 재료들로 제조된 반도체 소자들을 갖는 박막 트랜지스터는 전계 효과 이동성이 상보적인 단결정 실리콘계 장치들보다 약 3차수 정도 작음을 나타낸다. 이들 한계의 결과에 따라, 유연한 전자 장치는 현재 비발산형 픽셀(non-emissive pixel)로 구성된 능동형 매트릭스의 평판 디스플레이 패널(active matrix flat panel display)을 위한 스위칭 소자 및 발광 다이오드에 사용하는 것과 같은 높은 성능을 요하지 않는 특별한 제품에 한정된다.
최근 전자제품의 적용 범위를 넓게 확장하기 위하여 플라스틱 기판상에서 집적 전자 장치의 전자 성능 확대가 진행되고 있다. 예컨대, 몇몇 세로운 박막 트랜지스터(TFT) 설계들은 플라스틱 기판 재료를 처리하는 공정과 호환성을 나타내며, 비정질 실리콘, 유기질 또는 혼성 유기질-무기질 반도체 소자들을 갖는 박막 트랜지스터보다 상당히 더 높은 장치 성능을 나타낸다. 초고성능 유연한 전자 장치의 하나의 분류로는 비정질 실리콘 박막의 펄스 레이저 어닐링에 의해 제조된 다결정질 실리콘 박막 트랜지스터 소자들을 기초로 한다. 이 유연한 전자 장치의 부류는 장치의 전자 성능 특성을 증대시키나, 펄스 레이저 어닐링의 사용은 이런 장치의 제조의 용이함 및 유연성을 제한함으로써 비용을 상당히 증가시킨다. 고성능의 유연한 전자 장치의 또다른 유망한 세로운 부류는 다수의 매크로 전자장치 및 마이크로 전자장치에 있어서 능동형의 기능성 부품으로서의 나노와이어, 나노리본, 나노입자 및 탄소 나노튜브와 같은 용액처리가 가능한 나노규모의 재료들을 이용한 장치들이다.
개별적 단결정 나노와이어 또는 나노리본의 용도는 향상된 장치 성능 특성을 나타내는 플라스틱 기판상의 인쇄가능한 전자 장치들을 제공할 수 있는 가능한 수단으로 평가되어왔다. 두안(Duan) 등은 반도체 채널로서 복수의 선택적으로 배향된 단결정 실리콘 나노와이어 또는 CdS 나노리본을 갖는 박막 트랜지스터 설계를 제안하였다[Duan, X., Niu, C., Sahl, V., Chen, J., Parce, J., Empedocles, S. and Goldman, J., Nature, Vol.425, pgs, 274-278]. 상기 저자들은 박막 트랜지스터에서의 반도체 소자를 제조하기 위하여, 방향성 정렬 방법(flow-directed alignment method)를 이용하여 두께가 150 nm 이하인 단결정 실리콘 나노와이어 또는 CdS 나노리본이 용액 내에서 분산되며 기판의 표면상에서 혼합되는 이른바 플라스틱 기판상에서 용액 공정과 함께 호환가능한 제조방법을 발표하였다. 상기 저자들에 의해 제공된 광학 현미경 사진은 상기에 나타낸 제조 공정은 실질적으로 평행한 배향 및 500 ~ 1000 nm 떨어진 곳에서 나노와이어 또는 나노리본을 제조함을 제안한다. 비록 상기 저자들이 개별적으로 나노와이어 또는 나노리본에 대한 상대적인 고진성의 전계 효과성 이동도를 발표하였지만(≒ 119 cm2V-1s-1), 총 장치 전계 효과성 이동도는 최근 두안 연구진에 의해 발표된 상기 진성의 전계 효과성 이동도 수치보다 "근사적으로 2차수 정도 작게" 결정되고 있다[Mitzi, D.B, Kosbar, L.L., Murray, C.E., Copel, M. Afzali, A., Nature, Vol.428, 299-303]. 이 장치 전계 효과성 이동도는 종래의 단결정 무기질 박막 트랜지스터의 장치 전계 효과성 이동도보다 몇 차수의 크기가 더 작아, 두안 연구진에서 발표한 방법 및 장치 형태를 사용하여 개별 나노와이어 또는 나노리본을 정렬, 밀집 포장 및 전기적인 접촉을 함에 있어서 실질적인 도전이 될 수 있다.
다결정 무기질 반도체 박막의 선구자로서 나노결정 용액의 사용은 더 높은 장치 성능 특성을 나타내는 플라스틱 기판상에 인쇄가능한 전자 장치를 제공하는 바람직한 방법으로써 또한 발표되고 있다. 리들리 연구진(Ridley et al.)은 전계효과용 트랜지스터를 위한 반도체 소자를 제공하기 위하여 2 nm의 크기를 갖는 용액 카드뮴 셀레나이드 나노결정들을 플라스틱이 호환할 수 있는 온도에서 처리되는 용액처리 제조방법을 발표하였다. 상기 저자들은 카드뮴 셀레나이드의 나노결정 용액에서의 낮은 온도 입자 성장은 수많은 나노결정을 포함하는 단결정 영역을 제공한다. 비록 리들리 연구진이 유기질 반도체 소자를 갖는 유사한 장치와 관련된 향상된 전자적 성질을 발표하였으나, 이 기술에 의해 성취된 상기 장치 이동도(≒ 1 cm2V-1s-1)는 종래의 단결정 무기질 박막 트랜지스터의 장치 전계 효과성 이동도보다 몇 차수의 크기가 더 작다. 상기 장치 형태 및 리들리 연구진의 제조방법에 의해 성취된 상기 전계 효과성 이동도의 한계는 개별적인 나노입자들 간에 생성되는 전기적 접촉으로부터 일어날 수 있다. 특히, 나노결정 용액을 안정화하며 응집작용을 막기 위한 유기질 말단기의 사용은 우수한 장치 전계 효과성 이동도를 제공하는데 필수적인 인접한 나노입자들 간의 전기적 접촉을 적절히 생성시키는 것을 방해할 수 있다.
두안 연구진 및 리들리 연구진이 플라스틱 기판상에 박막 트랜지스터를 제조하는 방법을 제공하였으나, 상기 제안된 장치 형태들은 전극, 반도체 및/또는 유전체와 같은 기계적으로 딱딱한 장치 부품들을 포함하는 트랜지스터였다. 좋은 기계적 성질을 가진 플라스틱 기판의 선택은 구부러지거나 뒤틀린 배향에서 수행할 수 있는 전자 장치를 제공할 수 있으나, 이런 변형은 개별적인 딱딱한 트랜지스터 장치 부품들에 기계적인 변형을 생성시킬 수 있다. 이 기계적 변형은 예를 들면, 분해함으로써 개별적인 부품들에 손상을 유발시킬 수 있으며, 또한 장치 부품들 사이의 전기적 접촉을 줄이거나 중단시킬 수 있다.
전술한 것으로부터 플라스틱 기판상에 집적 전자 반도체를 포함하는 장치를 제조하기 위한 최신기술의 방법 및 장치 형태가 현재 필요하다고 판단될 것이다. 우수한 전기적 특성을 가지는 인쇄가능한 반도체 소자는 플라스틱 중합체 기판 상의 조립과 호환되는 온도에서 효과적인 장치 제조를 할 수 있도록 하기 위해 필요하다. 게다가, 플라스틱 기판의 대면적 상으로 반도체 재료를 인쇄하는 방법은 넓은 기판 영역에 걸쳐 복합 집적 전자 회로의 지속적인, 고속의 인쇄를 할 수 있도록 하기 위해 필요로 한다. 결과적으로, 굴곡 또는 변형된 장치 배향 내에서 우수한 전자의 실행이 가능한 충분히 유연한 전자 장치는 유연한 넓은 범위의 세로운 전자 장치를 용이하도록 하기 위해 필요로 한다.
본 발명은 플라스틱 기판과 같은 기판 표면 상에, 반도체를 포함하는 전자 장치와 같은 구조물 및/또는 장치를 제조하기 위한 방법, 장치 및 장치 부품을 제공한다. 특히, 본 발명은 유연한, 저렴한 인쇄 방법에 의해 전자 장치, 광전자 장치 및 다른 기능적인 전자 조립 제조를 위한 인쇄가능한 반도체 소자를 제공한다. 본 발명의 목적은, 인쇄 기술의 범위를 통해 기판 표면 상에 고정밀 조립을 할 수 있는, 대략 수나노미터의 10s ~ 대략 수센티미터의 10s의 선택된 물리적 범위를 가지는 단일의 단결정 무기질 반도체와 같은 반도체 소자를 제조하기 위한 방법 및 장치를 제공하는 것이다. 본 발명의 다른 목적은, 큰 기판 영역에 걸쳐 우수한 배치 정밀도 및 패턴 정확도를 제공하는 건식 전사 접촉 인쇄 및/또는 용액 인쇄 기술을 사용한 인쇄가능한 반도체 소자들을 조립 및/또는 패턴하기 위한 방법을 제공하는 것이다. 본 발명의 또 다른 목적은, 전계 효과성 이동도, 역치 전압 및 on-off 비와 같은 우수한 전자 효율 특성을 보이는 인쇄가능한 반도체 소자가 구비된 플라스틱 기판, 특히 충분히 유연한 박막 트랜지스터로 지지되는 하나 이상의 인쇄가능한 반도체 소자를 포함하는 우수한 전자 효율 집적 전자 및/또는 광전자 장치를 제공하는 것이다.
본 발명의 한가지 양상은, 인쇄가능한 반도체 소자와 같은 하나 이상의 인쇄가능한 부품을 가지는 고효율 전자 및/또는 광전자 장치 또는 장치 부품 제조의 방법을 제공하는 것이다. 본 발명의 방법에 의해 제조될 수 있는 전자 및 광전자 장치는, 트랜지스터, 다이오드, 발광 다이오드(LEDs), 레이저, 유기질질 발광 다이오드(OLEDs), 마이크로일렉트로메케니컬 시스템(MEMS) 및 나노일렉트로메케니컬 시스템(NEMS)를 포함하며 이로 제한된다. 특히, 본 발명은 종래의 고온 공정 방법에 의해 제조된 단결정 반도체 기반 장치에 필적하는 효율특성을 보이는 전자 및/또는 광전자 장치 또는 장치 부품 내로 인쇄 기술을 통해 반도체 소자 및/또는 다른 장치 부품을 조립하는 방법을 제공한다.
플라스틱 기판 및 반도체 기판과 같은 저 용해 또는 분해 온도를 가지는 기판 상에서의 장치 제조에 유용한 본 발명의 하나의 실시형태에서, 본 발명의 방법은 (1) 하나 이상의 개별적 고품질 반도체 소자들을 형성하는 단계 및 (2) 기판 표면 상에 이들 반도체 소자들 및 다른 장치 부품을 조립 및/또는 패턴하는 단계의 개별적인 실행 제조 단계:를 포함한다. 예를 들면, 본 발명은 개별적인, 고품질 인쇄가능한 무기질 반도체가 고온 (> 섭씨 1000도) 막 성장, 도핑 및 다른 공정 기술과 같은 종래의 고온 공정 방법에 의하여 제조된 벌크 단결정 무기질 반도체 재료의 마스킹 및 에칭에 의해 생성되는 방법을 포함한다. 제조 이후, 그러한 인쇄가능한 무기질 반도체는 상대적으로 저온(< 대략 섭씨 400도)에서 실행될 수 있는 인쇄 기술에 의해 하나 이상의 기판 표면 상으로 조립된다. 개별적으로 실행가능한 표본 및 패턴/조립 단계를 가지는 것의 이점은, 각 단계가 개별적으로 실행가능한 각 제조 단계의 효율, 유연성 및 유용성을 최적화하는 온도 및 주변 오염 레벨(즉, 만약 무균실 조건이 필요하다면)과 같은 주변 조건에서 실행될 수 있다는 점이다. 예를 들면, 반도체 재료가 고온에서 제조되도록 하는 본 발명은 고품질 단결정 반도체를 생성시키는데 필요하다. 그러나 반도체 소자 패턴 및/또는 조립은 플라스틱 기판과 같은 낮은 용해 또는 분해 온도를 가지는 기판 상에서의 장치 제조에 긍정적인 실질적으로 더 낮은 온도에서 그 후에 실시될 수 있다. 이런 식으로, 고효율 장치는 기판 표면의 상당한 용해, 변형 또는 손상 없이 기판 표면의 넓은 범위 상에서 제조될 수 있다. 반도체/장치 조립으로부터 반도체 제조를 분리시키는 것의 다른 이점은, 반도체 소자들을 기판의 대면적 상에서 무균실 조건을 필요로 하지 않고 지속적인, 고속의 장치 제조와 호환되는 건식 전사 및 용액 인쇄 기술과 같은 저비용의 넓은 범위와 유연한 조립 방법에 의해 얻어질 수 있는 고효율 장치 및 장치 부품 내로 집적한다는 점이다. 본 발명의 이러한 양상의 맥락에서, 본 방법은 반도체 웨이퍼, 예컨대, 실리콘 웨이퍼 또는 GaAs 웨이퍼와 같은 플라스틱 기판 및 비-플라스틱 기판을 포함하는 사실상 모든 재료를 포함하는 기판 상에서의 인쇄와 호환된다.
다른 양상에서, 본 발명은 집적을 위해 고효율 전기 및 광전자 장치와 장치 부품 내로 인쇄가능한 반도체 소자를 제공한다. 본 발명의 맥락에서, 용어 "인쇄가능한"은 기판을 고온에(즉, 섭씨 400도 이하의 온도에서) 노출시키지 않고 기판 상으로 또는 내로 전사되고, 조립되고, 패턴되고, 조직화되고/되거나 집적될 수 있는 재료, 구조물, 장치 부품 및/또는 집적된 기능의 장치에 관한 것이다. 본 발명의 인쇄가능한 반도체는 건식 전사 접촉 인쇄 및/또는 용액 인쇄 방법에 의해 기판 표면 상으로 조립되고/되거나 집적될 수 있는 반도체 구조물을 포함할 수 있다. 본 발명의 실례가 되는 반도체 소자들은 단결정 실리콘 웨이퍼, SOI(Silicon On Insulator) 웨이퍼, 다결정 실리콘 웨이퍼 및 GaAs 웨이퍼를 포함하지만 그로 한정되지는 않는 무기질 반도체 재료의 범위의 "하향식(top down)" 공정에 의해 제조될 수 있다. 고품질 반도체 웨이퍼, 예컨대, 종래의 고온 증기 증착 공정 기술을 사용해 생성된 반도체 웨이퍼로부터 파생된 인쇄가능한 반도체 소자는, 나노결정 및 나노와이어를 형성하기 위한 종래의 기술과 같은 "상향식(bottom up)" 공정 기술을 사용하여 마련된 재료보다 더 우수한 결정체의 순도와 범위를 가지는 이들 재료 때문에, 우수한 전자 효율을 필요로 하는 제품에게 유용하다. 본 발명의 "하향식(top-down)" 공정에 의해 제공된 다른 이점은, 일반적으로 나노와이어 및 나노입자 제조를 위해 사용된 "상향식(bottom-up)" 공정 방법과 달리, 바람직하게 정의된 배향과 패턴으로 제조될 수 있는 인쇄가능한 반도체 소자들 및 인쇄가능한 반도체 소자들의 배향이다. 예컨대, 반도체 소자는 트랜지스터 배향이나 다이오드 배향과 같은, 기능적인 장치나 기능적인 장치의 배향에서 이들의 소자의 최종적인 위치 및 공간의 배향에 직접적으로 상응하는 위치나 공간의 배향을 가지는 배향에서 제조될 수 있다.
인쇄가능한 반도체 소자는 리본(또는 띠), 디스크, 작은 판, 블럭, 기둥, 원통 또는 이들 형상의 모든 조합과 같 넓은 범위의 형상을 가지는 단일의, 단결정 무기질 반도체 구조물을 포함할 수 있다. 본 발명의 인쇄가능한 반도체 소자들은, 예컨대, 두께가 대략 10 나노미터 ~ 100 마이크론, 폭이 대략 50 나노미터 ~ 1 밀리미터 그리고 길이가 대략 1 마이크론 ~ 1 밀리미터인 넓은 범위의 물리적 치수를 가질 수 있다. 두께가 대략 10 나노미터보다 크고 폭이 대략 500 나노미터보다 큰 반도체 소자들의 사용은, 이들 치수가 대략 100 cm2 V-1 s-1 보다 크고, 바람직하게는 대략 300 cm2 V-1 s-1 보다 크거나 같은, 더 바람직하게는 대략 800 cm2 V-1 s-1 보다 크거나 같은인 장치 전계 효과성 이동도를 가지는 박막 트랜지스터와 같은 우수한 전자 효율을 보이는 전자 장치를 제공할 수 있으므로 일부 제품에게 바람직하다. 게다가, 대략 10 나노미터 보다 크거나 같은 폭을 가지는 반도체 소자는 우수한 배치 정밀도와 패턴 정확도와 함께 인쇄 기술의 범위에 의해 기판 상에서 조립될 수 있다.
본 발명의 인쇄가능한 반도체 소자는 또한 인쇄가능한 반도체 소자를 반도체 웨이퍼와 같은 모체 기판으로 기계적으로 결합시키는 정렬 유지 소자와 함께 제공될 수 있다. 정렬 유지 소자는 전사, 조립 및/또는 집적 공정 단계 중에 선택된 배향 및/또는 인쇄가능한 반도체 소자의 위치를 유지하는데 유용하다. 정렬 유지 소자는 또한 전사, 조립 및/또는 집적 공정 단계 중에 반도체 소자의 선택된 패턴을 정의하는 복수의 반도체 소자의 상대적인 위치 및 배향을 유지하는데 유용하다. 본 발명의 방법에서, 정렬 유지 소자는 유사한 전사 장치의 표면 접촉과 함께 인쇄가능한 반도체 소자의 접촉(및 접착) 중에 선택된 위치 및 배향을 지속시킨다. 본 발명의 이러한 양상에 따라 유용한 정렬 유지 소자는, 유사한 전사 장치의 이동 중에 인쇄가능한 반도체 소자의 선택된 위치 및 배향의 상당한 변화없이도 인쇄가능한 반도체 소자들로부터 이탈시킬 수 있다. 이탈은 일반적으로 전사 장치의 이동 중에 정렬 유지 소자의 분열 또는 해체에 의해 얻어질 수 있다.
본 발명의 한 실시형태에서, 인쇄가능한 반도체 소자는 넓은 말단과 좁은 중심 영역으로 특징 지어지는 땅콩 형상을 가진다. 본 실시형태에서, 정렬 유지 소자는 넓은 말단 아래쪽 불완전 등방성 에칭과 중심 영역 아래쪽 완전 등방성 에칭에 의해 제공된다. 이 공정은 모체 기판에 연결된 반도체 소자를 반도체 소자의 각 말단에 상응하는 두 지점으로 이끈다. 다른 실시형태에서, 인쇄가능한 반도체 소자는 중심 세로축을 따라 뻗어있는 리본 형상을 가진다. 본 실시형태에서 정렬 유지 소자는 모체 기판 쪽으로 세로축을 따라 리본의 양 말단에 연결된다. 각 실시형태에서, 두 개의 정렬 유지 소자들의 분열 및 모체 기판으로부터의 인쇄가능한 반도체 소자의 해체의 결과, 리본 형상 또는 땅콩 형상을 한 반도체 소자를 전사 장치의 이동 및 의 전사 장치의 접촉 표면 쪽으로 바인딩(binding)한다.
본 발명의 인쇄가능한 반도체 소자는 고도의 정밀도와 함께 선택되는 폭, 높이, 두께, 표면 거칠기, 및 편평도와 같은 개별적으로 선택적인 물리적 치수를 가진다. 실례가 되는 실시형태에서, 인쇄가능한 반도체 소자의 물리적 치수는 대략 5% 이내로 선택될 수 있다. 고도로 획일하게 선택된 물리적 치수를 가지는 많은 수의 인쇄가능한 반도체 소자는 본 발명의 방법을 사용하여 제조될 수 있다. 실례가 되는 한 실시형태에서, 많은 수의 인쇄가능한 반도체 소자는 대략 1% 이내로 변경된 물리적 치수를 가지는 것으로 제조될 수 있다. 그러므로 본 발명은, 나노와이어 생성의 종래 방법에 비해 상당한 크기 및 형상의 구분없이 인쇄가능한 반도체 소자를 제공한다. 본 접근법의 상당한 이점은, 본 발명의 인쇄가능한 반도체 소자 집적 구조물 및 장치가 반도체 소자의 크기 및 형상에서의 확산을 허용하도록 형성될 필요가 없다는 점이다. 일부 실시형태에서, 본 발명의 인쇄가능한 반도체 소자는, 매우 낮은 표면 거칠기, 예컨대, 대략 0.5 나노미터 제곱 평균 이하의 표면 거칠기를 갖는다. 본 발명의 인쇄가능한 반도체 소자는 하나 이상의 편평한 표면을 가질 수 있다. 편평한 표면은 도체, 반도체 및/또는 유전체 부품와 같은 다른 장치 부품와 함께 경계면을 확립하는데 유용하기 때문에 본 구성은 일부 장치 제조 제품에 유용하다.
나아가, 내용의 본 방법 및 조성은 고품질 반도체 재료를 포함하는 인쇄가능한 반도체 소자를 제공한다. 고효율 전기 장치 제조에 유용한 일부 실시형태에서, 인쇄가능한 반도체 소자는 고온 공정 기술을 통해 제조되는 종래의 반도체 웨이퍼 재료의 대략 1000 또는 미만의 계수와 함께 순도를 가진다. 예를 들면, 본 발명은 5 ~ 25 ppma(parts per million atoms) 미만의 산소 순도, 100만 원자 당 대략 1 ~ 5 ppma 미만의 탄소 순도를 가지며, 대략 1 ppma 이하의 중금속 순도, 바람직하게는 일부 제품을 위해 대략 100 ppba(parts per billion atoms) 이하, 더 바람직하게는 일부 제품을 위해 대략 1 ppba 이하의 순도를 가진다. 반도체 재료 내 중금속의 존재가 그들의 전기적 특성을 극심하게 저하시킬 수 있으므로, 낮은 레벨(예컨대, 대략 1 ppma 미만)의 중금속 순도를 가지는 인쇄가능한 반도체 소자는 우수한 전자 효율을 필요로 하는 제품 및 장치를 위해 유용하다.
게다가, 본 발명의 일부 양상의 인쇄가능한 반도체 소자는, 예컨대, 그들의 영역에 걸쳐 대략 변화율 5% ~ 10% 이하의 매우 낮은 저항 변화율을 가진다. 본 발명의 이러한 양상은 나노와이어 및 나노결정 재료와 같은 "상향식" 공정 기술로부터 파생된 종래의 반도체 재료에 관해 강화된 도핑 획일성을 제공한다. 더욱이, 본 발명의 인쇄가능한 반도체 소자는, 예컨대, 500 전위(轉位)/cm2 미만인 매우 적은 전위를 보이는 반도체 재료를 포함할 수 있다. 고품질 반도체 재료를 포함하는 반도체 소자의 사용은 우수한 전자 효율을 필요로 하는 장치 제조 제품에 유용하다.
게다가, 내용의 본 발명 및 조성은 고도로 획일적인 조성을 가지는 인쇄가능한 반도체 소자를 제공한다. 본 맥락에서, 획일한 조성은 순도, 불순물 농도, 불순물 공간의 분배 및 결정체의 범위에 대하여 각각에 대한(piece-to-piece) 획일성에 관한 것이다. 본 발명의 인쇄가능한 반도체 소자들의 조성에 관한 고순도 및 우수한 획일성은, 나노와이어 및 나노결정 재료와 같은 "상향식" 공정 기술로부터 파생된 종래의 반도체 재료에서 제조된 장치에 관해 강화된 신뢰성을 보이는 기능적인 장치를 제공한다.
본 발명의 인쇄가능한 반도체 소자는, 바람직하게는 마이크로리본의 상측 또는 하측의 표면과 같은 적어도 하나의 매끄러운 표면을 가지고, 바람직하게는 10 나노미터 미만의 평균 표면 위치에서 편차를 보이며, 더 바람직하게는 일부 제품을 위해 1 Augstrom 미만의 평균 표면 위치에서 편차를 보인다. 본 발명의 인쇄가능한 반도체 소자의 매끄러운 표면은 집적된 전자 장치 또는 광전자 장치 내에서 다른 장치 부품와 함께 확립될 수 있는 효과적인 전기 접촉 및/또는 물리적 집적을 허용한다.
선택적으로, 본 발명의 인쇄가능한 반도체 소자는, 유전체 구조물, 도체 구조물(예컨대, 전극), 부가적인 반도체 구조물 또는 이들의 모든 결합과 같은 하나 이상의 부가적인 구조물와 작동가능하게 연결된 반도체 구조물이 구비된 복합 반도체 소자를 포함할 수 있다. 인쇄가능한 복합 반도체 소자는 복합 전자 또는 광전자 장치로 용이하고 효율적으로 집적될 수 있는 재료 및 장치 부품을 제공한다. 게다가, 본 발명의 조립 방법은, 근접한 소자가 서로 가까운, 예컨대, 100 나노미터 ~ 1 마이크론으로 서로 가까운 배향 조합에서 제공될 수 있는 인쇄가능한 반도체 소자를 제공한다.
본 발명의 실시형태는, 반도체 및 유전체 부품이 단일 인쇄 단계 내에서 조립될 수 있고, 인슐레이터 형태가 게이트 전극에서부터 반도체 소자 또는 소스 및 드레인 전극까지의 매우 낮은 전기 전류의 누설을 보임으로 인해 단일 구조물의 사용이 반도체 및 유전체 부품 모두를 포함하므로, 고효율 박막 트랜지스터의 조립에 있어 특히 유용하다. 다른 실시형태에서, 본 발명의 인쇄가능한 반도체 소자는 기판 표면 상으로 용이하게 합병될 수 있는 다이오드, LED, 트랜지스터 및 OLED와 같은 집적된 기능적인 장치를 포함할 수 있다.
본 발명의 방법 및 조성은, 나노와이어 및 나노결정과 같은 "상향식" 공정 기술에 의해 생성된 반도체 재료 기반 장치에 관한 강화된 신뢰성을 보이는 기능적인 장치의 제조를 용이하게 하는 공정 플랫폼을 제공한다. 본 맥락에서, 신뢰성은 확장된 작동 기간에 걸쳐 우수한 전자 특성을 보이기 위한 기능적인 장치의 성능을 나타내고, 본 발명의 방법 및 조성을 사용하여 제조된 장치의 총체의 전기적 특성에 관한 각각에 대한(piece-to-piece) 획일성을 나타낸다. 예를 들면, 본 발명의 장치는 매우 획일한 역치 전압(예컨대, 0.08V 미만의 표준 편차) 및 매우 획일한 장치 이동도(예컨대, 대략 13% 미만의 표준 편차)을 보인다. 이는 나노와이어 기반 장치에 걸쳐 역치 전압의 획일성 및 장치 이동도에 있어 각각 대략 40의 계수 및 대략 8의 계수의 개선을 나타낸다. 본 발명의 기능적인 장치의 예외적인 신뢰성은, 본 발명의 인쇄가능한 반도체 소자를 사용하여 이루기 쉬운 조성의 고도의 획일성 및 물리적 치수에 의해, 적어도 일부분 제공될 수 있다.
다른 양상에서, 본 발명은 제1 전극, 제2 전극 및 상기 제1 및 제2 전극과 전기적인 접촉을 하여 위치한 인쇄가능한 반도체 소자를 포함한 전기 장치를 제공한다. 우수한 전기 장치 효율을 필요로 하는 제품에 유용한 한 실시형태에서, 인쇄가능한 반도체 소자는 상기 제1 및 제2 전극 사이에서 대략 20% 보다 크거나 같은, 바람직하게는 일부 제품을 위해 바람직하게는 50% 보다 크거나 같은, 더 바람직하게는 일부 제품을 위해 80% 보다 크거나 같은 충진율을 제공하는 물리적 치수와 형상을 가지는 단일의 무기질 반도체 구조물을 포함한다. 선택적으로, 본 발명의 전기 장치는, 예컨대, 실질적으로 세로로 배향되고, 선택적으로 서로 물리적인 접촉이 없는 부가적인 인쇄가능한 반도체 소자를 더 포함할 수 있다. 중요하게는, 본 발명의 복수의 인쇄가능한 반도체 소자들은, 빽빽하게 패킹된 나노와이어 배향을 포함하는 시스템에 비해 큰 충진율(예컨대, 20%, 50% 또는 80% 보다 크거나 같은) 및 우수한 전자 효율을 제공하는 방법으로 장치 또는 장치 배향에 구성될 수 있다. 한 실시형태에서, 인쇄가능한 반도체 소자는 대략 500 나노미터 보다 크거나 같은 크기의 횡단면을 적어도 하나 포함한다. 한 실시형태에서, 인쇄가능한 반도체 소자는 대략 10 보다 작거나 같은, 바람직하게는 일부 제품을 위해 1.5 보다 작거나 같은 길이 대 폭의 비를 가진다. 한 실시형태에서, 인쇄가능한 반도체 소자는 대략 0.1 보다 작거나 같은, 바람직하게는 일부 제품을 위해 0.01 보다 작거나 같은 두께 대 폭의 비를 가진다.
본 발명의 이러한 양상은, 트랜지스터, 다이오드, 광전지 장치, LED와 같은, 제1 전극, 제2 전극과 상기 제1 및 제2 전극과 전기적인 접촉을 하여 위치한 복수의 인쇄가능한 반도체 소자들을 포함하는 전기 장치의 배향을 더 포함한다. 한 실시형태에서, 전기 장치의 배향은 20개 보다 크거나 같은 인쇄가능한 반도체 소자, 바람직하게는 일부 제품을 위해 50개 보다 크거나 같은 인쇄가능한 반도체 소자, 더 바람직하게는 일부 제품을 위해 100개 보다 크거나 같은 인쇄가능한 반도체 소자를 포함한다. 우수한 전기 장치 효율을 필요로 하는 제품에 유용한 한 실시형태에서, 인쇄가능한 반도체 소자는 상기 제1 및 제2 전극 사이에서 대략 20% 보다 크거나 같은, 바람직하게는 일부 제품을 위해 바람직하게는 50% 보다 크거나 같은, 더 바람직하게는 일부 제품을 위해 80% 보다 크거나 같은 충진율을 제공한다. 인쇄가능한 반도체 소자는, 상기 제1 및 제2 전기적 접촉의 가장 가까운 지점과 연결된 축을 따라 뻗어있는 선택된 정렬 축과 같은, 선택된 정렬 축에 관해 실질적으로 세로로 배향될 수 있다. 한 실시형태에서, 인쇄가능한 반도체 소자의 상대적인 위치 및 배향은 대략 5 마이크론 이내로 선택된다. 반도체 소자의 말단부터 말단까지 우수한 인쇄 정합(整合)을 제공하는 한 실시형태에서, 상기 인쇄가능한 반도체 소자 각각은 길이를 확장시키고 제1 및 제2 말단으로 종결된다. 본 실시형태에서, 상기 인쇄가능한 반도체 소자의 제1 말단은 제1 전극의 5 마이크론 이내에 위치하고, 상기 인쇄가능한 반도체 소자의 제2 말단은 상기 제2 전극의 5 마이크론 이내에 위치한다. 한 실시형태에서, 복수의 인쇄가능한 반도체를 포함하는 본 발명의 전기 장치의 배향은 실질적으로 세로로 배향되었지만 서로 물리적으로 접촉하지 않고(즉, 오버랩되지 않는), 제1 및 제2 전극과 전기적으로 접촉하는 구성으로 제공된다. 한 실시형태에서, 전기 장치의 배향 내에서 인쇄가능한 반도체 소자의 평균 길이, 평균 폭 및/또는 평균 두께 같은 적어도 한 개의 물리적 치수는 대략 10% 미만, 바람직하게는 일부 제품을 위해 대략 5% 미만으로 변경시킨다. 본 실시형태에서, 배향 내의 인쇄가능한 반도체 소자는 서로가 크게 변경되지 않는(즉, 대략 1% 미만) 평균 길이, 평균 폭 및/또는 평균 두께와 같은 선택된 물리적 치수를 가진다.
다른 양상에서, 본 발명은 인쇄가능한 반도체 소자를 제공한다. 한 실시형태에서, 본 발명의 트랜지스터는 소스 전극, 인쇄가능한 반도체 소자, 게인 전극 및 게이트 전극을 포함한다. 본 구성에서, 소스 전극 및 게인 전극은 둘 다 인쇄가능한 반도체 소자와 전기적으로 접촉하고 그에 의해 분리되며, 게이트 전극은 유전체에 의해 인쇄가능한 반도체 소자로부터 분리된다. 인쇄가능한 반도체 소자는 대략 50 나노미터 보다 크거나 같은, 바람직하게는 일부 제품을 위해 100 나노미터 보다 크거나 같은, 더 바람직하게는 일부 제품을 위해 200 나노미터 보다 크거나 같은 두께를 갖는 단일 결정질의 무기질 반도체 구조물을 포함할 수 있다. 본 발명은 또한 소스 및 드레인 전극과 접촉하는 복수의 인쇄가능한 반도체 소자를 포함한다. 단일 트랜지스터 내 복수의 인쇄가능한 반도체 소자의 사용은, 전계 효과 트랜지스터 내의 소스, 드레인 및 게이트 전극 그리고 유전체와 같은 다양한 장치 부품을 위해 모든 위치의 정밀도 허용오차를 감소시킬 수 있으므로 일부 제품에서 유용할 수 있다. 본 발명은 또한 인쇄가능한 반도체 소자가 잡아 늘이거나 압축가능한 반도체 소자인 실시형태를 포함한다. 본 발명의 트랜지스터에서 하나 이상의 잡아 늘이거나 압축가능한 반도체 소자의 사용은 우수한 장치 효율 및 굴곡시킨, 인장된 또는 변형시킨 장치 배향에서 기계적인 견뢰성을 제공하기 때문에 유용하다.
다른 실시형태에서, 본 발명은 폴리미드, 폴리카보네이트 또는 마일라(Mylar) 기판과 같은 플라스틱 기판과의 물리적 접촉에서 및/또는 접촉으로 지지되는 고효율 트랜지스터를 제공한다. 본 발명의 본 실시형태의 트랜지스터는 실리콘 또는 게르마늄과 같은 단결정 무기질 반도체 구조물을 포함하는 인쇄가능한 반도체 소자를 포함할 수 있다. 그러한 장치 구성은 전계 효과성 이동도, 역치 전압, 스위칭 주파수 및 on-off 비와 같은 우수한 장치 효율 특성을 보인다. 실례과 되는 실시형태에서 플라스틱 기판 상의 박막 트랜지스터는 종래의 고온 공정 방법에 의해 제조된 결정질 반도체를 포함하는 반도체 소자를 가지는 트랜지스터의 장치 전계 효과성 이동도, 예컨대, 300 cm2 V-1 s-1 보다 크거나 같은, 더 바람직하게는 800 cm2 V-1 s-1 보다 크거나 같은 장치 전계 효과성 이동도에 필적하는 장치 전계 효과성 이동도를 가진다. 다른 실시형태에서, 본 발명은 대략 최대 280 MHz의 주파수에서의 작동과 같은 고주파수 작동이 가능한 단결정 실리콘의 인쇄가능한 반도체 소자를 포함하는 Si-MOS 트랜지스터를 제공한다.
다른 실시형태에서, 본 발명은 인쇄가능한 반도체 소자를 포함하는 상보적인 금속 산화 반도체 회로를 제공한다. 예를 들면, 두 개의 고도로 P (또는 N) 타입 도핑된 영역 사이에서 가볍게 N (또는 P) 타입 도핑된 영역이 구비된 인쇄가능한 반도체 소자는 CMOS 회로를 형성하기 위해 사용되었다. 이 성능은 NMOS 기술에 대해 훨씬 더 적은 전력 소실을 가지는 CMOS 기술과 같은 저 전력 소비를 필요로 하는 제품에 있어 특히 흥미롭다. 게다가, CMOS 기술은 정적 전력 소실이 없고, 따라서 본 기술은 특히 전지가 작동되는 전자 시스템에 적합하다. 결과적으로, CMOS 기술을 사용한 회로 디자인은 다른 반도체 기술보다 일반적으로 더 작고 쓸모 있으며, 따라서 표면 영역당 더 많은 장치가 집적될 수 있다.
한 실시형태에서, 본 발명의 이러한 양상의 트랜지스터의 유전체 및 반도체 부품은 획일한 복합 인쇄가능한 반도체 소자를 포함할 수 있다. 선택적으로, 본 발명의 이러한 양상의 트랜지스터의 유전체, 게이트 전극 및 반도체 소자는 단일 복합 인쇄가능한 반도체 소자를 포함할 수 있다. 매우 낮은 누출을 보이는 박막 트랜지스터 내에서 매우 고품질의 유전체 - 반도체 경계면을 제공할 수 있으므로 집적된 반도체 및 인슐레이터 구조물이 구비된 복합 인쇄가능한 반도체 소자의 사용은 일부 제품을 위해 바람직하다. 게다가, 집적된 반도체 및 인슐레이터 구조물이 구비된 복합 인쇄가능한 반도체 소자의 사용은 또한 박막 트랜지스터 내에서 유전 층을 집적하기 위한 회전 주조 단계를 필요로 하지 않는 장치 부품의 효율적인 조립을 위해 제공한다.
다른 실시형태에서, 본 발명은 분열 없이 상당한 변형을 견딜 수 있는 잡아 늘이거나 압축가능한 반도체 소자를 제공한다. 본 발명의 잡아 늘이거나 압축가능한 반도체 소자는, 대략 0.5% 보다 크거나 같은, 바람직하게는 1% 보다 크거나 같은, 더 바람직하게는 2% 보다 크거나 같은인 변형과 같은 상당한 변형을 겪는 중에서조차 우수한 전자 효율을 보일 수 있다. 일부 제품에 우수한 본 발명의 잡아 늘이거나 압축가능한 반도체 소자는 또한 유연성이 있으며, 따라서 하나 이상의 축을 따라 상당히 연신시키고, 굴곡시키고, 구부리거나 변형시킬 수 있다. 유연한 잡아 늘이거나 압축가능한 반도체는 또한 굴곡, 확장, 수축, 구부러진 및/또는 변형된 상태에 있을 때 우수한 전자 효율을 보일 수 있다. 본 발명의 잡아 늘이거나 압축할 수 있고 유연한 반도체 소자는 인쇄가능할 수 있고, 작동가능하게 유전체, 전극 및 다른 반도체와 같은 다른 장치 부품에 연결된 반도체 구조물이 구비된 복합 반도체 소자를 포함할 수 있다. 본 발명은 트랜지스터, 다이오드, LED, OLED, 레이저, 마이크로 및 나노 전기기계 장치와 같은 잡아 늘이거나 압축가능할 수 있고/있거나 유연한 반도체 소자를 가지는 넓은 범위의 전자 및/또는 광전자 장치를 포함한다.
본 발명의 잡아 늘이거나 압축가능한 반도체 소자는 지지 표면이 구비된 유연한 기판 및 곡면을 이루는 내표면이 구비된 인쇄가능한 반도체 구조물을 포함한다. 본 실시형태에서, 반도체 구조물의 곡면을 이루는 내표면의 적어도 일부는 유연한 기판의 지지 표면에 접착된다. 본 발명에 유용한 곡면을 이루는 내표면이 구비된 실례가 되는 반도체 구조물은 구부러진 반도체 기판을 포함한다. 본 설명의 맥락에서, "구부러진 반도체 구조물"는 힘의 제품에서 생긴 곡면을 이루는 형태를 가지는 반도체를 나타낸다. 구부러진 반도체 구조물은 하나 이상의 접힌 영역을 가질 수 있다. 구부러진 반도체 구조물은 감긴 형태나 주름진 형태에서 나타날 수 있다. 구부러진 반도체 구조물와 같은 곡면을 이루는 내표면을 갖는 반도체 구조물은, 대략 30% 미만의 변형, 대략 10% 미만의 변형, 또는 1% 미만의 변형과 같은 변형 하에 있는 구성인 유연한 기판에 접착될 수 있다.
본 발명의 잡아 늘이거나 압축가능한 반도체의 곡면을 이루는 내표면은 적어도 하나의 볼록한 영역, 적어도 하나의 오목한 영역 또는 적어도 하나의 볼록한 영역 및 적어도 하나의 오목한 영역의 결합으로 특징 지어지는 외곽선 프로파일을 포함하지만, 그로 한정되지는 않는 신축성이나 유연성을 제공하는 어떠한 외곽선 프로파일도 가질 수 있다. 한 실시형태에서, 잡아 늘이거나 압축가능할 수 있고/있거나 유연한 반도체 소자의 곡면을 이루는 표면은 실질적으로 주기적인 파나 실질적으로 비주기적인 파로 특징 지어지는 외곽선 프로파일을 가진다. 본 설명의 맥락에서, 주기적인 그리고 비주기적인 파는 사인파, 스퀘어파, 아리에스(Aries) 함수, 가우시안(Gaussian)파, 로렌츠형(Lorentzian)파, 또는 이들의 모든 결합을 포함하지만 이들로 한정되지는 않는 2 또는 3차원 파 형태가 될 수 있다. 예를 들면, 본 발명의 잡아 늘이거나 압축가능할 수 있고 유연한 반도체 소자는 리본의 길이를 따라 뻗어있는 실질적으로 주기적인 파동으로 특징 지어지는 외곽선 프로파일과 함께 곡면을 이루는 내표면이 구비된 구부러진 반도체 리본을 포함한다. 본 실시형태의 잡아 늘이거나 압축가능할 수 있고 유연한 반도체 소자는 리본의 길이를 따라 뻗어있는 축을 따라 확장시키거나 수축시킬 수 있고, 하나 이상의 축을 따라 구부리거나 변형시킬 수 있다.
본 발명의 본 실시형태에서 반도체 구조물의 외곽선 프로파일은 기계적인 응력이 가해지거나 반도체 소자로 힘이 가해질 때 변화할 수 있다. 그러므로, 실례가 되는 반도체 구조물의 외곽선 프로파일을 변화시키는 능력은 상당한 기계적 손상, 분열 또는 전기 효율에 있어서 실질적인 감소를 겪지 않고도 확장시키고, 수축시키고, 굴곡시키고, 변형 및/또는 구부리기 위한 그들의 능력을 위해 제공된다. 반도체 구조물의 곡면을 이루는 내표면은 지지 표면으로 지속적으로 접착될 수 있다(즉, 곡면을 이루는 내표면을 따라 실질적으로 모든 지점으로 접착된다). 선택적으로, 반도체 구조물의 곡면을 이루는 내표면은, 곡면을 이루는 내표면을 따라 선택된 지점에서 지지 표면으로 접착 되는, 지지 표면으로 지속적으로 접착될 수 있다.
본 발명은 또한, 전기 접촉, 전극, 전도 층, 유전 층, 및 부가적인 반전도 층(예컨대, 도핑된 층, P-N 접합 등), 유연한 기판의 지지 표면으로 지지되는 곡면을 이루는 내표면이 구비된 모든 것과 같은, 인쇄가능한 반도체 구조물 및 부가적인 집적 장치 부품의 결합을 포함하는 잡아 늘이거나 압축가능한 전자 장치 및/또는 장치 부품을 포함한다. 부가적인 집적 장치 부품의 곡면을 이루는 내표면 부품은 곧, 인장되거나 구부러진 형태 중에 반도체 소자와 함께 전기 전도성 및 절연을 유지하는 것과 같은 상당한 변형을 겪을 때조차 우수한 전자 효율을 보일 수 있다. 본 발명의 이러한 양상에서 부가적인 집적 장치 부품은, 상기한 바와 같이, 감기거나 주름진 형태와 같은 구부러진 형태를 가질 수 있고, 잡아 늘이거나 압축가능한 반도체 소자를 제조하는데 사용된 것과 유사한 기술을 사용하여 제조될 수 있다. 한 실시형태에서, 예컨대, 잡아 늘이거나 압축가능한 반도체 소자를 포함하는 잡아 늘이거나 압축가능한 장치 부품은 개별적으로 제조될 수 있고 곧 상호 연결된다. 선택적으로, 장치를 포함하는 반도체는 편평한 형태로 제조될 수 있고, 그 결과로 생긴 편평한 장치는 그 후에 모든 또는 일부 장치 부품로 곡면을 이루는 내표면을 제공하기 위해 공정된다.
본 발명의 인쇄가능한 반도체 소자는, 장치 환경 및 형태에 유용한 강화된 기계적, 전기적, 자기적 및/또는 광학 특성과 같은 강화된 특성을 보이는 이종 반도체 소자들을 포함할 수 있다. 이종 반도체 소자는 하나 이상의 첨가제와 결합하여 반도체를 포함한다. 본 설명의 이 맥락에서, 첨가제는 상이한 화학적 조성 및/또는 물리적 상태(예컨대, 결정질, 반결정질, 또는 비결정질 상태)를 가지는 첨가제과 같이 결합된 반도체와 다른 소자, 분자 및 복합체, 집합체 및 그들의 입자를 포함한다. 발명의 본 양상에서 유용한 첨가제는 비소, 붕소, 및 안티면, 구조물적인 강화제, 유전체 재료 및 도체 재료와 같은 다른 반도체 재료, N-형 및 P-형 불순물을 포함한다. 본 발명의 이종 반도체 소자는 획일하게 도핑된 반도체 구조물와 같은 공간적으로 동종인 조성을 가진 구조물을 포함하고, 1, 2 또는 3차원에서 공간적으로 변경된(즉, 반도체 소자 내에서 공간적으로 동종인 불순물 분배) 농도와 함께 불순물이 구비된 반도체 구조물와 같은 공간적으로 동종인 조성을 가지는 구조물을 포함한다.
다른 양상에서, 이종 반도체 소자는, 유전 층, 전극, 전기 접촉, 도핑된 접촉 층, P-N 접합, 부가적인 반전도 층, 및 충전 제한을 위한 집적 다층 스택과 같은 부가적인 집적 기능의 장치 부품이 구비된 반도체 구조물을 포함한다. 본 발명의 이 양상의 부가적인 집적 기능의 장치 부품은 반도체 포함 구조물 및 비반도체 포함 구조물 모두를 포함한다. 한 실시형태에서, 이종 반도체 소자는, 트랜지스터, 다이오드 또는 태양전지, 또는 기판 재료 상에 효과적으로 패턴되고, 조립되고/되거나 상호연결될 수 있는 다소자 기능의 장치 부품와 같은 기능적인 장치를 포함한다.
인쇄가능한 이종 반도체 소자의 사용은 본 발명의 제조 방법에서 확실한 이점을 제공한다. 첫 째로, 본 발명의 "하향식" 공정 접근법은, (i) 반도체 소자의 공간의 차원을 정의하고 (ii) 기판 위 그리고 기능적인 장치 안으로 반도체 소자를 조립한 다음의 제조 단계로부터 개별한 제조 단계에서 실시될 공간적으로 제어된 도핑과 같은 반도체 공정의 사실상 어떠한 유형도 허용한다. 본 발명에서 장치 및 장치 부품 조립 및 상호연결로부터 반도체 공정을 분리시킨 것은, 바람직하게 정의된 농도와 공간의 불순물 분배, 및 집적된, 고순도를 보이는 반도체 다층 스택과 함께 도핑된 영역이 구비된 단결정 반도체를 포함하는 재료를 가지는 매우 고품질의 반도체를 생성시키는데 유용한 조건의 범위 아래에서 실시될 반도체 재료의 공정을 허용한다. 예컨대, 반도체 공정 및 장치 부품 조립의 분리는, 고온에서 그리고 순도의 레벨이 고도로 제어된 조건 하에서 반도체 공정을 허용한다. 둘 째로, 복수의 집적 장치 부품 및/또는 기능적인 장치를 포함하는 이종 반도체 소자의 사용은 상업적으로 유용한 벙법에서 기능적인 장치 및 그들의 배향의 효율적인 많은 작업량을 허용한다. 예를 들면, 복수의 상호연결된 장치 부품을 포함하는 이종 반도체 소자를 사용한 본 발명의 장치 제조 방법은 제조 단계의 네트(net) 번호를 감소시키고/시키거나 일부 장치 제조에 포함된 비용을 낮춘다.
다른 양상에서, 본 발명은 건식 전사 접촉 인쇄 또는 용액 인쇄 기술을 포함하는 인쇄 방법의 범위를 통해 기판 상으로 또는 안으로 인쇄가능한 반도체 소자를 조립하고, 위치시키고, 조직화하고, 패턴하고/하거나 집적하는 방법을 제공한다. 본 발명의 인쇄 방법은 하나 이상의 반도체 소자를 실질적으로 그들의 전기적 특성 및/또는 기계적 특징에 영향을 미치지 않는 방법으로 기판 상으로 또는 안으로 집적할 수 있다. 게다가, 본 발명의 인쇄 방법은 기판의 선택된 영역 상으로 또는 안으로 그리고 선택된 공간의 배향에서 반도체 소자를 조립할 수 있다. 더욱이, 본 발명의 인쇄 방법은, 선택된 장치 부품 사이의 우수한 전도성, 선택된 장치 부품 사이의 우수한 절연 및/또는 장치 부품 사이의 우수한 공간의 정렬 및 상대적인 위치 선정의 확립에 의해 고성능 전자 및 광전자 장치를 제공하는 방법으로 반도체 소자 및 다른 장치 부품을 기판 안으로 그리고/또는 상으로 집적할 수 있다.
본 발명의 한 실시형태에서, 반도체 소자는 부드러운 석판 인쇄 마이크로전사 또는 나노전사 방법과 같은 건식 전사 접촉 인쇄 방법에 의해 기판 표면 상으로 조립된다. 한 가지 방법에서, 하나 이상의 인쇄가능한 소자는 하나 이상의 접촉 면적이 구비된 적합한 전사 장치와 접촉한다. 선택적으로, 정각(正角)의 접촉은 바인딩을 용이하게 하거나 이들 소자를 관련시키기 위해 접촉 면적 및 인쇄가능한 반도체 소자 사이에 확립된다. 접촉 표면 상에 배치된 반도체 소자의 적어도 일부는 실질적으로 기판의 수용부 표면과 접촉한다. 선택적으로, 적합한 전사 장치는 또한 그 위에 배치된 반도체 소자 및 수용부 표면의 적어도 일부 사이에 적합한 접촉을 확립시킨다. 적합한 전사 장치 및 반도체 소자의 접촉 표면의 분리는 반도체 소자를 수용부 표면 상으로 전사시키고, 그에 의해 기판의 수용부 표면 상에 반도체 소자를 조립한다. 장치 제조 제품에 우수한 한 실시형태에서, 인쇄가능한 반도체 소자는 선택된 영역 및 선택된 공간의 배향에서 기판 상으로 배치되고/되거나 집적된다. 선택적으로, 전사 공정은 기판의 수용부 표면의 대면적 상으로 패턴을 제공하기 위해 수차례 반복된다. 본 실시형태에서, 인쇄가능한 반도체 소자를 가지는 전사 압인기는 각 성공적인 패턴 단계를 위해 기판을 수신하는 상이한 영역과 접촉한다. 본 발명에서, 수용부 표면의 매우 대면적은 단일 모체 웨이퍼에서 파생된 반도체 소자들과 함께 패턴될 수 있다.
본 발명의 건식 전사 접촉 인쇄 방법의 사용의 이점은 인쇄가능한 반도체 소자의 패턴이 패턴을 정의하는 반도체 소자의 선택된 공간의 배향을 보존하는 방법으로 기판 표면 상으로 전사되고 조립될 수 있다는 점이다. 본 발명의 이러한 양상은, 복수의 인쇄가능한 반도체 소자가 장치 형태의 배향의 선택된 장치 형태와 직접적으로 일치하는 바람직하게 정의된 위치 및 상대적인 공간의 배향 내에서 제조되는 제품에 있어 특히 유용하다. 본 발명의 전사 인쇄 방법은 트랜지스터, 광학 도파관, MEMS, NEMS, 레이저 다이오드, 또는 완전히 형성된 회로를 포함하지만, 이로 한정되지는 않는 기능적인 장치를 포함하는 인쇄가능한 반도체 소자들 및/또는 인쇄가능한 반도체를 전사하고, 배치하고 조립할 수 있다.
다른 실시형태에서, 본 발명은 일부, 그러나 전부는 아닌, 제공된 인쇄가능한 반도체가 기판 위 또는 안으로 전사되고 조립되는 선택적인 전사 및 조립 방법을 제공한다. 본 실시형태에서, 적합한 전사 장치는 제공된 특정한 인쇄가능한 반도체 소자로 선택적으로 바인딩할 수 있다. 예를 들면, 적합한 전사 장치는 오목한 영역과 부각구조 특징부를 가지는 그의 외표면 상에 선택된 3차원 부각구조 패턴을 가질 수 있다. 본 실시형태에서 오목한 영역과 부각구조 특징부는 오로지, 선택된 인쇄가능한 반도체 소자가 부각구조 패턴에 의해 제공된 하나 이상의 접촉 면적에 의해 접촉되고, 실질적으로 기판 표면 상으로 전사되고 조립되도록만 배치될 수 있다. 선택적으로, 적합한 전사 장치는 하나 이상의 점착성 표면 코팅이 구비된 접촉 표면 및/또는 영역에서부터 뻗어있는 하이드록실기를 가지는 화학적으로 수정된 영역과 같은 바인딩 영역의 선택된 패턴이 구비된 접촉 표면이나 복수의 접촉 표면을 가질 수 있다. 본 실시형태에서, 접촉 표면 상에 바인딩 영역과 접촉된 반도체 소자만이 전사 장치와 접착되고, 실질적으로 기판 표면 상으로 전사되고 조립된다. 본 발명의 선택적인 전사 및 조립 방법의 이점은, 제1 패턴과는 상이한 인쇄가능한 반도체 소자의 제2 패턴을 생성시키는데 사용될 수 있으며 선택된 장치 형태나 장치 형태의 배향과 상응하는 위치 및 공간 배향의 제2 세트에 의해 특징 지어지는, 위치 및 공간 배향의 제1 세트에 의해 특징 지어지는 인쇄가능한 반도체 소자의 제1 패턴이다.
본 발명의 실례가 되는 적합한 전사 장치는, 탄성 중합체의 전사 압인기 또는 복합, 다층 패턴 장치와 같은 건식 전사 압인기를 포함한다. 본 발명에 유용한 적합한 전사 장치는 2005년 4월 27일 미국 특허청에 제출된, 이로써 그의 전부가 참조에 의해 편입된 "부드러운 석판인쇄용 복합 패턴 장치"로 명기된 미국 특허출원 제11/115,954호에 설명된 바와 같은 복수의 중합체 층을 포함하는 패턴 장치를 포함한다. 본 발명의 방법에서 유용한 실례가 되는 패턴 장치는 폴리(디메틸실록산)(PDMS)와 같은 낮은 영률(Young's Modulus)을 가지는, 바람직하게는 일부 제품을 위해 대략 1 마이크론 ~ 대략 100 마이크론의 범위로부터 선택된 두께를 가지는 중합체 층을 포함한다. 낮은 율의 중합체 층 사용은 하나 이상의 인쇄가능한 반도체 소자, 특히, 곡면을 이루는, 울퉁불퉁한, 편평한, 매끄러운 및/또는 외곽선의 노출된 표면이 구비된 인쇄가능한 반도체 소자와의 우수한 정각의 접촉을 확립할 수 있고, 곡면을 이루는, 울퉁불퉁한, 편평한, 매끄러운 및/또는 외곽선의 기판 표면과 같이 넓은 범위의 표면 형태를 가지는 기판 표면과의 우수한 정각의 접촉을 확립할 수 있는 전사 장치를 제공할 수 있기 때문에 유용하다.
선택적으로, 본 발명의 전사 장치는 내표면과 마주보는 외표면을 가지며, 높은 율의 중합체 층, 세라믹 층, 유리 층 또는 금속 층과 같은 높은 영률이 구비된 제2 층을 더 포함할 수 있다. 본 실시형태에서, 제1 중합체 층의 내표면 및 제2 높은 율의 제2 층의 내표면은, 높은 율의 제2 층의 외표면으로 가해진 힘이 제1 중합체 층으로 전사된 것과 같이 정렬된다. 존 발명의 전사 장치 내 높은 율의 제2 중합체 층(또는 지지 층)의 사용은 우수한 바인딩, 전사 및 조립 특성을 제공할 수 있을 만큼 충분히 큰 네트의 휘는 강성을 가지는 전사 장치를 제공할 수 있기 때문에 유용하다. 예컨대, 대략 1 x 10-7 Nm ~ 대략 1 x 10-5 Nm의 범위로부터 선택된 네트의 휘는 강성을 가지는 전사 장치의 사용은 기판 표면과 정각의 접촉을 확립한 접촉 표면에 접착된 반도체 소자의 위치 및/또는 기타 구조물의 왜곡을 최소화한다. 또한, 높은 율의, 단단한 지지층의 사용은, 예컨대, 인쇄가능한 반전도 층의 크래킹을 방지함으로써, 전사 중에 인쇄가능한 반도체 소자의 저하를 예방하는데 유용하다. 이 특성은 높은 배치 정밀도 및 우수한 패턴 정확도를 보이는 인쇄가능한 반도체 소자 조립의 방법 및 장치를 제공한다. 본 발명의 전사 장치는 2005년 4월 27일 미국 특허청에 제출된, 이로써 그의 전부가 참조에 의해 편입된 "부드러운 석판인쇄용 복합 패턴 장치"로 명기된 미국 특허출원 제11/115,954호에서 가르친 바와 같이, 모든 접촉 표면으로 전사 장치에 인가된 힘의 획일한 분배를 제공하기 위해 중합체 층을 포함하는 부가적인 층을 포함할 수 있다.
다른 접근법에서, '부드러운 점착'의 원리는 전사를 안내하는데 사용된다. 여기서, 전사 소자 상에 있는 표면 재료의 점탄성 물질의 성질은 박리율에 의존하는 박리력(즉, 표면으로부터 대상물을 리프트오프(lift off)할 수 있는 힘)으로 이끈다. 높은 박리율에서, 이 힘은 전사 소자의 정적 표면 에너지가 기판의 그것보다 낮을 때조차 기판으로부터 대상물을 제거하고 그들을 전사 소자 상으로 전사할 수 있을 만큼 충분히 크다. 낮은 박리율에서, 이 박리력은 낮다. 일부 실시형태에서, 최종 기판과 반대 방향에 대상물의 배향을 지지하고, 곧 소자를 박리시키는 전사 소자와 접촉함으로써, 이들 대상물을 전사 소자로부터 기판으로 전사하도록 천천히 이끈다. 제어된 박리율을 사용한 본 발명의 이런 접근법은 여기에 설명된 다른 전사 접근법과의 결합 내에서 사용될 수 있다.
본 발명의 전사 장치는 단일의 지속적인 접촉 표면이나 복수의 비지속적인 접촉 표면을 가질 수 있다. 본 발명의 전사 장치의 접촉 표면은 오목한 영역이 구비된 선택된 3차원 부각구조 패턴 및 선택된 물리적 차원을 가지는 부각구조 특징부로 정의될 수 있다. 본 발명에 유용한 접촉 표면은 반 데르 발스 힘, 공유결합, 점착층, 그들 표면에 배치된 하이드록실기를 가지는 영역과 같은 화학적으로 수정된 영역, 쌍극자간의 힘 또는 이들의 결합에 의해 인쇄가능한 반도체 소자를 바인딩할 수 있다. 본 발명의 전사 장치는 모든 영역이 구비된 접촉 표면을 가질 수 있다.
다수의 방법은 접촉 표면으로부터 기판 표면 내로 또는 상으로 인쇄가능한 반도체 소자의 전사를 용이하게 하는데 사용될 수 있다. 실례가 되는 실시형태에서, 기판 표면 및 접촉 표면의 표면 에너지 차는 기판 표면으로의 전사를 촉진시킨다. 예컨대, 전사는, 폴리미드, 폴리카보네이트 또는 마일라 기판과 같은 높은 표면 에너지를 가지는 기판 표면에 대해 낮은 표면 에너지를 가지는 PDMS 층으로 이루어진 접촉 표면으로부터 효과적으로 얻어질 수 있다. 게다가, 전사된 인쇄가능한 반도체 소자와의 접촉 이전에 및/또는 중에 가열함으로써 연화되거나 부분적으로 녹을 수 있고, 이에 따라 기판 내에 장착된 반도체 소자들을 생성시킬 수 있다. 반도체 소자로부터 접촉 표면을 분리하기 이전에 기판을 식히거나 강화시키도록 허용함으로써 효과적인 전사를 촉진시킨다. 선택적으로, 기판 표면은 반도체 소자를 위해 기판의 강화된 친화성을 보이는 하나 이상의 화학적인 수정된 영역을 가질 수 있다. 예를 들면, 수정된 영역은 하나 이상의 점착성 층으로 피복될 수 있거나 효과적인 전사 및 조립을 촉진하기 위해 반도체 소자와 함께 효과적인 공유결합, 능동 반 데르 발스 힘, 쌍극자간 힘 또는 이들의 결합을 견딜 수 있도록 수정될 수 있다. 선택적으로, 부분적으로 중합된 중합체 전구체는 반도체 소자 또는 다른 장치 부품와 접촉될 수 있고, 거기에 장착된 반도체 소자를 가지는 기판의 형성의 결과로 그 후 중합된다.
실례가 되는 실시형태에서, 인쇄가능한 반도체 소자는 인쇄가능한 반도체 소자의 정의 및 제조 중에 기판 상으로 패턴된 포토마스크로서 사용된 포토리지스트(photoresist)의 층과 같은 얇은 해체 층으로 코팅된 윗 표면이 구비된 것으로 제조된다. 적합한 전사 장치의 접촉 표면은 인쇄가능한 반도체 소자의 코팅된 표면과 정각의 접촉을 일으킨다. 해체 층은 인쇄가능한 반도체 소자와 전사 장치의 접촉 표면과의 접착을 용이하게 한다. 해체 층으로 코팅되지 않은 인쇄가능한 반도체 소자의 표면은 곧 기판의 수용부 표면과 접촉된다. 그 다음, 해체 층은, 예컨대, 아세톤과 같은 적합한 용매에 대한 노출에 의해 제거되고, 이로써 적합한 전사 장치로부터 인쇄가능한 반도체 소자를 분리시킨다. 선택적으로, 수용부 표면은 인쇄가능한 반도체 소자의 전사를 용이하게 하기 위해 하나 이상의 점착성 층으로 코팅될 수 있다.
본 발명의 다른 실시형태에서, 인쇄가능한 반도체 소자는 용액 인쇄에 의해 기판 표면 상으로 조립된다. 본 설명의 맥락에서, 용어 "용액 인쇄"는 인쇄가능한 반도체 소자와 같은 하나 이상의 구조물이 캐리어 유동체나 용매와 같은 캐리어 매개물로 확산되고, 합의된 방법으로 기판 표면의 선택된 영역으로 전달되는 공정과 관련된 것을 뜻한다. 실례가 되는 용액 인쇄 방법에서, 기판 표면의 선택된 용액에 대한 구조물의 전달은 패턴을 견디는 기판 표면의 형태 및/또는 물리적인 특성과 개별적인 방법에 의해 얻어진다. 다른 실시형태에서, 인쇄가능한 반도체 소자는 용매가 증발되거나, 정전기력, 자기력 또는 음파에 의해 제공된 힘과 같은 적용된 힘이 인쇄가능한 반도체 소자를 용액 밖으로 그리고 기판의 선택된 영역 상으로 끌어당길 때까지 용매에 내에 부유하여 잔존한다. 이 기능성은 시기상조의 침적작용을 막는데 필요한 인쇄가능한 반도체 소자의 적합한 물리적 차원 및 질량의 선택에 의해 제공될 수 있다. 이 방법에서, 본 발명의 용액 인쇄 방법은 캐리어 매개물에서 부유하는 소자가 중력으로 인해 용액으로부터 빠져나오고 기판의 오목한 영역으로 정적으로 흘러들어간 일부 유동체 자가 조립 방법과 다르다.
본 발명의 기판의 수용부 표면 상에 인쇄가능한 반도체 소자를 조립하는 방법은 캐리어 매개물 내에 인쇄가능한 반도체 소자를 확산시키는 단계를 포함하고, 이로써 캐리어 매개물 내에 반도체 소자를 포함하는 부유물을 생성시킨다. 반도체 소자는 수용부 표면 상으로 부유물을 용액 인쇄함으로써 기판으로 전달되고, 이로써 상기 수용부 표면 상으로 반도체 소자를 조립한다. 본 실시형태에서, 용액 인쇄는, 잉크젯 인쇄, 열 전사 인쇄 및 스크린 프린팅을 포함하지만, 이로 한정되지는 않는 많은 종래 기술로서 제공될 수 있다. 본 발명의 용액 인쇄 방법은 또한 자가 정렬 기술을 사용할 수 있다. 예를 들면, 한 실시형태에서, 패턴된 소수성(疏水性) 및 친수성(親水性) 기를 가지는 인쇄가능한 반도체 소자의 정렬, 배치 및 인쇄정합은 (카르복실 산 종단 표면 기와 같은) 우대의 패턴된 소수성 영역 및 (카르복실 산 종단 표면 기와 같은) 친수성 영역이 구비된 수용부 표면 상에 정렬된다. 본 발명의 용액 인쇄 방법은 또한 정렬, 배치 및 인쇄정합을 얻기 위해 확산된 인쇄가능한 반도체 소자를 포함하는 방울의 모세관 현상을 이용할 수 있다.
선택적으로, 배향, 정렬 및 반도체 소자 및/또는 기판 표면 상의 다른 장치 부품의 선택적 증착을 제어하기 위해 다수의 방법이 본 발명에서 사용될 수 있다. 이들 방법은 정확하게 명기된 상대적인 위치 및 공간의 배향을 가지는 다수의 상호연결된 장치 부품을 포함하는 복합 집적 전자 및 광전자 장치의 제조를 용이하게 한다. 예컨대, 정전기력, 음파 및/또는 정자기력은 기판 표면 상에서 특정한 위치 및 선택된 공간의 배향에서 반도체 소자와 다른 장치 부품의 배치를 용이하게 하는데 사용될 수 있다. 선택적으로, 기판 표면 그 자체의 특성 및/또는 조성은 반도체 소자 및 다른 장치 부품의 정확한 배치를 얻기 위해 선택된 영역 내에서 수정될 수 있다. 예컨대, 기판 표면의 선택된 영역은 그들이 반도체 소자들을 위해 선택된 친화성을 보일 수 있도록 화학적으로 수정될 수 있다. 게다가, 기판 표면의 전기적 특성은 인쇄가능한 반도체 소자 및 다른 장치 부품의 선택적 집적, 배향 및 정렬을 용이하게 하기 위해, 예컨대, 특정한 표면 영역에서 잠재적인 홀의 형성에 의해 수정될 수 있다.
본 발명의 인쇄 방법은 고효율 전자 및/또는 광전자 장치의 제조에 중요한 다수의 이점을 가진다. 첫 째로, 본 발명의 인쇄 방법은, 크래킹으로부터의 손상과 같이 상당한 손상 또는 저하를 유발시키기에 충분히 큰 기계적 변형으로 이들 구조물을 노출하지 않고도 무기질의 단결정 반도체 구조물을 전사하고 조립할 수 있다. 둘 째로, 본 발명의 인쇄 방법은, 우수한 배치 정밀도 (즉, 수용부 표면의 선택된 영역에 관한 우수한 공간의 인쇄 정합), 특히, 5 마이크론보다 작거나 같은 기판 상의 완벽하게 정확한 배향 및 위치로부터의 공간 편차와 함께, 선택된 배향에서 기판 표면의 선택된 영역 상에 하나 이상의 반도체 소자를 배치할 수 있다. 셋 째로, 본 발명의 인쇄 방법은, 다수의 반도체 소자, 다른 장치 소자, 집적된 기능적인 장치 또는 기능적인 장치 또는 장치의 배향에 상응하는 공간의 형태와 같이 선택된 공간의 형태에 관한 우수한 정확도를 가지는 이들의 결합 모두를 포함하는 패턴을 생성시킬 수 있다. 넷 째로, 본 발명의 인쇄 방법은 상대적으로 낮은 온도(즉, 섭씨 400도 미만의 온도)에서 이뤄질 수 있고, 따라서 넓은 범위의 기판, 특히, 플라스틱 기판과 호환될 수 있다. 결과적으로, 본 발명의 인쇄 방법은 저렴한 고효율 전자 및/또는 광전자 장치 제조의 수단을 제공하며, 무균실 조건을 필요로 하지 않는다.
본 조성 및 기판 상으로 또는 안으로 인쇄가능한 반도체 소자를 조립, 배치, 조직화, 전사, 패턴 및/또는 집적에 관련된 방법은 하나 이상의 반도체 소자를 포함하는 사실상 모든 구조물을 제조하는데 사용될 수 있다. 이들 방법은 복합 집적 전자 또는 광전자 장치 또는 다이오드의 배향, LED, 태양 전지, 트랜지스터(FET 및 바이폴라), 및 박막 트랜지스터와 같은 장치 배향 제조에 특히 유용하다. 본 조성 및 관련된 방법은 또한, 인쇄가능한 반도체 소자가 잘 정의된 공간의 배향에 있는 기판 상으로 인쇄되고 우수한 회로 디자인을 형성하기 위해 상호연결된, 상보적인 논리 회로와 같은 시스템 레벨 집적 전자 회로의 제조에 유용하다.본 발명의 이러한 양상의 한 실시형태에서, 선택된 불순물 농도 및 불순물 공간의 분배와 함께 인쇄가능한 N-형 및 P-형 이종 반도체 소자는 복합 집적 회로를 제조하기 위해 조립되고 상호연결된다. 다른 실시형태에서, 상이한 반도체 재료를 포함하는 다수의 인쇄가능한 반도체 소자는 동일한 기판 상으로 인쇄되고 복합 집적 회로를 제조하기 위해 상호연결된다.
그러나 본 발명의 조립 방법은 반도체 소자로 한정되지 않는다. 오히려 이들 방법은 반도체 소자가 아닌 넓은 범위의 재료와 폭넓게 호환된다. 본 발명의 방법에 의해 전사되고/되거나 조립된 재료는 SiO2와 같은 절연 재료, 도체와 같은 연결 재료, 능동 광학 재료, 수동 광학 재료 및 제품 및 자기 재료를 센싱하기 위한 광섬유 소자, 재료와 같은 광학 소자를 포함하지만 이로 한정되지는 않는다. 따라서, 본 방법, 장치 및 자치 부품은 마이크로유동성의 장치 및 구조물, NEMS 장치 및 NEMS 장치의 배향 및 MEMS 장치 및 MEMS 장치의 배향과 같이 넓은 범위의 마이크로사이즈 및/또는 나노사이즈 구조물 및 구조물의 배향을 제조하는데 사용된다. 특히, 본 발명의 전사 및 조립 방법은 다수의 인쇄 레벨의 순차적인 오버레이(overlay)에 의해 집적 회로와 같은 복합 3차원 구조물을 생성시키는데 사용될 수 있다.
본 조성, 그리고 관련된 제조, 조립 및 상호연결 방법은 장치 제조, 특히 넓은 범위의 기판의 대면적 상의 반도체 기반 장치에 유용하다. 본 방법의 이익은, 가열 가소성 재료, 열경화성 재료, 강화된 중합체 재료 및 복합 중합체 재료와 같은 중합체 재료를 포함하는 가장 유연한 기판과 호환되는 온도에서 장치 조립과 호환된다는 것이다. 그러나, 본 발명은 세라믹 재료, 유리, 유전체 재료, 도체, 금속 및 반도체 재료를 포함하는 단단하고/하거나 내구성 약한 기판 상에 장치 제조를 동등하게 적용할 수 있다. 내구성 약한 재료 상에서의 장치 제조에 대한 이들 방법의 적용가능성은 본 발명의 인쇄 방법을 사용한 기판에 부여된 매우 낮은 힘에서 생성한다. 본 조성 및 제조 방법은 또한, 종이, 나무 및 고무, 그리고 곡면을 이루는 기판, 곡면을 이루는 단단한 기판, 오목한 기판 및 볼록한 기판을 포함하는 외곽선 기판과 같이 더 독특한 기판 재료 상에서의 장치 제조와 호환된다. 예컨대, 본 방법은, 대략 10 마이크론 ~ 대략 10 미터 범위인 만곡의 반경을 가지는 단단하고 유연한 기판을 포함하는 기판 상에서 인쇄가능한 반도체 소자 및 다른 장치 부품(예컨대, 전극, 유전 층, P-N 접합 등)를 조립하고 집적할 수 있다.
다른 양상에서, 본 제조 방법은 인쇄가능한 반도체 소자를 기능적인 기판안으로 이종 집적할 수 있다. 예를 들면, 본 발명의 인쇄 방법은 인쇄가능한 반도체 소자를 잘 정의된 반도체 영역, 전도성 영역 및/또는 절연 영역 안으로 증착하고 집적할 수 있다. 본 제조 방법의 이점은, 특히, 본 발명의 건식 전사 접촉 인쇄 방법의 맥락에서, 인쇄가능한 반도체 소자가 높은 배치 정밀도와 함께, 선택된 배향 및 위치 내에서 집적 회로 또는 집적 회로의 부품와 같은 기능적인 기판 상으로 인쇄될 수 있다는 점이다.
본 발명의 인쇄가능한 반도체 소자는 넓은 범위의 재료로부터 제조될 수 있다. 인쇄가능한 반도체 소자 제조에 유용한 전구체 재료는, 단결정 실리콘 웨이퍼, 다결정 실리콘 웨이퍼, 게르마늄 웨이퍼와 같은 벌크 반도체 웨이퍼; 초박막 실리콘 웨이퍼와 같은 초 박막 반도체 웨이퍼; P-형 또는 N-형의 도핑된 웨이퍼 및 불순물, SOI(예컨대, Si-SIO2, SiGe)와 같은 SOI 웨이퍼의 선택된 공간의 분배를 갖는 웨이퍼와 같은 도핑된 반도체 웨이퍼; 및 기판 웨이퍼 상의 실리콘 및 SOI와 같은 기판 웨이퍼 상의 반도체를 포함하는 반도체 웨이퍼 소스를 포함한다. 더욱이, 본 발명의 인쇄가능한 반도체 소자는 긁은 자국 또는 사용되지 않은 고품질 또는 종래의 방법을 사용한 반도체 장치 공정에서 남은 재생 제조된 반도체 재료로부터 제조될 수 있다. 게다가, 본 발명의 인쇄가능한 반도체 소자는 희생층 또는 기판(예컨대, SiN or SiO2) 상에 증착되고 그 뒤에 어닐링되는 비결정질, 다결정 및 단결정 반도체 재료(예컨대, 다결정 실리콘, 비결정질 실리콘, 다결정 GaAs 및 비결정질 GaAs)와 같은 다양한 비웨이퍼 소스로부터 제조될 수 있다.
본 발명은 또한 인쇄가능한 반도체 소자 및 유연한 반도체 소자 제조의 방법을 포함할 수 있다. 이들 방법은 SOI 실리콘 웨이퍼, 다결정 결정질 실리콘의 박막, 초박막 실리콘 웨이퍼 및 게르마늄 웨이퍼와 같이 넓은 범위의 전구체 재료로부터 인쇄가능한 반도체 소자 및 유연한 반도체 소자의 제조를 용이하게 한다. 게다가, 게다가, 이들 방법은 넓은 범위의 형상 및 물리적 차원을 갖는 인쇄가능한 반도체 소자의 생성을 용이하게 한다. 더욱이, 본 방법은 잘 정의된, 상대적인 공간의 배향에서 인쇄가능한 반도체 소자의 큰 배향/패턴의 저렴한 제조를 용이하게 한다.
다른 양상에서, 본 발명은 (1) 단일의 무기질 반도체 구조물을 포함하는 인쇄가능한 반도체 소자를 제공하는 단계; (2) 인쇄가능한 반도체 소자와 접촉 표면을 가지는 적합한 전사 장치의 접촉 단계로서, 접촉 표면 및 인쇄가능한 반도체 소자 사이의 접촉이 인쇄가능한 반도체 소자를 접촉 표면으로 바인딩하거나 결합시킴으로써 그 위에 증착된 인쇄가능한 반도체 소자를 가지는 접촉 표면을 형성하는 단계; (3) 접촉 표면 상에 증착된 인쇄가능한 반도체 소자와 기판의 수용부 표면의 접촉 단계; 및 (4) 적합한 전사 장치의 접촉 표면 및 인쇄가능한 반도체 소자를 분리시키는 단계로서, 인쇄가능한 반도체 소자가 수용부 표면 상으로 전사됨으로써 인쇄가능한 반도체 소자를 기판의 수용부 표면 상으로 조립하는 단계:를 포함하는 기판의 수용부 표면 상에서 인쇄가능한 반도체 소자 조립을 위한 방법을 제공한다. 한 실시형태에서, 본 발명의 이러한 방법은 (1) 부가적인 인쇄가능한 반도체 소자를 제공하는 단계로서, 그 각각은 단일의 무기질 반도체 구조물을 포함하는 단계; (2) 인쇄가능한 반도체 소자와 접촉 표면을 구비하는 적합한 전사 장치의 접촉 단계로서, 접촉 표면 및 인쇄가능한 반도체 소자 사이의 접촉이 인쇄가능한 반도체 소자를 접촉 표면으로 바인딩하거나 결합시키고 인쇄가능한 반도체 소자의 선택된 패턴을 포함하는 상대적인 배향에서 그 위에 증착된 인쇄가능한 반도체 소자를 가지는 접촉 표면을 생성시키는 단계; (3) 접촉 표면 상에 증착된 인쇄가능한 반도체 소자와 기판의 수용부 표면의 접촉 단계; 및 (4) 적합한 전사 장치의 접촉 표면 및 인쇄가능한 반도체 소자를 분리시키는 단계로서, 인쇄가능한 반도체 소자가 선택된 패턴을 포함하는 상대적인 배향에서 수용부 표면 상으로 전사되는 단계:를 더 포함한다.
다른 양상에서, 본 발명은 (1) 단일의 무기질 반도체 구조물을 포함하는 인쇄가능한 반도체 소자를 제공하는 단계로서, 인쇄가능한 반도체 소자가 대략 500 나노미터보다 크거나 같은 크기의 횡단면을 적어도 하나 갖는 단계; (2) 용매 내에서 반도체 소자를 확산시킴으로써 용매 내에 반도체 소자를 포함하는 부유물을 생성시키는 단계; 및 (3) 부유물을 수용부 표면 상으로 용액 인쇄함에 따라 반도체 소자를 기판으로 전달함으로써 반도체 소자를 수용부 표면 상으로 조립하는 단계:를 포함하는 기판의 수용부 표면 상에서 인쇄가능한 반도체 소자 조립을 위한 방법을 제공한다. 한 실시형태에서, 본 발명의 이러한 방법은 (1) 부가적인 인쇄가능한 반도체 소자를 제공하는 단계로서, 상기 부가적인 인쇄가능한 반도체 소자는 대략 500 나노미터보다 크거나 같은 크기의 횡단면을 적어도 하나 갖는 단계; (2) 용매 내에서 반도체 소자를 확산시킴으로써 용매 내에 반도체 소자를 포함하는 부유물을 생성시키는 단계; 및 (3) 부유물을 수용부 표면 상으로 용액 인쇄함에 따라 반도체 소자를 기판으로 전달함으로써 반도체 소자를 수용부 표면 상으로 조립하는 단계:를 더 포함한다.
다른 양상에서, 본 발명은 (1) 외표면이 구비된 웨이퍼를 제공하는 단계로서, 웨이퍼는 반도체 소자를 포함하는 단계; (2) 마스크 적용에 의해 외표면의 선택된 영역을 마스킹 하는 단계; (3) 웨이퍼의 외표면을 에칭(선택적으로 이방성으로 에칭)함으로써 웨이퍼 상에 부각구조 및 적어도 하나의 웨이퍼의 노출된 표면을 생성시키는 단계로서, 부각구조는 마스킹 된 측면 및 마스킹 되지 않은 하나 이상의 측면이 구비된 단계; (4) 부각구조의 마스킹 되지 않은 측면의 적어도 일부로 마스크를 적용하는 단계; 및 (5) 웨이퍼의 노출된 영역을 적어도 부분적으로 에칭함으로써 부각구조의 일부를 웨이퍼로부터 해체시키고 인쇄가능한 반도체 소자를 제조하는 단계:를 포함하는 인쇄가능한 반도체 소자 제조의 방법을 제공한다. 본 실시형태에서, 스퍼터링(sputtering) 또는 증기 증착과 같은 앵글드(angled) 증착 방법에 의해, 또는 외표면 상에서 마스크의 일부를 마스킹 되지 않은 측면으로 유입시킴으로 인해 마스크는 부각구조의 마스킹 되지 않은 측면으로 적용될 수 있다.
다른 양상에서, 본 발명은 (1) 외표면이 구비된 웨이퍼를 제공하는 단계로서, 웨이퍼는 반도체 소자를 포함하는 단계; (2) 제1 마스크 적용에 의해 외표면의 선택된 영역을 마스킹 하는 단계; (3) 웨이퍼의 외표면을 에칭(선택적으로 이방성으로 에칭)함으로써 다수의 부각구조을 생성시키는 단계; (4) 웨이퍼를 어닐링함으로써 어닐링된 외표면을 생성시키는 단계; (5) 제2 마스크 적용에 의해 어닐링된 외표면의 선택된 영역을 마스킹 하는 단계; (6) 어닐링된 외표면을 에칭(선택적으로 이방성으로 에칭)함으로써 반도체 소자를 생성시키는 단계:를 포함하는 인쇄가능한 반도체 소자 제조의 방법을 제공한다.
다른 양상에서, 본 발명은 (1) 외표면이 구비된 초박막 웨이퍼를 제공하는 단계로서, 상기 웨이퍼는 외표면에 직각인 축을 따라서 반도체를 포함하고 선택된 두께를 가지는 단계; (2) 마스크 적용에 의해 외표면의 선택된 영역을 마스킹 하는 단계 (3) 웨이퍼의 외표면을 에칭(선택적으로 이방성으로 에칭)하는 단계로서, 상기 웨이퍼는 외표면에 직각인 축을 따라서 두께를 통해 에칭됨으로써 인쇄가능한 반도체 소자를 생성시키는 단계:를 포함하는 인쇄가능한 반도체 소자 제조의 방법을 제공한다.
다른 실시형태에서, 본 발명은 (1) 내표면이 구비된 인쇄가능한 반도체 소자를 제공하는 단계; (2) 예비 변형된 탄성체 기판을 확장 상태로 제공하는 단계로서, 상기 탄성체 기판은 외표면이 구비된 단계; 및 (3) 인쇄가능한 반도체 구조물의 내표면을 확장 상태로 예비 변형된 탄성체 기판의 외표면으로 접착하고; 적어도 부분적으로 이완된 상태로 탄성체 기판을 이완시키는 단계로서, 탄성체 기판의 이완이 인쇄가능한 반도체 소자의 내표면을 구부림으로써 곡면을 이루는 내표면이 구비된 반도체 소자를 생성시키는 단계:를 포함하는 유연한 반도체 소자 제조를 위한 방법을 제공한다. 실례가 되는 실시형태에서, 예비 변형된 탄성체 기판은 제1 축, 제1 축 또는 둘 모두에 직각인 제2 축을 따라 확장된다. 선택적으로, 본 발명의 이러한 양상의 방법은 곡면을 이루는 내표면을 갖는 반도체 소자를 유연한 수용부 표면으로 전사하는 단계를 더 포함할 수 있다.
다른 실시형태에서 본 발명은 (1) 외표면이 구비된 모체 웨이퍼를 제공하는 단계로서, 상기 웨이퍼는 무기질 반도체 재료를 포함하는 단계; (2) 마스크 적용에 의해 외표면의 선택된 영역을 마스킹 하는 단계; (3) 웨이퍼의 외표면을 에칭함으로써 부각구조 및 적어도 하나의 웨이퍼의 노출된 표면을 생성시키는 단계로서, 부각구조는 마스킹 된 측면 및 마스킹 되지 않은 하나 이상의 측면이 구비된 단계; (4) 웨이퍼의 노출된 표면을 에칭하는 단계; 및 (5) 노출된 구조물의 에칭을 정지시켜 부각구조의 완전한 해제가 방지됨으로써 하나 이상의 정렬 유지 소자를 통해 모체 웨이퍼에 연결된 인쇄가능한 반도체 소자를 제조하는 단계:를 포함하는 하나 이상의 정렬 유지 소자를 통해 모체 웨이퍼에 연결된 인쇄가능한 반도체 소자 제조를 위한 방법을 제공한다. 본 발명의 한 실시형태에서 인쇄가능한 반도체 소자는 제1 및 제2 말단과 함께 땅콩 형상을 가지는데, 상기 정렬 유지 소자는 인쇄가능한 반도체 소자의 제1 및 제2 말단을 모체 웨이퍼로 연결한다. 본 방법의 다른 실시형태에서 인쇄가능한 반도체 소자는 제1 말단 및 제2 말단과 함께 리본 형상을 가지는데, 상기 정렬 유지 소자는 인쇄가능한 반도체 소자의 제1 및 제2 말단을 모체 웨이퍼로 연결한다.
본 발명은 플라스틱 기판과 같은 기판 표면 상에, 반도체를 포함하는 전자 장치와 같은 구조물 및/또는 장치를 제조하기 위한 방법, 장치 및 장치 부품을 제공한다. 특히, 본 발명은 유연한, 저렴한 인쇄 방법에 의해 전자 장치, 광전자 장치 및 다른 기능적인 전자 조립 제조를 위한 인쇄가능한 반도체 소자를 제공한다. 본 발명은 또한 큰 기판 영역에 걸쳐 우수한 배치 정밀도 및 패턴 정확도를 제공하는 건식 전사 접촉 인쇄 및/또는 용액 인쇄 기술을 사용한 인쇄가능한 반도체 소자들을 조립 및/또는 패턴하기 위한 방법을 제공하는 것으로 전계 효과성 이동도, 역치 전압 및 on-off 비와 같은 우수한 전자 효율 특성을 보이는 인쇄가능한 반도체 소자가 구비된 플라스틱 기판, 특히 충분히 유연한 박막 트랜지스터로 지지되는 하나 이상의 인쇄가능한 반도체 소자를 포함하는 우수한 전자 효율 집적 전자 및/또는 광전자 장치를 제공한다.
도1은 단결정 실리콘의 리본을 포함하는 인쇄가능한 반도체 소자의 제조 및 조립을 위한 본 발명의 실례가 되는 방법을 도식적으로 설명한다.
도2는 기판의 수용부 표면 상으로 인쇄가능한 반도체 소자를 조립하기 위한 선택적 건식 전사 접촉 인쇄 방법을 도시하는 약도를 제공한다.
도3A-C는 본 발명의 선택적 건식 전사 접촉 인쇄 방법에 유용한 장치, 장치 구성 및 장치 부품을 나타내는 약도이다. 도3D는 폴리카보네이트 렌즈(FL 100mm)의 구형의 표면 상으로 인쇄된 광다이오드의 배향의 사진을 제공한다. 도3E는 구형 유리 렌즈(FL 1000mm)의 곡면을 이루는 표면 상으로 인쇄된 광다이오드의 배향의 주사 전자 현미경 사진을 제공한다. 도3E에 제공된 이미지에서의 대비는 p 도핑된 영역을 보여주기 위해 약간 강화되어 있다. 도3F는 도3E에 설명된 광다이오드의 광응답을 도시하는 전기 전류(mA) 대 잠재적인 비아(bia) (volt)의 도표를 제공한다.
도4A 및 도A2는 건식 전사 접촉 인쇄를 사용한 본 발명의 조립 방법을 위한 인쇄가능한 반도체 소자의 우수한 형상을 나타낸다. 도4A는 투시도를 제공하며 도4B는 평면도를 제공한다. 도4C 및 도4D는 건식 전사 접촉 인쇄를 사용한 본 발명의 조립 방법을 위한 인쇄가능한 반도체 소자의 우수한 형상을 나타낸다. 도4C는 투시도를 제공하며 도4D는 평면도를 제공한다.
도5A-C 선택된 물리적 차원을 가지는 단결정 실리콘의 마이크로스트립(microstrip)을 포함하는 인쇄가능한 반도체 소자의 범위의 광학 및 주사 전자 현미경 사진을 나타낸다.
도6은 PDMS 코팅된 폴리미드 시트 상에 단결정 실리콘 마이크로스트립을 포함하는 전사된 인쇄가능한 반도체 소자의 이미지를 나타낸다.
도7은 인쇄가능한 반도체 소자를 가지는 박막 트랜지스터의 광학 현미경 사진 이미지를 나타낸다.
도8은 이전에 산화된 Si 웨이퍼 상에 형성된 장치의 전류-전압(IV) 특성을 보이는 도표를 제공한다.
도9는 ITO 게이트 및 중합체 유전체로 코팅된 마일라 시트 상에 형성된 장치의 VDS=0.1 V에서 측정된 전사 특성을 보이는 도표를 제공한다.
도10A-H는 복합 인쇄가능한 반도체 소자를 가지는 박막 트랜지스터 상에 배향을 제조하기 위한 본 발명의 방법을 도시하는 약도를 제공한다.
도11A-D는 집적된 게이트 전극, 게이트 유전체, 반도체, 소스 전극 및 드레인 전극을 포함하는 인쇄가능한 장치를 제조하기 위한 본 발명의 방법을 도시한 도면을 제공한다.
도12는 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자를 나타내는 원자력 현미경 사진을 제공한다.
도13은 곡면을 이루는 내표면이 구비된 구부러진 반도체 구조물의 확대된 뷰를 제공하는 원자력 현미경 사진을 나타낸다.
도14는 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자의 배향의 원자력 현미경 사진을 나타낸다. 도14에서 원자력 현미경 사진의 분석은 구부러진 반도체 구조물을 대략 0.27%로 압축시켰음을 제안한다.
도15는 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자의 광학 현미경 사진을 나타낸다.
도16은 그 지지 표면 상에 3차원 부각구조 패턴을 갖는 유연한 기판과 접착된 구부러진 반도체 소자를 갖는 본 발명에 따른 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자의 원자력 현미경 사진을 나타낸다.
도17은 본 발명에 따른 잡아 늘이거나 압축가능한 반도체 소자의 제조방법의 실례가 되는 흐름도이다.
도18A는 Si-Ge epi 기판으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다.
도18B는 벌크 실리콘 기판, 바람직하게는 단결정 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다.
도18C는 벌크 실리콘 기판, 바람직하게는 단결정 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하는 실례가 되는 또다른 방법을 나타낸다.
도18D는 여전히 벌크 실리콘 기판, 바람직하게는 단결정 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하는 실례가 되는 다른 방법을 나타낸다.
도18E는 초박막 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하는 실례가 되는 방법을 나타낸다.
도18F는 다결정 실리콘의 박막으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다.
도18G는 다결정 실리콘의 박막으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다.
도18H 및 도18I는 본 발명의 인쇄가능한 반도체 소자를 사용하여 단결정 반도체 필름을 제조하는 방법을 도시한다.
도18J는 GaAs 기판으로부터 마이크로와이어를 포함하는 인쇄가능한 반도체 소자를 제조하는 실례가 되는 방법을 나타낸다.
도18K는 단결정 실리콘 리본을 포함하는 인쇄가능한 반도체 소자 제조를 위한 대체 방법을 나타낸다.
도18L은 단결정 실리콘 리본을 포함하는 인쇄가능한 반도체 소자 제조를 위한 대체 방법을 나타낸다.
도19는 GaAs의 나노와이어 배열을 생성하고 경화된 폴리우레탄(PU)의 얇은 층으로 코팅한 폴리(에틸렌테레프탈레이트)(PET)를 포함하는 플라스틱 기판과 같은 기판으로 전사하는 실례가 되는 방법의 단계를 도시한 약도를 제공한다.
도20A는 절연된 SiO2 라인과 함께 패턴된 GaAs 웨이퍼로부터 얻은 독립된 GaAs 와이어의 주사 전자 현미경 사진을 제공한다. 도20B-E는 2 ㎛ 폭의 SiO2 라인과 함께 패턴된 GaAs 웨이퍼를 에칭함으로써 얻은 각 와이어의 주사 현미경 사진을 나타낸다. 도20F는 본 발명에 의해 에칭 시간에 제조된 와이어의 상측 표면의 평균 폭(
Figure 112015062079363-pat00001
)의 상관관계를 나타내는 도표를 제공한다.
도21A-G는 PDMS 및 PU/PET 기판 상에 인쇄된 매우 다양한 GaAs 와이어 배열의 이미지를 나타낸다.
도22A-C는 PDMS 및 PU/PET 기판 상의 INP 와이어 배열의 주사 전사 현미경 사진을 나타낸다.
도23A는 GaAs 와이어 배열을 포함하는 실례가 되는 두 개의 말단 다이오드 장치의 약도 및 이미지를 제공한다. 도23B는 상이한 곡률 반경에서 기록된 전류-전압(I-V) 커브를 나타낸다는데, 이는 GaAs 와이어를 포함하는 두 개의 종결 다이오드 장치가 예상된 다이오드 특성을 보인다. 도23C는 상이한 곡률 반경에서 구부림 이후에 이완 이후의 두 개의 말단 다이오드 장치를 측정한 전류-전압(I-V) 커브를 나타낸다.
도24는 자기 태그를 포함하는 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 용액 인쇄하기 위한 본 발명의 실례가 되는 방법을 도시한 약도를 제공한다.
도25는 니켈 층을 포함하는 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 포함하는 마이크로구조물의 우수한 차수 배열을 생성하기 위한 본 발명의 용액 인쇄 방법의 사용을 설명하는 몇몇의 광학 이미지를 제공한다.
도26A는 본 발명의 실례가 되는 구부릴 수 있는 박막 트랜지스터 장치를 제조하는데 사용된 단계를 설명한다. 도26B는 장치 배열 일부의 고 및 저 배율과 함께 박막 트랜지스터의 하단 게이트 장치 형태의 약도를 나타낸다.
도27A는 접촉의 효과를 무시하는 표준 전계 효과성 트랜지스터의 제품에 의해 평가되는 것 같이 포화 형에서 140 cm2/Vs 및 선 형에서 260 cm2/Vs의 효과성 장치 이동도를 보이는 본 발명의 구부릴 수 있는 박막 트랜지스터의 전류 전압 특성을 나타낸다. 도27B는 선형 (왼쪽 축) 및 대수 (오른쪽 축) 눈금 상에 표시된 몇몇의 장치의 전사 특성을 나타낸다. 도27C는 본 발명에 의해 제조된 몇몇의 구부릴 수 있는 박막 트랜지스터의 선형 효과성 이동도의 분배를 나타낸다.
도28A는 인쇄가능한 단결정 실리콘 반도체 소자의 주목할 만한 신축성을 도시하는 용액 주조(왼쪽 내삽도)의 고해상 주사 전자 현미경 사진을 나타낸다. 도28A의 오른쪽 내삽도는 본 연구에서 평가된 구부릴 수 있는 박막 트랜지스터를 구부리는데 사용된 실험적인 기구의 사진을 나타낸다. 도28B는 신장 및 압축 변형을 겪을 때 에폭시 유전체 캐패시턴스의 작은 (~<1%) 선형 변화율을 나타낸다(상측 내삽도를 보라). 도29B에서 하측의 내삽도는 둘 다 4V의 게이트 및 드레인 바이어스 전압을 위해 측정된 장치의 포화 전류의 변화율을 나타낸다.
도29A는 PET 기판 상에 인쇄가능한 이종 반도체 소자를 포함하는 트랜지스터를 생성하기 위한 제조 공정의 약도를 나타낸다. 도29B는 본 기술을 사용하여 제조된 이종의 인쇄가능한 반도체 소자를 구비하는 몇몇의 장치의 광학 이미지를 나타낸다.
도30A는 접촉 저항을 특징짓는데 사용되는 인쇄가능한 이종 반도체 소자의 배열 및 접촉 패드를 위한 (상측 내삽도를 보라) L의 함수로서 정규화된 저항 RtotalW의 도표를 나타낸다. 도30B는 불순물을 실리콘 내 바람직한 영역으로 배치하는 확산 배리어(도29A를 보라)와 같이 패턴된 SOG의 용도를 보여주는 TOF-SIMS(Time-of-Flight Secondary Ion mass Spectroscopy)를 나타낸다. 도30B에 보인 이미지에서, 밝은 빨강 색은 높은 인 농도를 나타낸다.
도31A-D는 에폭시/ITO/PET 기판 위에 도핑된 실리콘 반도체 소자의 인쇄가능한 접촉을 포함하는 트랜지스터와 상응하는 측정을 보여준다.
도31A는 L = 7㎛, W = 200㎛와 함께 PET 기판 상에 도핑된 접촉과 함께 단결정 실리콘 트랜지스터의 특정한 전류-전압 특성을 제공한다. 하단부터 상단까지 VG는 -2 V 부터 6 V까지 변화한다. 도31B는 상단부터 하단까지 97 마이크론, 72 마이크론, 47 마이크론, 22 마이크론, 7 마이크론, 및 2 마이크론의 채널 길이와 함께 장치의 전사 커브(Vd = 0.1 V)를 제공한다. 도31C는 상이한 게이트 전압에서 L의 함수로서 ON 상태(Ron) 내에 장치의 폭 정규화된 저항을 나타낸다. 고체의 라인은 선형 피트를 대표한다. 스케일링은 채널 길이의 상기 범위를 위한 장치 효율성 상의 무시할 수 있는 영향력을 가지는 것과의 접촉에 일치한다. 도31C에서 선형적 피팅의 기울기의 역수로부터 결정된 것처럼, 도31C의 내삽도는 게이트 전압의 함수와 같이 시트 컨덕턴스[Δ(RonW)/ΔL]-1의 변화율을 나타낸다. 도31C의 내삽도에 보인 바와 같이, 이들 데이터에 대한 선형적 피트는 ~270 cm2/Vs의 진성 장치 이동도 및 ~2V의 진성 역치 전압을 제공한다. 도31D는 도핑되지 않은 (삼각형) 및 도핑된 (사각형) 접촉과 함께 장치를 위한 채널 길이의 함수로서 선형에서 평가된 효과성 이동도를 나타낸다.
도32A는 변형률(또는 곡률 반경)의 함수와 같이, 구부러진 상태에서의 값으로 정규화된 효과성 장치 이동도 μeff의 변화를 나타낸다. 도32B는 장치를 0 및 0.98% 사이로 변경시키는 곳에서 압축 변형을 야기하는 수 백개의 구부림 주기(9.2 mm의 반경까지) 이후의 정규화된 효과성 이동도 μeff0 eff를 나타낸다.
도33은 실리콘 웨이퍼 (1 0 0) 상으로 유도 접착된 갈륨 질화물 마이크로구조를 포함하는 본 발명의 이종 집적 방법을 사용하여 제조된 복합 반도체 구조물의 실시예를 나타낸다.
도34A는 인쇄가능한 P-N 접합을 포함하는 태양 전지를 제조하기 위한 제조 통로 내에서 공정 단계를 도식적으로 나타내는 공정 흐름도를 제공한다. 도34B는 도34A에 도시된 제조 통로에 의해 생성된 태양 전지 장치 형태의 약도를 나타낸다. 도34C는 도34B에 보인 형태를 가지는 태양 전지 장치의 조도 상에 관찰된 광전자 응답을 나타낸다.
도35A는 독립적으로 인쇄가능한 P 및 N 도핑된 반도체 층을 포함하는 태양 전지 제조를 위한 대체 제조 통로 내의 공정 단계를 도식적으로 나타낸 공정 흐름도를 제공한다. 도35B는 도35A에 도시된 제조 통로를 사용하여 생성되는 태양 전지 장치의 약도를 나타낸다. 도35C는 도35B에서 도식적으로 묘사된 태양 전지의 상면도의 SEM 이미지를 나타낸다.도35D는 도35C에 보인 태양 전지의 광다이오드 응답을 나타내는 전류 대 바이어스의 도표를 제공한다. 도35E는 도35C에 보인 태양 전지의 광다이오드 응답을 나타내는 몇몇의 상이한 조도 세기에 상응하는전류 대 바이어스의 도표를 제공한다.
도36A는 잡아 늘이거나 압축가능한 박막 트랜지스터의 배열 제조의 실례가 되는 방법을 도시하는 공정 흐름도를 나타낸다. 도36B는 이완 및 인장된 형태에 있는 잡아 늘이거나 압축가능한 박막 트랜지스터의 배열의 광학 현미경 사진을 제공한다.
도37A는 플라스틱 기판 상으로 μs-Si 소자를 패터닝하기 위한 본 발명(방법 I)의 공정 방법을 보이는 약도를 제공한다. 도37B는 플라스틱 기판 상으로 μs-Si 소자를 패터닝하기 위한 본 발명의 대안의 공정 방법 (방법 II)를 도시하는 약도를 제공한다.
도38A는 본 발명의 방법에 사용된 소위 땅콩 형상의 μs-Si 대상물의 고안을 나타낸다. 도38A에 있는 내삽된 광학 이미지는 채널 하에서 매몰된 산화물이 희생 SiO2 부분이 잔존하는 동안 제거되는 곳에서 최적화된 HF 에칭 조건을 나타낸다. 도38B는 Si 대상물이 HF 용액 내에서 과에칭 될 때 상기 차수 유실의 실시예를 나타낸다. 도38C, 38D, 38E 및 38F는 방법 I을 사용하여 영향을 미치는 것과 같이 μs-Si 전사의 각 단계의 향상을 묘사한 현미경 사진의 시리즈를 나타낸다.
도39A 및 도39B는 3600 PDMS 압인기에 의한 PU/PET 시트 상으로의 μs-Si의 선택적 전사의 광학 이미지를 제공한다. 도39C는 μs-Si가 화학적으로 접착되고 그 이후에 전사된 것에 대한 Sylgard 184 코팅된 PET 기판의 단면의 광학 현미경 사진이다. 상기 방법으로 전사된 μs-Si의 더 높은 배율의 이미지는 도39D에 나타냈다.
도40A는 방법 I을 사용한 전사에 기초를 둔 땅콩 형상의 μs-Si를 사용하여 제조된 장치의 실례가 되는 장치 형상을 설명한다. 도40B는 게이트 전압(Vg= -2.5 V ~ 20 V)의 범위에서 μs-Si TFTs의 I-V 커브를 제공한다. 도40C는 표시된 일정한 소스-드레인 전압(Vsd =1V)에서 측정된, 효과성 이동도가 173cm2/Vs인 전사 특성을 나타낸다. 도40C에 있는 내삽도는 본 발명의 실제 장치의 광학 현미경 사진을 도시한다.
도41은 PET 기판 상에서 μS-GaAs MESFETs 제조하기 위한 공정 내에 포함된 단계를 묘사하는 공정 흐름도를 제공한다. 이방성 화학 에칭은 표준 (100) GaAs 웨이퍼로부터 와이어를 제조한다. 탄성체 압인기를 사용하는 인쇄 기술은 공간의 조직화(정렬된 배열)를 지속시키는 방법으로 상기 와이어를 웨이퍼로부터 플라스틱 장치로 전사한다. PR은 포토리지스트를 나타낸다.
도42A는 플라스틱 기판(PU/PET) 상의 GaAs 와이어 기저 MESFET의 기하구조의 횡단면을 도시하는 약도를 나타낸다. 소스/드레인 전극은 n-GaAs과의 옴의 접촉을 형성한다. 도42B는 각각이 도41의 공정 흐름도에 따라 제조된 10개의 GaAs 와이어의 배열을 사용한 플라스틱 상에서 두 개의 GaAs 와이어 기저 MESFETs의 대표 이미지를 나타낸다. 도42C는 신축성을 명확하게 설명하는 수백 개의 트랜지스터와 함께 2 cm x 2 cm PET의 이미지를 보여준다.
도43A, 43B 및 43C는 도42B에 보인 것과 유사한 50 ㎛의 길이, 15 ㎛의 게이트 길이와 함께 GaAs MESFET로부터의 결과를 나타낸다. 도43A는 0.5 V의 단계와 함께 0.5 ~ -2.0 V 사이의 게이트 전압에서 전류-전압(드레인 및 소스 전극 사이의) 커브를 나타낸다. 도43B는 상이한 V DS에서 측정된 본 발명의 GaAs MESFET의 전사 특성(즉, I DS vs. V GS)를 나타낸다. 도43C는 MESFET를 위해 예상한 바와 같이 선형 관계를 명백하게 나타내는 (I DS)1/2 vs. V GS로 표시한 V DS = 4 V에서 전사 커브를 나타낸다.
도44A 및 44B는 8.4 mm의 곡률 반경을 (A) 구부리기 전; (B) 구부린 이후에 유연한 PET 기판 상의 GaAs 와이어 기저 MESFET의 게이트 조정된 전류-전압 특성을 나타낸다. 도44C는 편평한, 구부러진 상태로 구부러진 기판을 이완한 이후 GaAs 와이어 기저 MESFET의 게이트 조정된 전류-전압 특성을 나타낸다. 도44D는 상기 MESFETs가 그들의 효율의 상당한 변화 없이 (< 20%) 0% 및 1.2% 사이에서 변경하기 위한 장치에서 신장 변형을 일으키는 다수의 구부림 주기에서 존속함을 나타내는 구부림(상이한 표면 변형과 함께)/비구부림에 의해 3 주기 내 V DS = 4 V 및 V GS = 0 V에서 I DS의 변화율을 나타낸다.
도45는 플라스틱 기판 상의 P 형 하측 게이트 박막 트랜지스터를 위한 본 발명의 실례가 되는 장치 형태를 도시하는 약도를 제공한다.
도46은 플라스틱 기판 상의 상보적인 논리 게이트를 위한 본 발명의 실례가 되는 장치 형태를 도시한 약도를 제공한다.
도47은 플라스틱 기판 상의 상측 게이트 박막 트랜지스터를 위한 본 발명의 실례가 되는 장치 형태를 도시한 약도를 제공한다.
도면을 참조하면, 같은 숫자는 같은 소자를 지시하며 한 개 보다 크거나 같은 도면에서 나타나는 동일한 숫자는 동일한 소자를 나타낸다. 게다가, 이하, 하기의 정의가 적용된다:
"인쇄가능한"은 기판이 고온(즉, 대략 섭씨 400도 보다 작거나 같은 온도에서)에 노출되지 않고 기판 상으로 또는 안으로 전사, 조립, 패턴, 조직화 및/또는 집적할 수 있는 재료, 구조물, 장치 부품 및/또는 집적된 기능적인 장치를 나타낸다. 본 발명의 한 실시형태에서, 인쇄가능한 재료, 소자, 장치 부품 및 장치는 용액 인쇄 또는 건식 전사 접촉 앤쇄를 통해 기판 상으로 또는 안으로 전사, 조립, 패턴, 조직화 및/또는 집적할 수 있다.
본 발명의 "인쇄가능한 반도체 소자"는, 예컨대, 건식 전사 접촉 인쇄 및/또는 용액 인쇄 방법을 사용하여 기판 표면 상으로 조립 및/또는 집적될 수 있는 반도체 구조물을 포함한다. 한 실시형태에서, 본 발명의 인쇄가능한 반도체 소자는 단일의 단결정, 다결정 및 마이크로결정의 무기질 반도체 구조물이다. 본 설명의 이러한 맥락에서, 단일의 구조물은 기계적으로 연결된 특징을 가지는 모놀리식 소자이다. 본 발명의 반도체 소자는 도핑되지 않거나 도핑될 수 있고, 불순물의 선택된 공간의 분배를 가질 수 있으며 P 및 N 형 불순물을 포함하는 다수의 상이한 불순물 재료로 도핑될 수 있다. 본 발명은 대략 1 마이크론 보다 크거나 같은 크기의 횡단면을 적어도 하나 가지는 마이크로구조의 인쇄가능한 반도체 소자 및 대략 1 마이크론 보다 크거나 같은 크기의 횡단면을 적어도 하나 가지는 나노구조의 인쇄가능한 반도체 소자를 포함한다. 많은 제품에 유용한 인쇄가능한 반도체 소자는 종래의 고온 공정 기술을 사용해 생성된 고순도 결정질의 반도체 웨이퍼와 같은 고순도 벌크 재료의 "하향식" 공정으로부터 파생된 소자를 포함한다. 한 실시형태에서, 본 발명의 인쇄가능한 반도체 소자는 전도 층, 유전 층, 전극, 부가적인 반도체 구조물 또는 이들의 모든 결합과 같은 적어도 하나 이상의 부가적인 장치 부품 또는 구조물와 연결된 작동가능한 반도체를 가지는 복합 구조물을 포함한다. 한 실시형태에서, 본 발명의 인쇄가능한 반도체 소자는 잡아 늘이거나 압축가능한 반도체 소자 및/또는 이종 반도체 소자를 포함한다.
"횡단면 치수(cross sectional dimension)"는 장치, 장치 부품 또는 재료의 횡단면 치수를 나타낸다. 횡단면 치수는 폭, 두께, 반경 및 직경을 포함한다. 예를 들면, 리본 형상을 가지는 인쇄가능한 반도체 소자는 길이 및 두 개의 크기의 횡단면 치수, 즉 두께 및 폭으로 특징 지어진다. 예를 들면, 원주의 형상을 가지는 인쇄가능한 반도체 소자는 길이 및 크기의 횡단면의 직경(또는 반경)에 의해 특징 지어진다.
"충진율"은 재료, 소자 및/또는 장치 부품로 가득찬 제1 및 제2 전극과 같은 두 개의 소자들 사이의 영역의 백분율을 나타낸다. 본 발명의 한 실시형태에서, 제1 및 제2 전극은, 20% 보다 크거나 같은, 바람직하게는 일부 제품을 위해 50% 보다 크거나 같은, 더 바람직하게는 일부 제품을 위해 80% 보다 크거나 같은 제1 및 제2 전극 사이의 충진율을 제공하는 하나 이상의 인쇄가능한 반도체 소자와의 전기적 접촉으로 제공된다.
"기판으로 지지되는"은 기판 상에 적어도 부분적으로 존재하거나 또는 구조물 및 기판 표면 사이에 배치된 하나 이상의 중간의 구조물에 적어도 부분적으로 존재하는 구조물을 나타낸다. 용어 "기판으로 지지되는"은 또한 기판에 부분적으로 또는 완전히 장착된 구조물을 나타낸다.
"용액 앤쇄"는 인쇄가능한 반도체 소자와 같은 하나 이상의 구조물이 캐리어 매개물로 확산되고, 합의된 방법으로 기판 표면의 선택된 영역으로 전달되는 공정과 관련된 것을 뜻한다. 실례가 되는 용액 인쇄 방법에서, 기판 표면의 선택된 용액에 대한 구조물의 전달은 패턴을 견디는 기판 표면의 형태 및/또는 물리적인 특성과 개별적인 방법에 의해 얻어진다. 본 발명에서 유용한 인쇄 방법은, 잉크젯 인쇄, 열 전사 인쇄 및 스크린 프린팅을 포함하지만, 이로 한정되지는 않는다.
"실질적으로 세로로 배향된"은 인쇄가능한 반도체와 같은 소자의 집단의 세로축이 선택된 정렬 축과 실질적으로 동일한 방향으로 개별적 배향을 나타낸다. 이러한 정의의 맥락에서, 선택된 축과 실질적으로 동일한 방향은 완전히 동일한 배향의 10도 이내의 배향, 더 바람직하게는 완전히 동일한 배향의 5도 이내의 배향을 나타낸다.
"잡아 늘이거나 압축가능한"은 분열을 겪지 않고 변형되어야 할 재료, 구조물, 장치 또는 장치 부품의 능력을 나타낸다. 실례가 되는 실시형태에서, 잡아 늘이거나 압축가능한 재료, 구조물, 장치 또는 장치 부품은 분열 없이 대략 0.5% 보다 큰 변형, 바람직하게는 일부 제품을 위해 분열 없이 대략 1% 보다 큰 변형, 더 바람직하게는 분열 없이 대략 3% 보다 큰 변형을 견딜 수 있다.
용어 "유연한" 및 "구부릴 수 있는"은 본 발명에서 동의어로 사용되었고, 재료, 구조물, 장치 또는 장치 부품의 고장 지점을 특징짓는 변형과 같은 상당한 변형을 전하는 전사를 겪지 않고 곡면을 이루는 형상으로 변형되어질 재료, 구조물, 장치 또는 장치 부품의 능력을 나타낸다. 실례가 되는 실시형태에서, 유연한 재료, 구조물, 장치 또는 장치 부품은 대략 5% 보다 크거나 같은 변형, 바람직하게는 일부 제품을 위해 분열 없이 대략 1% 크거나 같은 변형, 더 바람직하게는 분열 없이 대략 0.5% 보다 크거나 같은 변형을 전하지 않고 곡면을 이루는 형상으로 변형될 수 있다.
"반도체"는 매우 낮은 온도에서는 절연체인, 그러나 대략 300 캘빈의 온도에서는 상당한 전기 전도성을 갖는 어떤 재료를 나타낸다. 본 명세서에서 반도체라는 용어는 마이크로 전자제품 및 전자 장치의 분야에서 사용되는 용어와 일치한다. 본 발명에 유용한 반도체는 실리콘, 게르마늄 및 다이아몬드와 같은 소자 반도체, 및 SiC 및 SiGe 같은 IV족 화합물 반도체, AlSb, AlAs, Aln, AlP, BN, GaSb, GaAs, GaN, GaP, InSb, InAs, InN, 및 InP와 같은 Ⅲ-Ⅴ족 반도체, AlxGa1 - xAs 같은 Ⅲ-Ⅴ족 3원 반도체 합금, CsSe, CdS, CdTe, ZnO, ZnSe, ZnS, 및 ZnTe와 같은 Ⅱ-Ⅵ족 반도체, CuCl과 같은 Ⅰ-Ⅶ족 반도체, PbS, PbTe 및 SnS와 같은 Ⅳ-Ⅵ족 반도체, PbI2, MoS2 및 GaSe와 같은 층 반도체, CuO 및 Cu2O와 같은 산화 반도체와 같은 화합물 반도체를 포함할 수 있다. 용어 "반도체"는 주어진 제품 또는 장치에 유용한 유익한 전기적 특성을 제공하기 위해 p-형 도핑 재료 및 n-형 도핑 재료를 가지는 반도체를 포함하는 하나 이상의 선택된 재료로 도핑되는 진성 반도체 및 불순물 반도체를 포함한다. 용어 "반도체"는 반도체 및/또는 불순물의 혼합물을 포함하는 복합 재료를 포함한다. 본 발명의 일부 제품에 유용한 특정한 반도체 재료는 Si, Ge, SiC, AlP, AlAs, AlSb, GaN, GaP, GaAs, GaSb, InP, InAs, GaSb, InP, InAs, InSb, ZnO, ZnSe, ZnTe, CdS, CdSe, ZnSe, ZnTe, CdS, CdSe, CdTe, HgS, PbS, PbSe, PbTe, AlGaAs, AlInAs, AlInP, GaAsP, GaInAs, GaInP, AlGaAsSb, AlGaInP, 및 GaInAsP를 포함하지만, 이로 한정되지는 않는다. 다공성의 실리콘 반도체 재료는 센서 및 발광 다이오드(LED) 및 고체상 레이저와 같은 발광 재료 분야에 본 발명을 적용하는 데 유용하다. 반도체 재료의 불순물은 상기 반도체 재료 그 자체이거나 반도체 재료로 제공되는 불순물이라기 보다는 원자, 원소, 이온 및/또는 분자들일 수 있다. 불순물은 반도체 재료의 전기적 물성에 부정적인 영향을 미칠 수 있는 반도체 재료에 존재하는 바람직하지 않은 재료인 것으로, 산소, 탄소 및 중금속을 포함하는 금속을 포함하지만 그로 한정되지는 않는다. 중금속 순도는 주기율표 상의 구리와 납 사이에 있는 원소 군, 칼슘, 나트륨, 및 모든 이온, 그들의 화합물 및 복합체를 포함하지만, 그로 한정되지는 않는다.
"플라스틱(plastic)"은 일반적으로 가열 및 굳어져 원하는 모양으로 성형 또는 금형할 수 있는 합성하거나 자연적으로 생성되는 재료 또는 재료들의 조합을 의미한다. 본 발명의 장치 및 방법에 있어서 유용한 일실시형태의 플라스틱은 중합체, 수지 및 셀룰로오스 유도체를 포함하며, 이에 제한되지 않는다. 본 명세서에서 플라스틱은 구조적 증강제, 충진제, 섬유, 가소제, 안정제 또는 바람직한 화학적 또는 물리적 성질을 제공할 수 있는 첨가제와 같이 하나 하나 이상의 첨가제와 하나 하나 이상의 플라스틱을 포함하는 복합 플라스틱 재료를 포함한다.
"유전체" 및 "유전체 재료"는 본 발명에서 동의어로 사용되었고 전기 전류의 흐름에 저항성이 높은 기판을 나타낸다. 유용한 유전체 재료는 SiO2, Ta2O5, TiO2, ZrO2, Y2O3, SiN4, STO, BST, PLZT, PMN, 및 PZT를 포함하지만, 이로 한정되지는 않는다.
"중합체"는 의례 단량체라 불리며 복수개로 반복되는 화학 군을 포함하는 분자를 가리킨다. 중합체는 종종 높은 분자 질량으로 특징 지어진다. 본 발명에 유용한 중합체는 유기질질 중합체 또는 무기질 중합체가 될 수 있고, 비결정질, 반비결정질, 결정질 또는 부분적으로 결정질 상태로 있을 수 있다. 중합체는 동일한 화학적 조성을 가지는 모노머 또는 코중합체와 같이 상이한 화학적 조성을 가지는 다수의 모노머를 포함할 수 있다. 결합된 모노머 체인을 가지는 교차결합된 중합체는 본 발명의 일부 제품에 부분적으로 유용하다. 본 발명의 이러한 방법, 장치 및 장치 부품에 유용한 중합체는 플라스틱, 탄성중합체, 가열가소성 탄성체, 엘라스토플라스틱, 서모스탯, 가열가소성 및 아크릴레이트를 포함하지만, 이로 한정되지는 않는다. 실례가 되는 중합체는 아세탈 중합체, 생물분해성 중합체, 셀룰로오스 중합체, 플루로중합체, 나일론, 폴리아크릴로니트릴 중합체, 폴리아미드-이미드 중합체, 폴리미드, 폴리아릴레이트, 폴리벤지미다졸, 폴리부틸렌, 폴리카보네이트, 폴리에스테르, 폴리에테르이미드, 폴리에틸렌, 폴리에틸렌 코중합체 및 수정된 폴리에틸렌, 폴리케톤, 폴리(메틸 메타크릴레이트), 폴리메틸펜텐, 폴리페닐렌 산화물 및 폴리페닐렌 황화물, 폴리프탈아미드, 폴리프로필렌, 폴리우레탄, 스티렌 합성수지, 술폰 계 합성수지, 비닐 계 합성수지 또는 이들의 모든 결합을 포함하지만, 이로 한정되지는 않는다.
"탄성체"는 실질적으로 영구한 변형없이 그의 원 형상으로 되돌아갈 수 있는 중합체 재료를 나타낸다. 탄성체는 일반적으로 탄성 변형을 실질적으로 견딘다. 본 발명에 유용한 실례가 되는 탄성체는 중합체, 코중합체, 복합 재료 또는 중합체 및 코중합체의 혼합물을 포함할 수 있다. 탄성체 층은 적어도 하나의 탄성체는 포함하는 층을 나타낸다. 탄성체 층은 또한 불순물 및 다른 비탄성체 재료를 포함할 수 있다. 본 발명에 유용한 탄성체는 가열가소성 탄성체, 스티렌 재료, 올레펜 재료, 폴리올레핀, 폴리우레탄 가열가소성 탄성체, 폴리아미드, 합성 고무, PDMS, 폴리부타디엔, 폴리이소부틸렌, 폴리(스티렌-보타디엔-스티렌), 폴리우레탄, 폴리크롤로프렌 및 실리콘을 포함할 수 있지만, 이로 한정되지는 않는다.
"전자기 방사"는 전기 및 자기장의 파를 나타낸다. 본 발명의 방법에 유용한 전자기 방사는 감마선, X-선, 자외선, 가시광선, 적외선, 극초단파, 라디오파 또는 이들의 모든 결합을 포함하지만, 이로 한정되지는 않는다.
"우수한 전자 효율" 및 "고효율"은 본 설명에서 동의어로 사용되고 전계 효과성 이동도, 역치 전압 그리고 전자 신호 스위칭 및/또는 증폭과 같이 우수한 기능성을 제공하는 on-off 비와 같은 전자 특성을 가지는 장치 및 장치 부품을 나타낸다. 우수한 전자 효율을 보이는 본 발명의 실례가 되는 인쇄가능한 반도체 소자는 100 cm2 V-1 s-1 보다 크거나 같은, 바람직하게는 일부 제품을 위해 대략 300 cm2 V-1 s-1 보다 크거나 같은 진성 전계 효과성 이동도를 가질 수 있다. 우수한 전자 효율을 보이는 본 발명의 실례가 되는 트랜지스터는 대략 100 cm2 V-1 s-1 보다 크거나 같은, 바람직하게는 일부 제품을 위해 대략 300 cm2 V-1 s-1 보다 크거나 같은, 더 바람직하게는 일부 제품을 위해 대략 800 cm2 V-1 s-1 보다 크거나 같은 장치 전계 효과성 이동도를 가질 수 있다. 우수한 전자 효율을 보이는 본 발명의 실례가 되는 트랜지스터는 대략 5 volt보다 작은 역치 전압 및/또는 대략 1 x 104 보다 큰 on-off 비를 가질 수 있다.
"대면적"은 장치 제조에 사용되는 기판의 수용부 표면 영역과 같은 약 36 inch2 이상인 영역을 나타낸다.
"장치 전계 효과성 이동도"는 전기 장치에 상응하는 출력 전류 데이터를 사용하여 연산된 것과 같이 전자 장치의 전계 효과성 이동도를 나타낸다.
"정각의 접촉"은 표면, 코팅된 표면 및/또는 (인쇄가능한 반도체 소자 같은) 기판 표면 상에서 전사, 조립, 조직화 및 집적 구조물에 유용할 수 있는 것 상에 증착된 재료를 가지는 표면 사이에 확립된 접촉을 나타낸다. 한가지 양상에서, 정각의 접촉은 적합한 전사 장치의 하나 이상의 접촉 표면이 기판 표면의 모든 형상으로 거시적 적응하는 것을 포함한다. 다른 양상에서 정각의 접촉은 적합한 전사 장치의 하나 이상의 접촉 표면이 외부 공간과 긴밀한 접촉으로 이어진 기판 표면으로 거시적 적응하는 것을 포함한다. 용어 "정각의 접촉"은 종래의 부드러운 석판 인쇄에서 이 용어의 사용과 일치하는 것을 뜻한다. 정각의 접촉은 하나 이상의 적합한 전사 장치의 노출된 접촉 표면과 기판 표면 사이에 확립될 수 있다. 선택적으로, 정각의 접촉은 적합한 전사 장치의 하나 이상의 코팅된 접촉 표면, 예컨대, 전사 재료, 인쇄가능한 반도체 소자, 장치 부품, 및/또는 거기에 증착된 장치를 가지는 접촉 표면과 기판 표면 사이에 확립될 수 있다. 선택적으로, 정각의 접촉은 적합한 전사 장치의 하나 이상의 노출되거나 코팅된 접촉 표면과 전사 재료, 고체의 포토리지스트 층, 프리중합체 층, 액체, 박막 또는 유동체와 같은 재료로 코팅된 기판 표면 사이에 확립될 수 있다.
"배치 정밀도"는 인쇄가능한 반도체 소자와 같은 인쇄가능한 소자를 전극과 같은 다른 장치 부품의 상대적인 위치나 수용부 표면의 선택된 표면과 상대적인 위치 중 하나로 전사하기 위한 전사 방법 또는 장치의 능력을 나타낸다. "우수한 배치" 정밀도는 다른 장치 또는 장치 구성 요소와 관련된 또는 50 마이크론 보다 작거나 같은, 더 바람직하게는 일부 제품을 위해 20 마이크론 보다 작거나 같은, 더 바람직하게는 일부 제품을 위해 5 마이크론 보다 작거나 같은 완벽하게 정확한 위치로부터의 공간 편차와 함께 수용부 표면의 선택된 영역과 관련된 선택된 위치로 인쇄가능한 소자를 전사할 수 있는 방법 및 장치를 나타낸다. 본 발명은 우수한 배치 정밀도와 함께 전사된 적어도 하나의 인쇄가능한 소자를 포함하는 장치를 제공한다.
"정확도"는 인쇄가능한 반도체 소자와 같은 소자의 선택된 패턴이 기판의 수용부 표면으로 얼마나 잘 전사되느냐의 측정을 나타낸다. 우수한 정확도는 각 소자의 상대적인 위치 및 배향이 전사 중에 지속되는, 예컨대, 선택된 패턴 내에서 그들의 위치로부터 각 소자의 공간 편차가 500 나노미터 보다 작거나 같은, 더 바람직하게는 100 나노미터보다 작거나 같은 소자의 선택된 패턴의 전사를 나타낸다.
"영률"은 주어진 물질에서 응력과 변형의 비를 나타내는 재료, 장치 또는 층의 기계적인 성질이다. 영률은 하기의 식으로 제공될 수 있다:
Figure 112015062079363-pat00002
(II)
식 중, E는 영률, L0는 평형상태의 길이, ΔL은 적용된 응력 하에서의 길이 변화, F는 적용된 힘 그리고 A는 적용된 힘에 걸친 영역이다. 영률은 또한 하기의 방정식을 통해 라메(Lame) 상수의 용어로 표현될 수 있다:
Figure 112015062079363-pat00003
(III)
식 중, l 및 m는 라메 상수이다. 고영률(또는 "고 계수") 및 저영률(또는 "저 계수")은 주어진 재료, 층 또는 장치에서 영률의 크기의 관련된 기술어(記述語)이다. 본 발명에서, 고 영률은 저 영률 보다 바람직하게는 일부 제품을 위해 대략 10배, 더 바람직하게는 다른 제품을 위해 대략 100배, 더욱 바람직하게는 다른 제품을 위해 대략 1000배 더 크다.
하기의 설명에서, 본 발명의 장치, 장치 부품 및 방법의 많은 특정한 상세한 설명은 발명의 정확한 성질의 철저한 설명을 제공하기 위해 설명된다. 그러나, 당업자는 이러한 특정한 상세한 설명 없이도 발명을 실시할 수 있음이 명백하다.
본 발명은 인쇄가능한 반도체 소자를 제조하기 위한, 그리고 기판 표면 상으로 인쇄가능한 반도체 소자를 조립하기 위한 방법 및 장치를 제공한다. 본 발명은 단결정 무기질 반도체, 작동가능하게 하나 이상의 다른 장치 부품에 연결된 반도체 구조물을 포함하는 복합 반도체 소자, 및 잡아 늘이거나 압축가능한 반도체 소자를 포함하는 매우 다양한 인쇄가능한 반도체 소자를 제공한다. 본 발명의 방법, 장치 및 장치 부품은 고효율 전자 및 광전자 장치, 그리고 유연한 플라스틱 기판 상의 박막 트랜지스터와 같은 장치의 배향을 생성시킬 수 있다.
도1은 단결정 실리콘의 리본을 포함하는 인쇄가능한 반도체 소자의 제조 및 조립을 위한 본 발명의 실례가 되는 방법을 도식적으로 설명한다. 공정은 박막 단결정 실리콘 층(105), 매몰 SiO2 층(107) 및 Si 처리 층(108)이 구비된 SOI 기판(100)을 제공함으로써 시작된다. 선택적으로, 만약 존재한다면, 단결정 실리콘 층(105) 상의 표면 자연 산화 층은, 예컨대, SOI 기판의 표면을 (1%) DHF(dilute HF)로 노출시킴으로써 제거될 수 있다. 자연 산화 층을 적절하게 벗겨내자마자, SOI 기판(100)의 외부 영역의 선택된 영역은 외표면(110) 상에 마스크 소자(120), 마스킹 된 영역(125) 및 노출된 표면 영역(127)의 패턴을 형성함으로써 마스킹 된다. 도1에 도시된 실시형태에서, 외표면(110)은 외표면(110)의 마스킹 된 영역(125)의 에칭을 금할 수 있는 마스크 소자(120)를 제공하는 직사각형의 알루미늄 및 골드 표면 층과 함께 패턴된다. 마스크 소자(120)는 정사각형, 직사각형, 원형, 타원형, 삼각형 형상 또는 이들 형상의 모든 결합을 모두 포함하지만, 이로 한정되지는 않는 크기 및 형상을 가질 수 있다. 실례가 되는 실시형태에서, 우수한 조합을 가지는 마스크 소자를 제공하는 Al/Au 층의 패턴은 마이크로접촉 인쇄, 나노접촉 인쇄 기술, 또는 광식각법, 및 에칭 방법(Au에는 TFA; Al에는 미리 혼합된 Cyantec 에칭액 AL-11)을 사용하여 제조된다. 박막을 포함하는 마스크 소자의 증착은, 예컨대, Al (20 nm; 0.1 nm/s) 그리고 그 다음 Au (100 nm; 1 nm/s)의 지속적인 증착에 의해, Temescal BJD1800와 같은 전자 빔 증발기에 의해 제공될 수 있다.
SOI 기판(100)의 외표면(110)은 아래쪽으로 이방성으로 에칭된다. 도1에 보인 바와 같이, 재료가 노출된 표면 영역(127)으로부터 선택적으로 제거되었을지라도, 마스크 소자(120)는 마스킹 된 영역(125)의 에칭을 방지함으로써, 약간 각진 측벽(141)이 구비된 단결정 실리콘 구조물을 포함하는 다수의 부각구조 특징부40)를 생성시킨다. 실례가 되는 실시형태에서 부각구조 특징부(140)는 대략 100 나노미터의 두께(147)를 가지는 측벽(141)을 가지며, 노출된 표면 영역(127)은 TMAH(tetramethylammonium hydroxide)에 대략 3.5 분 동안 노출된다. 본 실시형태에서, 에칭은 바람직하게는 10 나노미터 보다 작은 평균 표면 위치로부터의 편차와 함께 Al/Au 마스크 소자(120)를 가지는 단결정 실리콘의 부각구조 특징부(140) 상에 부드러운 측벽(141)을 생성시킨다. 부각구조 특징부(140)는, SiO2 기저 층(107)이, 예컨대, (49%) 농축된 HF를 사용하여 부분적으로 또는 완전히 등방성으로 에칭될 때 기판(100)은 리프트오프 될 수 있다. 부각구조 특징부(140)의 리프트오프(lift off)은 마스크 소자에 의해 피복되는 하나의 표면이 구비된 개별적 단결정 실리콘 구조물을 포함하는 인쇄가능한 반도체 소자(150)를 생성시킨다. 본 실시예에서 마스크 소자(120), Al/Au 층은 제거될 수 있거나, 예컨대, 박막 트랜지스터 내 소스 및 드레인 전극과 같이 최종 장치 구조물로 직접 집적될 수 있다. 도1에 보인 바와 같이, 인쇄가능한 반도체 소자(150)는 (화살표(166)로 도식적으로 나타낸) 건식 전사 접촉 인쇄 기술이나 (화살표(165)로 도식적으로 나타낸) 용액 주조 방법 중 하나에 의해 플라스틱 기판과 같은 기판 표면(160)의 수용부 표면 상으로 조립될 수 있다. 두 조립 방법 모두 주변 환경 내 실온에서 일어날 수 있고, 따라서 자렴한, 유연한 플라스틱 기판을 포함하는 넓은 범위의 기판과 호환될 수 있다.
인쇄가능한 반도체 소자 조립을 위한 건식 전사 접촉 인쇄 방법의 사용은 SOI 기판(100)으로부터 인쇄가능한 반도체 소자의 리프트오프 바로 이전에 알려진 그들의 배향 및 위치를 이용하는 이점이 있다. 이 경우, 부드러운 석판인쇄 전사 인쇄 기술과 유사한 전구체는 인쇄가능한 반도체 소자를 (SiO2 에칭 이후, 그러나 실리콘 리프트오프 이전에) SOI에서 장치 기판 상의 우수한 위치로 이동시키는데 사용된다. 보다 구체적으로는, 적합한 탄성체 전사 소자는 대상물을 SOI 표면으로부터 리프트오프되고 우수한 기판으로 전사시킨다. 유사하게, 인쇄가능한 반도체 소자는 대상 기판의 표면 상에 정의된 저장소 패드를 사용한 Au 냉간 용접에 의해 얇은 플라스틱 기판 상으로 바로 전사될 수 있다.
실례가 되는 방법에서, 인쇄가능한 반도체 소자(150)의 적어도 일부가 탄성체 전사 압인기, 중합체 전사 장치 또는 복합 중합체 전사 장치와 같은 적합한 전사 장치(175)의 접촉 표면(170)과 정각의 접촉되게 함으로써, 인쇄가능한 반도체 소자(150)의 적어도 일부를 접촉 표면(170) 상으로 접착한다. 적합한 전사 장치(175)의 접촉 표면(170) 상에 배치된 인쇄가능한 반도체 소자(150)는, 바람직하게는 접촉 표면(170)과 기판의 수용부 표면(160) 사이에 정각의 접촉을 확립시키는 방법으로 기판의 수용부 표면(160)과 접촉을 하게 한다. 접촉 표면(170)은 기판의 수용부 표면(160)과의 접촉으로 인쇄가능한 반도체 소자(150)와 분리됨으로써, 인쇄가능한 반도체 소자를 수용부 표면 상으로 조립한다. 본 발명의 이러한 실시형태는 잘 정의된 위치 및 공간의 배향에서 인쇄가능한 반도체 소자를 포함하는 수용부 표면 상에 패턴을 생성시킬 수 있다. 도1에 보인 실시형태에서, 인쇄가능한 반도체 소자(150)는 기판의 수용부 표면(160) 상에 존재하는 골드 패드(162)로 작동가능하게 연결된다.
도2는 기판의 수용부 표면 상으로 인쇄가능한 반도체 소자를 조립하기 위한 선택적 건식 전사 접촉 인쇄 방법을 도시하는 약도를 제공한다. 다수의 인쇄가능한 반도체 소자(300)는 잘 정의된 위치 및 공간의 배향으로 특징 지어지는 인쇄가능한 반도체 소자(300)의 제1 패턴(310)에서 모체 기판(305) 상에 제조된다. 다수의 개별적 바인딩 영역(325)과 함께 접촉 표면(320)이 구비된 적합한 전사 장치(315)는 모체 기판(305) 상의 인쇄가능한 반도체 소자의 적어도 일부와 정각의 접촉된다. 접촉 표면(320) 상의 바인딩 영역(325)은 인쇄가능한 반도체 소자(310), 및 화학적으로 수정된 영역를 위한 진화성에 의해 특징 지어지고, 그러한 영역은 PDMS 층의 표면으로부터 뻗어있는 하이드록실 기를 가지거나 하나 이상의 점착성 층으로 코팅된다. 정각의 접촉은 접촉 표면(320) 상의 바인딩 영역(325)과 접촉하는 인쇄가능한 반도체 소자(310)의 적어도 일부를 전사시킨다. 접촉 표면(320)으로 전사된 인쇄가능한 반도체 소자(310)는, 플라스틱 기판과 같은 유연한 기판일 수 있는 기판(335)의 수신표면(330)과 접촉된다. 기판(335)의 수용부 표면(330) 상의 반도체 소자의 조립의 결과로 반도체 소자(310) 및 접촉 표면(320)의 이 후의 조립이 생성됨으로써, 인쇄가능한 반도체 소자(340)의 제1 패턴과 상이한 잘 정의된 위치 및 공간의 배향으로 특징 지어지는 인쇄가능한 반도체 소자의 제2 패턴(340)을 생성시킨다. 도2에 보인 바와 같이, 모체 기판(305)에 잔존하는 인쇄가능한 반도체 소자(340)는 인쇄가능한 반도체 소자의 제1 및 제2 패턴과 상이한 인쇄가능한 반도체 소자의 제3 패턴(345)에 의해 특징 지어진다. 제3 패턴(345)을 포함하는 인쇄가능한 반도체 소자(340)는 이후에 전사될 수 있고/있거나 기판(335) 또는 선택적 건식 전사 방법을 포함하는 본 발명의 인쇄 방법을 사용한 다른 기판 상으로 조립될 수 있다.
도3A-C는 본 발명의 선택적 건식 전사 접촉 인쇄 방법에 유용한 장치, 장치 구성 및 장치 부품을 나타내는 약도이다. 도3A는 선택된 인쇄가능한 반도체 소자(300)가 하나 이상의 점착성 코팅(350)이 구비된 모체 기판(305) 상의 다수의 인쇄가능한 반도체 소자(300)를 나타낸다. 도3A에 보인 바와 같이, 점착성 코팅(350)은 잘 정의된 패턴으로 제공된다. 도3B는 잘 정의된 패턴에 제공된 다수의 개별적 바인딩 영역(325)과 함께 접촉 표면(320)이 구비된 적합한 전사 장치를 나타낸다. 도3C는 잘 정의된 패턴에 제공된 부각구조 특징부(360)를 포함하는 3차원 부각구조 패턴(355)이 구비된 적합한 전사 장치(315)를 나타낸다. 도3C에 나타낸 실시형태에서, 부각구조 패턴(355)은 하나 이상의 점착성 층으로 임의로 코팅될 수 있는 다수의 접촉 표면(320)를 제공한다. 점착성 코팅(350), 바인딩 영역(325) 및 부각구조 특징부(360)는 바람직하게는 장치 형태 또는 상대적인 위치 및 박막 트랜지스터 배향 형태와 같은 장치 배향 형태 내의 인쇄가능한 반도체 소자의 공간의 배향에 상응한다.
**건식 전사 인쇄 방법의 사용은 넓은 범위의 조성 및 곡면을 이루는 표면을 포함하는 표면 형태를 가지는 기판 상에 인쇄가능한 반도체 소자를 조립, 조직화 및 집적하기 위한 본 발명에 유용하다. 본 방법 및 조성의 이러한 기능적 성능을 설명하기 위해, 실리콘 광다이오드를 포함하는 반도체 소자는 탄성체 압인기를 사용하는 건식 전사 인쇄 방법을 사용하여 매우 다양한 광학 렌즈의 곡면을 이루는 표면 상으로 직접 (즉, 비 점착성) 인쇄된다. 도3D는 폴리카보네이트 렌즈(FL 100mm)의 구형의 표면 상으로 인쇄된 광다이오드의 배향의 사진을 제공한다. 도3E는 구형 유리 렌즈(FL 1000mm)의 곡면을 이루는 표면 상으로 인쇄된 광다이오드의 배향의 주사 전자 현미경 사진을 제공한다. 도3E에 제공된 이미지에서의 대비는 p 도핑된 영역을 보여주기 위해 약간 강화되어 있다. 도3F는 도3E에 설명된 광다이오드의 광응답을 도시하는 전기 전류(mA) 대 잠재적인 비아(bia) (volt)의 도표를 제공한다.
도4A 및 도A2는 건식 전사 접촉 인쇄를 사용한 본 발명의 조립 방법을 위한 인쇄가능한 반도체 소자의 우수한 형상을 나타낸다. 도4A는 투시도를 제공하며 도4B는 평면도를 제공한다. 인쇄가능한 반도체 소자는 제1 말단(505), 중심 영역(510) 및 제2 말단(515)이 구비된 중심 세로축(502)을 따라 뻗어있는 리본(500)을 포함한다. 도4A에 도시된 바와 같이, 리본(500)의 폭은 그의 길이를 따라 선택적으로 변경시킨다. 특히, 제1 및 제2 말단(505, 515)는 중심 영역(510)보다 넓다. 실례가 되는 방법에서, 리본(500)은 모체 기판(520)을 에칭함으로써 형성된다. 본 실시형태에서, 모체 기판(520)은 리본(500)이 제1 및 제2 말단(505, 515)에 인접한 희생 층(525)를 포함하는 두 개의 정렬 유지 소자에 의해 오로지 모체 기판(520)에만 점착될 때까지 에칭용 용액에 등방성으로 노출된다. 제조 공정 내 이 시점에서, 에칭 공정은 정지되고, 리본(500)은 적합한 전사 장치와 접촉되고/되거나 접착된다. 희생 층(525)은 손상되고 리본(500)은 전사 장치가 모체 기판(520)으로부터 제거된 것과 같이 해체된다. 이 방법은 또한 도4에 보인 바와 같은 형상을 가지는 다수의 인쇄가능한 반도체 소자의 건식 전사 접촉 인쇄로 적용될 수 있다. 본 발명의 이러한 방법의 이점은 모체 기판(520) 상의 다수의 리본(500)의 배향 및 상대적인 위치가 전사, 조립 그리고 집적 단계 중에 확실히 지속될 수 있다는 점이다. 희생 층의 두께를 위한 실례가 되는 범위는 ~2 um 및 100 um 사이의 폭인 리본과 함께 ~1 um이고 ~100nm 까지이다. 흥미롭게도, 일반적으로 리본의 분열은 대상물의 말단에서 일어난다(리본이 모체 기판에 점착되는 지점/가장자리와 매우 근접한). 넓은 리본은 일반적으로 압인기에 접착된 리프트오프(lift off) 공정 중에 왜곡되지 않는다.
도4C 및 도4D는 건식 전사 접촉 인쇄를 사용한 본 발명의 조립 방법을 위한 인쇄가능한 반도체 소자의 우수한 형상을 나타낸다. 도4C는 투시도를 제공하며 도4D는 평면도를 제공한다. 인쇄가능한 반도체 소자는 동일한 방향의 중심 세로 축(528)을 따라 뻗어있는 리본(527)을 포함한다. 리본(527)은 선택된 위치 및 적어도 리본의 말단 상에서 중심 세로 축(528)을 따라 모체 기판(529)까지 연결된 정렬 유지 소자(530)에 의한 배향에 속박된다. 정렬 유지 소자(530)는 리본(527)의 패턴 중에 제조되는데, 이는 그의 중심 세로축을 따라 리본의 하나 또는 두 개의 말단을 정의하는 것에 의해서가 아니다. 정렬 유지 소자(530)는 손상되고 리본(527)은 전사 장치의 접촉 장치와의 접촉 및 모체 기판(520)으로부터 멀리 떨어진 이후의 이동 중에 해체된다.
용액 인쇄에 의한 조립을 얻기 위해, 인쇄가능한 반도체 소자(150)의 적어도 일부는 캐리어 캐개물 안으로 확산됨으로써, 반도체 element ELEMENTS 150 캐리어 매개물을 포함하는 부유물을 생성시킨다. 인쇄가능한 반도체 소자(150)는 기판으로 전달되고 기판(160)의 수신 표녕 상으로 무유물을 인쇄하는 용액에 의해 조립된다. 용액 인쇄는 잉크젯 인쇄, 열 전사 인쇄 및 스크린 인쇄를 포함하지만, 이로 한정되지는 않는 다수의 종래의 기술에 의해 제공될 수 있다. 도1에 나타낸 실시형태에서, 인쇄가능한 반도체 소자(150)는 기판(160)의 수용부 표면 상에 존재하는 골드 패드(162)로 작동가능하게 연결된다.
도5A-C 선택된 물리적 차원을 가지는 단결정 실리콘의 마이크로스트립(microstrip)을 포함하는 인쇄가능한 반도체 소자(150)의 범위의 광학 및 주사 전자 현미경 사진을 나타낸다. 인쇄가능한 반도체 소자는 부유물 내에 그리고 다양한 형의 기판 상으로 주조된 것과 같이 나타난다. 도5A는 실리콘 막대(폭 2 마이크론; 두께 2 마이크론; 길이 ~15 밀리미터)의 각진 매트를 주조시킨 용액의 광학 현미경 사진이다. 내삽 이미지는 에탄올의 용액 내에 확산된 인쇄가능한 실리콘 스트립을 나타낸다(어립잡아서 그의 1000만). 도5B에 있는 저해상 SEM 이미지는 노출된 실리콘 웨이퍼 상으로 주조된 일부 편평한 마이크로스트립(두께 340 나노미터; 폭 5 마이크론; 길이 ~15 밀리미터)의 기계적 유연성 범위를 도시한다. 도5C는 이들 대상물 중 하나의 고해상 SEM 이미지를 나타낸다. 이방성 습식 에칭 절차에 의해 생성된 매우 부드러운 측벽을 주지하라.
와이어, 작은 판 및 디스크의 형성에서 인쇄가능한 반도체 소자 또한 본 발명의 방법을 사용하여 형성될 수 있다. 대면적의 부드러운 석판 인쇄 기술의 사용에 의해, 단일의 저렴한 공정 순서에서, 50 nm 까지인 측면의 치수와 거의 모든 형상과 함께 다수의 (즉, 10억) 인쇄가능한 반도체 소자를 제조할 수 있다. 측면 치수가 20 나노미터만큼 작은 인쇄가능한 반도체 소자는 또한 본 발명의 방법에 따라 제조될 수 있다. 유연한 전자 시스템 내 박막 트랜지스터에서의 사용을 위해, 단결정 실리콘의 길고(~10 마이크론) 좁은(~1 마이크론) 스트립을 포함하는 인쇄가능한 반도체 소자는 특히 유용하다.
도6은 대략 25 마이크론의 두께를 가지는 PDMS 코팅된 폴리미드 시트 상에 단결정 실리콘 마이크로스트립을 포함하는 전사된 인쇄가능한 반도체 소자의 이미지를 나타낸다. 상측의 내삽한 사진은 이 시스템의 진성 유연성을 설명한다. 하측의 내삽도는 얇은 Ti/Au 코팅된 마일라 시트 상에 냉각 용접된 인쇄가능한 실리콘 고밀도 마이크로스트립(폭 25 마이크론, 간격 ~2 마이크론)의 평면도 현미경 사진을 나타낸다. 도6에 보인 바와 같이, 실리콘 마이크로스트립을 포함하는 인쇄가능한 반도체 소자는 제어된 배향과 함께 잘 정렬되고 전사되었다. 조립에 의해 유도된 인쇄가능한 반도체 소자의 크래킹(cracking)은 주사 전자 현미경을 사용한 주의 깊은 실험 중에 관찰되지 않으며, 기판이 상당히 구부러졌을 때도 마찬가지이다. 유사한 결과는 하측의 내삽 현미경 사진에 의해 설명된 것과 같은 Au 코팅된 얇은 마일라 시트를 사용하여 (탄성체 층의 필요 없이) 얻을 수 있다. 100%에 가까운 피복 농도는 이 방법으로 얻을 수 있다.
본 발명은 또한 작동가능하게 유전체 소자, 도체 소자(즉, 전극) 또는 부가적인 반도체 소자들과 같은 다른 장치 부품에 연결되는 반도체 구조물을 포함하는 복합 인쇄가능한 반도체 소자를 제공한다. 특히 박막 트랜지스터 제조에 유용한 본 발명의 실례가 되는 인쇄가능한 반도체 소자는 집적 반도체 및 유전체 소자를 포함한다. 그러한 복합 인쇄가능한 반도체 소자는 고품질, 누설 없는 유전체를 가지는 트랜지스터를 제공하고 박막 트랜지스터 내에서 유전체 소자를 제조하기 위한 회전 주조 단계를 위한 요구를 예방한다.
하기의 참조문헌 (1) Guided molecular self-assembly: a review of recent efforts" Jiyun C Huie Smart Mater. Struct . (2003) 12, 264-271; (2) Large-Scale Hierarchical Organization of Nanowire Arrays for Integrated Nanosystems", Whang, D.; Jin, S.; Wu, Y.; Lieber, C. M. Nano Lett . (2003) 3(9), 1255-1259; (3) "Directed Assembly of One-Dimensional Nanostructures into Functional Networks" Yu Huang, Xiangfeng Duan, Qingqiao Wei, and Charles M. Lieber, Science (2001) 291, 630-633; 및 (4) "Electric-field assisted assembly and alignment(정렬된) of metallic nanowires", Peter A. Smith et al., Appl. Phys. Lett . (2000) 77(9), 1399-1401:은 접촉 인쇄 및/또는 용액 인쇄 기술을 통해 인쇄가능한 반도체 소자를 전사, 조립 및 상호연결 하기 위한 본 발명의 방법에 사용될 수 있다.
본 출원에 인용된 모든 참조문헌은 본 출원 내 상세한 설명과 일치하는 범위까지 참조함으로써 그의 전부가 편입된다. 여기에 제공된 일부 참조문헌은 본 발명의 개시 재료, 부가적인 개시 재료, 부가적인 시약, 합성의 부가적인 방법, 분석의 부가적인 방법 및 부가적인 사용의 소스와 관련된 상세한 설명을 제공하기 위해 참조됨으로써 편입될 수 있다. 본 발명에 특별히 기술된 것보다, 방법, 장치, 장치 소자, 재료, 순서 및 기술은 불필요한 실험에 의지하지 않고 넓게 드러나 있는 본 발명의 실시에 적용될 수 있다. 여기서 기술된 방법, 장치, 장치 소자, 재료, 순서 그리고 특히 기술적으로 동일하게 알려진 모든 기술은 본 발명에 의해 포함되는 경향이 있다.
2004년 6월 4일, 2004년 8월 11일, 2005년 2월 4일, 2005년 3월 18일, 및2005년 5월 4일에 각각 제출된 미국 특허출원 제 60/557,077, 60/601,061, 60/650,305, 60/663,391 및 60/677,617호 참조문헌 전부는 본 출원 내용과 일치하는 범위까지 본 명세서에 그 전체로서 편입된다.
재료, 조성, 부품 또는 화합물의 그룹이 본 명세서에 발표될 때, 그들 그룹의 각 구성원 전부 및 그의 모든 하위 그룹들의 전부는 개별적으로 발표되었음이 이해되어야 한다. 마쿠시 그룹 또는 다른 그룹이 여기에 사용될 때, 상기 그룹의 각 구성원 전부 그리고 그룹의 결합 및 하위 결합 가능성 전부는 상세한 설명에 개별적으로 포함됨을 뜻한다. 본 명세서에서 설명되거나 실례가 된 모든 식 또는 부품의 결합은 다르게 설명되는 것을 제외하고는 발명의 실시에 사용될 수 있다. 주어진 범위에 포함된 각각의 값 모두와 마찬가지로, 본 명세서에 범위, 예컨대, 온도 범위, 시간 범위, 또는 조성 범위, 중간의 범위 및 하위 범위의 전부가 주어질 때마다 상세한 설명에 포함되는 경향이 있다.
본 명세서에 사용된 바와 마찬가지로, "포함하는"은 "포함하는", "함유하는"과 동의어이고, 또는 "~에 의해 특징 지어지는"은 부가적인, 재인용되지 않은 소자 또는 방법의 단계를 포괄하거나 제한하지 않거나 배제하지 않는다. 본 명세서에 사용된 바와 마찬가지로, "~로 이루어진"은 청구범위 소자에 명기되지 않은 어떤 소자, 단계, 또는 성분도 배제한다. 본 명세서에 사용된 바와 마찬가지로, "필수적으로 이루어진"은 청구범위의 기본 및 새로운 특성에 실질적으로 영향을 주지 않는 재료 또는 단계를 배제하지 않는다. 본 명세서의 각 실시예에서 모든 용어 "포함하는", "필수적으로 이루어진" 및 "~로 이루어진"은 다른 두 용어 중 하나로 교체될 수 있다.
실시예 1: 인쇄가능한 반도체 소자를 가지는 박막 트랜지스터
박막 트랜지스터 내에 반도체 채널을 제공하기 위한 본 발명의 인쇄가능한 반도체 소자의 능력은 실험적인 연구로 입증했다. 특히, 본 발명의 목적은 인쇄방법에 의해 유연한 플라스픽 기판 상에 제조할 수 있는 박막 트랜지스터를 제공하는 것이다. 더욱이, 본 발명의 목적은 종래 고온 공정 방법에 의해 제조된 박막 트랜지스터와 유사하거나 초과하는 전계 효과성 이동도, on-off 비 및 역치 전압을 가지는 플라스틱 기판 상에 고효율 박막 트랜지스터를 제공하는 것이다.
도7은 인쇄가능한 반도체 소자를 가지는 박막 트랜지스터의 광학 현미경 사진 이미지를 나타낸다. 도시된 트랜지스터(531)는 소스 전극(532), 드레인 전극(533), 인쇄가능한 반도체 소자(534), 유전체(도7 내 현미경 사진에 미도시) 및 게이트 전극(도7 내 현미경 사진에 미도시)을 포함한다. 박막 트랜지스터는 게이트처럼 ITO(indium tin oxide, 두께 ~100 나노미터)로 코팅된 마일라 시트 및 게이트 유전체(SU8-5; Microchem Corp.)처럼 광경화된 에폭시를 포함하는 기판으로 지지했다. 유전체(2.85nF/cm2)의 캐패시턴스(capacitance)은 장치 근처에 형성된 캐패시터 테스트 구조물을 사용하여 평가했다. 상기 장치는 두께 340 나노미터의 장치 층 및 14-22 ohm cm의 저항과 함께 p 도핑된 SOI 웨이퍼(Soitec)포부터 제조된 길이 ~5 밀리미터, 폭 20 마이크론 및 두께 340 나노미터의 마이크로스트립을 포함하는 용액 주조 인쇄가능한 반도체 소자를 사용한다. 두께 25 나노미터의 SiO2의 층은 수평 석영관 화로에서 건식 산화에 의해 실리콘 상측에 성장했다. Al (20 나노미터) / Au (180 나노미터)의 소스 및 드레인 전극은 리프트오프(lift off) 기술에 의해 정의된다. 반도체 채널 길이는 50 마이크론이고 폭은 20 마이크론이다.
도8 및 도9는 인쇄가능한 반도체 소자를 가지는 본 발명의 박막 트랜지스터로부터 수집된 전기적 측정을 나타낸다. 장치는 상측 접촉 형태와 함께 후면 게이트 SOI 장치와 유사하게 작동한다. 반도체는 길이 50 마이크론 채널에 있는 단결정 실리콘의 폭 20 마이크론 마이크론스트립을 사용한다. 이 경우 인쇄가능한 반도체 소자는 용액 주조 방법에 의해 패턴했다. 소스/드레인 접촉은 광식각법 및 리프트오프에 의해 정의했다.
도8은 이전에 산화된 Si 웨이퍼 상에 형성된 장치의 전류-전압(IV) 특성을 보이는 도표를 제공한다. 도9는 ITO 게이트 및 중합체 유전체로 코팅된 마일라 시트 상에 형성된 장치의 VDS=0.1 V에서 측정된 전사 특성을 보이는 도표를 제공한다. 이 커브의 기울기는 180 cm2/Vs의 전계 장치 이동도(이 경우에 반도체 소자 마이크로스트립의 폭과 동일한 소스 및 드레인 전극의 물리적 폭을 사용)를 정의한다. 인쇄가능한 반도체 소자와 접촉하기 위한 Al/Au 금속화는, p 도핑된 실리콘 상의 Al(4.2eV의 일함수) 금속화에 예상되는 것처럼, 실리콘과의 합리적으로 낮은 저항 쇼트키(Schottky) 접촉을 제공한다. 알루미늄은 실리콘 안으로 빠르게 확산된다고 잘 알려져 있지만, 이후의 금속화 고온 어닐링 단계가 일어나지 않은 것과 같이, 국지적 알루미늄-실리콘 상호작용을 예방하기 위한 특별한 주의가 행해지지 않았다. 도9의 전사 특성의 분석은 유전체 캐패시턴스를 위한 동일한 방향의 편평한 모델을 사용하여 180 cm2/V-1 s-1의 선형적인 전계 효과성 이동도를 보여준다.
완벽한 접촉에도 불구하고, 채널 영역(즉, 나노튜브 또는 나노와이어)에서 매우 높은 종횡비 (즉, 길이 대 폭 비율이 극단적으로 큰) 반도체의 소자를 편입하는 트랜지스터가 종래 장치와는 다른 응답을 가질 것이라는 제안에는 이론적인 논쟁이 있다. 이들 효과를 피하기 위해서, 트랜지스터 채널 길이와 함께 동일한 차수의 크기 상에 폭을 갖는 마이크로스트립을 포함하는 인쇄가능한 반도체 소자를 택했다. 여기서 관찰된 특성(이동도, 정규화된 상호 컨덕턴스, on/off 비)는 Si의 에칭 이후, 그러나 리프트오프 이후에 SOI 기판 상에서 형성된 박막 트랜지스터의 그것에 ~3/4이다. 이러한 측정에서 매몰 SiO2은 게이트 전극처럼 동작하는 기판을 지지하는 유전체 및 실리콘과 같이 동작한다. 이 결과는 인쇄가능한 반도체 소자를 제조하기 위해 그리고 실리콘의 특성을 많이 바꾸지 않는 기판 또는 초기 패턴 및 실리콘 에칭 단계에서 기인한 그의 표면으로 전사하기 위해 사용된 공정을 설명한다. 그것은 또한 SU8 유전체와 함께 반 데르 발스 경계면이 우수한 장치 특성을 지지할 수 있음을 나타낸다.
본 실시예의 제조 방법의 원칙적인 이점은 그것이 결정 성장플라스틱 기판 및 장치의 다른 부품로부터 실리콘의 결정 성장 및 공정을 분리시키는 것이다. 게다가, 본 발명의 인쇄가능한 반도체 소자 공정의 방법은 공정 순서 및 가능한 재료의 선택에서 고도로 유연하다. 예를 들면, SiO2 층을 여기에 설명된 집적된 소스/드레인 금속화를 위한 것과 동일한 방법에서, 집적 유전체를 생산하기 위해 실리콘의 한쪽 면 상에 (예컨대, Si 장치 층과 함께 Si 소자 리프팅 오프 또는 SOI 매몰 산화물 리프팅 이전에 열 산화물을 성장시킴으로써) 형성시킬 수 있다. 이 방법으로 전해진 유전체는 플라스틱 기판 상의 다수의 얇은 유전체 용액 주조에서 누설, 이력(履歷) 현상, 도핑, 트래핑 등을 결합시킬 수 있는 상당한 요구를 예방할 수 있다.
도10A-H는 복합 인쇄가능한 반도체 소자를 가지는 박막 트랜지스터 상에 배향을 제조하기 위한 본 발명의 방법을 도시하는 약도를 제공한다. 도10A에 보인 바와 같이, 게이트 전극(547)을 캡톤(Kapton), 마일라 또는 PET와 같은 유연한 기판의 얇은 시트의 표면(548) 상에 증착시킬 수 있다. 게이트 전극은 광식각법, 전사 인쇄, 나노전사 인쇄, 부드러운 석판 인쇄 또는 이들의 결합을 포함하지만, 이로 한정되지는 않는 종래의 모든 방법에 의해 유연한 기판 상으로 패턴 시킬 수 있었다. 도10B에 보인 바와 같이, 작동가능하게 SiO2 유전체 소자(560)와 연결되는 단결정 실리콘 구조물(555)를 포함하는 다수의 복합 인쇄가능한 반도체 소자(550) 제조의 단계를 더 포함할 수 있다. 도10B에 도시된 바와 같이, 복합 인쇄가능한 반도체 소자(550)는 중심 세로축(551)을 따라 선택된 길이(552)를 확장시키는 리본 형상을 가진다. 복합 인쇄가능한 반도체 소자(550)는 선택된 두께(553) 및 두께의 함수와 같이 변경시킨 폭을 가진다.
도10C에 보인 바와 같이, 상기 방법은 건식 전사 접촉 인쇄 또는 용액 인쇄를 통해 게이트 전극(547) 및 기판(548) 상으로 복합 인쇄가능한 반도체 소자(550) 조립의 단계를 더 포함할 수 있다. SiO2 유전체 소자(560)를 게이트 전극(547)과 접촉시켜 복합 인쇄가능한 반도체 소자(550)를 배향시켰다. 도10D에 보인 바와 같이, 상기 방법은 기판(548)의 패턴된 표면 상에 양포토리지스트(561)의 얇은 층을 회전 코팅하는 단계를 더 포함할 수 있다. 선택적으로, 양포토리지스트(561)의 얇은 층을 롤러를 사용하는 기판(548)의 패턴 표면으로 적용시킬 수 있다. 게이트 전극(547)로 마스킹 되지 않은 포토리지스트(561)의 영역을 기판(548)의 밑면(562)을 통해 전달된 전자기 방사의 빔에 노출시킨다. 광학적으로 전달하는 기판(548)의 사용은 본 발명의 이 방법에 바람직하며, 특히 적어도 자외선 및/또는 전자기 스팩트럼의 가시 영역에서 부분적으로 투명한 기판이 그러하다. 도10E에 보인 바와 같이, 상기 방법은 얇은 포토리지스트 층을 현상시키는 단계를 더 포함한다. 본 도면에 보인 바와 같이, 게이트 전극에 의해 섀도우 마스킹 된 얇은 포토리지스트 층(561)의 영역은 현상시키지 않았다. 도10F에 보인 바와 같이, 상기 방법은 집적된 SiO2 유전체를 건식 또는 습식 에칭 시키는 단계를 더 포함함으로써, 소스 및 드레인 전극을 위한 접촉을 개방한다. 도10F로 도시된 실시형태에서, 이것은 기판(548)의 패턴된 표면을 CF4 플라즈마로 노출시킴으로써 얻을 수 있다. 도10G에 보인 바와 같이, 상기 방법은 섀도우 마스크 증발에 의해 소스 및 드레인 전극을 정의하는 단계를 더 포함한다. 반도체 소자의 정렬, 소스 전극 및 드레인 전극은 아주 명확할 필요는 없는데, 이는 반도체 채널이 다음 제조 단계에서 정의될 것이기 때문이다. 도10H에 보인 바와 같이, 상기 방법은 양성 저항 리프팅 오프에 의해, 예컨대, 아세톤과 같은 용매에 노출시킴으로써 반도체 채널을 정의하는 단계를 더 포함한다.
도11A-D는 집적된 게이트 전극, 게이트 유전체, 반도체, 소스 전극 및 드레인 전극을 포함하는 인쇄가능한 장치를 제조하기 위한 본 발명의 방법을 도시한 도면을 제공한다. 도11A에 보인 바와 같이, 고품질 게이트 유전체는 SOI 웨이퍼의 표면의 열 산화에 의해 성장시켰다. 그 다음, (금속 또는 도핑된 폴리-실리콘과 같은) 게이트 전극 재료를 증착시켰다. 상측 표면의 선택된 영역은, 예컨대, 석판 인쇄 공정을 사용하여 그 이후에 마스킹 시켰다. 한 실시형태에서, 제어된 간격과 동일한 패턴의 배향은 단일 생성 단계에서 정의했다. 인쇄가능한 반도체 소자는 그 다음 이방성으로 습식 및/또는 건식 에칭에 의해 제조했다. 우선적으로, 세 개의 상이한 선택적 에칭 공정은 게이트 전극 재료, 게이트 유전체 및 상측 실리콘 층의 노출된 영역을 에칭하기 위해 지속적으로 수행했다.
도11B에 보인 바와 같이, 석판 인쇄 공정은 트랜지스터의 채널을 정의하는데 사용했다. 본 공정 단계에서, 게이트 전극 재료의 노출된 영역은 에칭시켰다(건식 또는 습식 에칭). 도11C에 보인 바와 같이, 포토리지스트는 그 다음 그의 유리 전이 상에서 가열시킴으로써, 리플로우(reflow) 공정을 개시했다. 포토리지스트의 리플로우 거리는 적합한 포토리지스트 층의 두께, 포토리지스트 층의 유리 전이 온도 또는 리플로우 공정의 온도 및 지속 시간을 신중하게 선택함으로써 선택했다. 게이트 유전체의 노출된 영역은 그 다음 HF 용액을 사용하여 에칭했다.
그 다음, 11D에 보인 바와 같이, 금속화 공정을 수행했고, 인쇄가능한 장치의 제조를 완성시키기 위해 포토리지스트 상으로 증착된 금속의 리프팅 오프가 뒤따랐다. 소스 및 드레인 전극은 게이트와 함께 자가 정렬했고, 소스 및 드레인 전극 사이의 간격은 리플로우 공정의 온도 및 지속 시간과 같은 상이한 변수를 조절함으로써 선택했다.
도11D에 나타낸 인쇄가능한 장치는 본 발명의 건식 전사 또는 용액 인쇄 방법에 의해 플라스틱 기판과 같은 기판 상으로 전사하고 조립했다. 도11A-D에 도시된 자가 정렬 공정은 MOSFET 장치와 같은 인쇄가능한 장치의 실현을 위해 필요한 모든 소자를 집적시키는 간단한 방법을 보여준다. 본 발명의 이러한 제조 방법의 상당한 이점은 플라스틱 기판(예컨대, 대략 섭씨 400도를 초과하는 온도를 요구)과 호환하지 않는 온도를 요구하는 모든 공정 단계는 장치를 기판으로 리프팅 오프하고 전사하기 이전에 SOI 기판 상에서 수행할 수 있다는 것이다. 예를 들면, 소스 및 드레인 접촉 영역의 도핑, 실리사이드 층, 및 장치의 고온 어닐링과 같은 부가적인 공정 단계는 소자를 플라스틱 기판 상으로 전사하기 이전에 실행시킬 수 있었다.
실시예 2: 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자
본 발명은 인장되고, 굴곡되거나 변형될 때 고효율을 제공할 수 있는 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자를 제공한다. 더욱이, 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자는 완전히 유연한 전자 및 광전자 장치를 제공하기 위한 넓은 범위의 장치 및 형태로 적응시킬 수 있었다.
*도12는 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자를 나타내는 원자력 현미경 사진을 제공한다. 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자(700)는 지지 표면(710)이 구비된 유연한 기판(705) 및 곡면을 이루는 내표면(720)이 구비된 구부러진 반도체 구조물(715)를 포함한다. 본 실시형태에서, 구부러진 반도체 구조물(715)의 곡면을 이루는 내표면(720)의 적어도 일부는 유연한 기판(705)의 지지 표면(710)에 접착했다. 곡면을 이루는 내표면(720)은 내표면(720)을 따라 선택된 지점에서 또는 내표면(720)을 따라 실질적으로 모든 지점에서 지지 표면(710)을 접착할 수 있었다. 도12에 도시된 실례가 되는 반도체 소자는 폭이 대략 100 마이크론이고 두께가 대략 100 나노미터인 단결정 실리콘의 구부러진 리본을 포함한다. 도12에 도시된 유연한 기판은 대략 1 밀리미터의 두께를 가지는 PDMS 기판이다. 곡면을 이루는 내부 기판(720)은 리본 길이를 따라 뻗어있는 실질적으로 주기적인 파로 특징 지어지는 외곽선 프로파일을 가진다. 도12에 보인 바와 같이,파의 크기는 대략 500 나노미터이고 피크 간격은 20 마이크론에 가깝다. 도13은 곡면을 이루는 내표면(720)이 구비된 구부러진 반도체 구조물(715)의 확대된 뷰를 제공하는 원자력 현미경 사진을 나타낸다. 도14는 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자의 배향의 원자력 현미경 사진을 나타낸다. 도14에서 원자력 현미경 사진의 분석은 구부러진 반도체 구조물을 대략 0.27%로 압축시켰음을 제안한다. 도15는 본 발명의 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자의 광학 현미경 사진을 나타낸다.
곡선 모양 표면(720)의 외곽선 프로파일은 구부러진 반도체 소자를 물질의 기계적인 변형을 겪지 않고 변형 축(730)을 따라 확장시키거나 수축시키도록 한다. 이 외곽선 프로파일은 또한 상당한 기계적 손상 또는 변형에 의해, 유발된 효율의 손실 없이, 변형 축(730)을 따르는 것을 제외한 방향에서 구부리고, 굴곡시키고 또는 변형시킬 수 있었다. 본 발명의 반도체 구조물의 곡면을 이루는 표면은 연신성, 신축성 및/또는 굽힘성과 같은 우수한 기계적 특성, 및 또는 굴곡되거나, 인장되거나 변형될 때 우수한 전계 효과성 이동도를 보이는 것과 같은 우수한 전자 효율을 제공하는 모든 외곽선 프로파일을 가질 수 있다. 실례가 되는 외곽선 프로파일은 다수의 볼록한 및/또는 오목한 영역으로, 그리고 사인파, 가우시안 파, 아리에스 함수, 사각 파, 로렌츠 파, 주기적인 파, 비주기적인 파 또는 이들의 모든 결합을 포함하는 넓고 매우 다양한 파 형상으로 특징 지어진다. 본 발명에 있어서 사용가능한 파형은 관련된 2 또는 3개의 물리적 치수로 변할 수 있다.
도16은 그 지지 표면(710)상에 3차원 부각구조 패턴을 갖는 유연한 기판(705)과 접착된 구부러진 반도체 소자(715)를 갖는 본 발명에 따른 잡아 늘이거나 압축가능한 인쇄가능한 반도체 소자의 원자력 현미경 사진을 나타낸다. 상기 3차원 부각구조 패턴은 오목 영역(750) 및 부각구조의 특징부(760)를 포함한다. 도16에 나타낸 바와 같이, 구부러진 반도체 소자(715)는 오목 영역(750) 및 부각구조의 특징부(760)에서 지지면(710)과 접착한다.
도17은 본 발명에 따른 잡아 늘이거나 압축가능한 반도체 소자의 제조방법의 실례가 되는 흐름도이다. 상기 우수한 방법에 있어서, 확장 상태로 예비 변형된 탄성체 기판을 마련했다. 예비 변형은 상기 탄성체 기판을 제한하지 않는 롤 프레싱 및/또는 예비 구부림을 포함한 당업계에 널리 알려진 방법에 의해 성취될 수 있다. 본 발명에 따른 이 방법에 있어서 사용가능한 우수한 탄성체 기판은 1 mm의 두께를 갖는 PDMS 기판이다. 상기 탄성체 기판은 단일 축을 따라 확장하거나 복수개의 축을 따라 확장함에 의해 예비 변형될 수 있다. 도17에 나타낸 바와 같이, 인쇄가능한 반도체 소자의 상기 내표면의 적어도 일부분은 확장 상태로 예비 변형된 탄성체 기판의 외표면과 접착했다. 상기 반도체 소자의 상기 내표면과 상기 예비 변형된 탄성체 기판의 외표면 사이의 접착은 공유 결합에 의해, 반데르발스 힘에 의해 접착을 사용하거나 이들이 조합에 의해 성취될 수 있다. 상기 탄성체 기판이 PDMS인 우수한 실시예에서 상기 PDMS 기판의 지지 표면은 실리콘 반도체 소자로 공유 결합을 용이하게 하기 위해서 그 표면으로부터 확장시키는 복수개의 하이드록실기를 갖는 것처럼 화학적으로 변형했다. 도17의 하부를 참조하면, 상기 예비 변형된 탄성체 기판과 반도체 소자를 접착시킨 후에, 상기 탄성체 기판을 적어도 부분적으로 이완상태로 이완시킨다. 이 실시형태에 있어서, 상기 탄성체 기판의 이완은 상기 반도체 소자의 상기 내표면과 접착함으로써 곡면을 이루는 내표면을 갖는 반도체 소자를 제조한다.
도17에 나타낸 바와 같이, 상기 제조방법은 곡면을 이루는 내표면(720)을 갖는 상기 전사가능한 반도체 소자(715)는 상기 탄성체 기판에서 다른 기판으로, 바람직하게는 중합체 기판과 같은 유연한 기판으로 전사되는 선택적으로 두번째 전사 단계를 포함한다. 이 두번째 전사 단계는 상기 반도체 소자(715)의 노출된 표면과 접착하는 다른 기판의 수용부 표면과 접촉하여 곡면을 이루는 내표면을 갖는 상기 반도체 소자(715)의 노출된 표면을 가져옴으로써, 성취될 수 있다. 다른 기판과 접착하는 것은 공유 결합, 반데르발스 힘, 접착제 사용을 포함하는 방법에 의해 이루어질 수 있다.
본 발명에 따른 잡아 늘이거나 압축가능한 반도체 소자는 트랜지스터, 다이오드, 레이저, MEMS, NEMS, LEDS 및 OELDS와 같은 많은 수의 기능성 장치 및 장치 부품을 효과적으로 집적시킬 수 있다. 본 발명에 따른 잡아 늘이거나 압축가능한 반도체 소자는 종래 딱딱한 무기질 반도체에 비해 확실한 기능적 이점을 가진다. 첫째로, 잡아 늘이거나 압축가능한 반도체 소자는 유연할 수 있으므로, 종래 딱딱한 무기질 반도체보다 구부림, 굽힘 및/또는 변형에 의해 유발된 구조물적 손상이 적게 받아들인다. 둘째로, 구부러진 반도체 소자가 곡면을 이루는 내표면을 제공하기 위해 약간 기계적으로 변형 상태에 있을 수 있을 때, 본 발명에 따른 잡아 늘이거나 압축가능한 반도체 소자는 종래의 변형되지 않은 무기질 반도체보다 더 높은 고유 전계 효과성 이동도를 나타낼 수 있다. 마지막으로, 잡아 늘이거나 압축가능한 반도체 소자는 장치 온도 사이클링에 대해서 자유롭게 확장 및 접촉할 수 있기 때문에 우수한 열적 성질을 제공할 수 있다.
실시예 3: 인쇄가능한 반도체 소자 제조의 방법
본 발명은 단결정 웨이퍼, 기판 웨이퍼 상의 실리콘, 게르마늄 웨이퍼, 다결정 실리콘의 박막 및 초박막 실리콘 웨이퍼를 포함하는 넓은 범위의 개시 재료로부터 인쇄가능한 반도체 소자를 제조하는 방법을 제공한다. 특히, 본 발명은 선택된 배향 및 상대적인 위치에서 다수의 인쇄가능한 반도체의 저렴한 방법을 제공한다.
도18A는 Si-Ge epi 기판으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다. 본 방법에서, Si epi 층의 선택적 영역은 금속, SiO2 또는 SiN를포함하는 박막과 같은 마스크 재료를 증착함으로써 마스킹 된다. 상기 마스킹 단계는 제조시킬 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의한다. Si-Ge epi 기판의 노출된 Si 표면은 건식 또는 습식 화학적 에칭 방법에 의해 이방성으로 에칭했다. 이는, 바람직하게는, 예컨대, 50℃에서 NH4OH:H2O2:H2O 1:1:4으로 제공되는 선택적 SiGe 습식 에칭을 사용한 리프트오프 기술에 의해 Si-Ge epi 기판으로부터 효과적으로 해제시킬 수 있는 부드러운 측벽이 구비된 실리콘의 부각구조 특징부를 생성시킨다. 선택적으로, 소스 전극, 게인 전극, 게이트 전극, 유전체 소자 또는 이들의 모든 결합은 리프트오프 이전에 반도체 소자 안으로 집적시킬 수 있었다. 본 제조 방법의 이점은 모체 기판을 세척하고 재사용할 수 있다는 것이다.
도18B는 벌크 실리콘 기판, 바람직하게는 단결정 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다. 이 방법에서, 실리콘 웨이퍼는 우선, 예컨대, 대략 섭씨 800도 ~ 섭씨 1200도의 범위로부터 선택된 온도에서 석영 튜브 용광로 안에서 건식 산화시켰다. 그 다음, 게이트 재료의 얇은 층은 실리콘 웨이퍼의 산화된 표면 상으로 증착시켰다. 실례가 되는 게이트 재료는 포토리지스트와 함께 선택적으로 패턴했다. 상기 패턴 단계는 제조시킬 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의했다. 게이트 재료의 얇은 층 및 유전 층은 이방성으로 후면 에칭시킴으로써, 강광제 층, 게이트 재료 층, 유전 층 및 실리콘 층 그리고 바람직하게는 부드러운 측벽을 가진 것을 포함하는 부각구조 특징부를 생성시켰다. 그 다음, 예를 들면, 대략 섭씨 100도 ~ 대략 섭씨 130도에서 선택된 온도로 어닐링 함으로써 포토리지스트 층을 리플로우했다. 포토리지스트를 리플로우함으로써 포토리지스트의 일부를 부각구조 특징부의 측벽으로 전사했다. 도18B에 보인 바와 같이, 노출된 Si 표면은 습식 또는 건식 에칭 방법을 사용해 등방성으로 에칭시킴으로써, 부각구조 특징부를 해제시켰고, 바람직하게는 부드러운 표면이 구비된 복합 인쇄가능한 반도체 소자를 생성시켰다. 실리콘의 등방성 에칭은 HNO3:NH4F:H2O 64:3:33 용액을 사용하여 얻을 수 있었다. 상기 제조 방법의 이점은 실리콘 기판 개시 재료가 비교적으로 저렴한 것과 평탄화(ECMP) 이후에 모체 기판을 재사용할 수 있는 능력이다.
도18C는 벌크 실리콘 기판, 바람직하게는 단결정 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하는 실례가 되는 또다른 방법을 나타낸다. 본 방법에서, 벌크 실리콘 기판의 외표면은 포토리지스트와 함께 선택적으로 패턴시켰다. 상기 패턴 단계는 제조된 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의한다. 패턴된 기판 표면은, 바람직하게는 능동 이온 에칭 및 유도 결합 플라즈마 에칭과 같은 건식 에칭을 사용하여 이방성으로 에칭시킴으로써, 부각구조 특징부, 바람직하게는 부드러운 측벽이 구비된 부각구조 특징부를 생성시켰다. 부각구조 특징부의 적어도 일부의 측벽은 금속, SiO2 또는 SiN의 얇은 층과 같은 마스킹 재료를 증착시킴으로써 마스킹했다. 한 실시형태에서, 마스킹 재료는 노출된 모든 측벽 증착을 확실하게 하기 위해 샘플 회전으로 결합된 각진 증발 또는 스퍼터링 증착 기술에 의해 부각구조 특징부의 측벽으로 적용시킬 수 있다. 도18C에 보인 바와 같이, 노출된 Si 표면은 습식 또는 건식 에칭 방법을 사용하여 등방성으로 에칭시킴으로써, 부각구조 특징부를 해제시키고, 바람직하게는 부드러운 표면이 구비된 인쇄가능한 반도체 소자를 생성시켰다. 실리콘의 등방성 에칭은 HNO3:NH4F:H2O 64:3:33 용액을 사용하여 얻을 수 있었다. 상기 제조 방법의 이점은 실리콘 기판 개시 재료가 비교적으로 저렴한 것과 평탄화(ECMP) 이후에 모체 기판을 재사용할 수 있는 능력이다.
도18D는 여전히 벌크 실리콘 기판, 바람직하게는 단결정 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하는 실례가 되는 다른 방법을 나타낸다. 본 방법에서, 벌크 실리콘 기판의 외표면은 포토리지스트와 함께 선택적으로 패턴시켰다. 패턴된 기판 표면은 이방성으로 에칭시킴으로써, 부각구조 특징부를 생성시켰다. 그 다음, 예컨대 대략 섭씨 1100도의 온도에서 석영 용광로 안에서 그리고 질소 안에서 어닐링 시킴으로써 실리콘 기판이 어닐링 시켰다. 그 다음, 어닐링 된 실리콘 기판의 표면은 포토리지스트와 함께 선택된 영역을 마스킹함으로써 패턴시켰다. 상기 패턴 단계는 제조된 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의한다. 도18D에 보인 바와 같이, 어닐링된 Si 기판의 패턴된 표면은 건식 또는 습식 에칭 방법을 사용해 이방성으로 에칭시킴으로써, 바람직하게는 부드러운 표면이 구비된 인바소를 생성했다. 본 제조 방법의 이점은 실리콘 기판 개시 재료가 비교적으로 저렴한 것과 평탄화(ECMP) 이후에 모체 기판을 재사용할 수 있는 능력 때문이며 어닐링 단계 이후에 소스 전극, 드레인 전극, 게이트 전극 및 유전체 장치 부품을 집적하기 위한 능력 때문이다. 게다가, 습식 에칭은 110 실리콘 웨이퍼와 함께 제1 에칭 단계에서 사용할 수 있었다.
도18E는 초박막 실리콘 기판으로부터 인쇄가능한 반도체 소자를 제조하는 실례가 되는 방법을 나타낸다. 본 방법에서, 초박막 실리콘 기판의 외표면은 포토리지스트와 함께 선택적으로 패턴된다. 상기 패턴 단계는 제조된 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의한다. 패턴된 기판 표면은 초박막 실리콘 기판의 두께를 통해 이방성으로 에칭시킴으로써, 인쇄가능한 반도체 소자가 생겼다. 대략 10 마이크론 ~ 대략 500 마이크론의 범위에서 선택된 두께를 가지는 초박막 실리콘 기판은 상기 제조 방법의 일부 제품을 위해 바람직하다. 상기 제조 방법의 이점은 초박막 기판 개시 재료가 비교적 저렴한 것이다.
도18F 및 도18G는 다결정 실리콘의 박막으로부터 인쇄가능한 반도체 소자를 제조하기 위한 실례가 되는 방법을 나타낸다. 상기 방법에서, 다결정 실리콘의 얇은 층은 SiN 또는 SiO2를 포함하는 코팅과 같은 희생 표면 층이 구비된 유리 또는 실리콘 기판과 같은 지지 표면 상에 증착시켰다. 다결정 박막은 그 후 어닐링되고, 노출된 표면의 선택적 영역은 금속, SiO2 또는 SiN을 포함하는 박막과 같은 마스크 재료를 증착함으로써 선택적으로 마스킹했다. 상기 마스킹 단계는 제조시킬 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의한다. 패턴 표면은 희생 층으로 지지되는 실리콘의 부각구조 특징부, 바람직하게는 부드러운 측벽을 구비한 부각구조 특징부를 생성시키는 건식 또는 습식 화학 에칭 방법 중 하나에 의해 이방성으로 에칭시켰다. 희생 층을 등방성으로 에칭하는 것은 부각구조 특징부를 해제함으로써, 인쇄가능한 반도체 소자를 생성한다. 상기 제조 방법의 이점은 지지 표면을 세척할 수 있고 재사용할 수 있다는 것이다. 선택적으로, 다결정 실리콘의 얇은 층은 SiO2 기판 상에 직접 증착시킬 수 있었다. 도18G에 보인 바와 같이, 유사한 어닐링, 패터닝, 이방성 에칭 및 리프트오프 단계는 인쇄가능한 반도체 소자를 제조하는데 사용할 수 있었다. 선택적으로, 소스 전극, 게인 전극, 게이트 전극, 유전체 소자 또는 이들의 어떤 결합도 이들 방법 중 리프토 오프 이전에 인쇄가능한 반도체 소자 안으로 집적시킬 수 있었다.
도18H 및 도18I는 본 발명의 인쇄가능한 반도체 소자를 사용하여 단결정 반도체 필름을 제조하는 방법을 도시한다. 도18H에 보인 바와 같이, 비결정질 또는 결정질 반도체 박막은 SiO2와 같은 절연 재료를 포함하는 기판의 표면 상에 마련시켰다. 얇은 비결정질 또는 다결정질 반도체 필름은 증기 증착 또는 스퍼터링 증착과 같은 증착 기술을 포함하지만 그로 한정되지는 않는 종래 기술의 어떤 방법에 의해 마련할 수 있었다. 도18H를 또 참조하면, 단결정 반도체 구조물을 포함하는 인쇄가능한 반도체 소자는 얇은 비결정질 또는 다결정질 반도체 필름으로 피복된 기판의 표면 상으로 전사할 수 있었다. 하나의 긴 측면 치수를 가지는 단결정 반도체 구조물의 사용은 상기 방법의 일부 제품에 바람직하다. 본 발명은 또한 단결정 반도체를 포함하는 인쇄가능한 반도체 소자를 비결정질 또는 다결정질 반도체 박막의 증착 이전에 기판 표면 상으로 전사하는 것을 포함했다.
도18I에 보인 바와 같이, 얇은 비결정질 또는 다결정질 반도체 필름은, 예컨대, 섭씨 1000도 이상의 온도와 같은 고온에서 어닐링함으로써 단결정 반도체와 접촉하는 동안 어닐링했다. 본 발명의 상기 실시형태에서, 단결정 반도체 구조물은 박막을 통해 비결정질 또는 다결정 상태에서 잘 조직화된 단결정 상태로의 상(相) 전이를 촉진시키는 원인으로서 동작한다. 도18I에 보인 바와 같이, 상 전이는 웨이퍼의 표면 전부에 걸쳐 이동하는 높은 온도 변화율의 앞부분을 따른다. 상이한 고온 용광로 또는 집중된 광학 시스템은 반도체 박막의 효과적인 상 변환을 얻기 위해 필요한 온도 변화율을 산출하기 위해 사용했다. 상기 공정의 이점은 단결정 실리콘 또는 게르마늄 필름과 가튼 단결정 반도체 박막 제조의 비용을 상당히 감소시키는데 있다.
도18J는 GaAs 기판으로부터 마이크로와이어를 포함하는 인쇄가능한 반도체 소자를 제조하는 실례가 되는 방법을 나타낸다. 본 도면에 보인 바와 같이, GaAs 기판의 노출된 표면은 포토리지스트와 같은 마스크 재료와 함께 패턴되었다. 패턴은 마이크로접촉 또는 나노접촉 인쇄에 의해 또는 도18Ed에 보인 바와 같은 종래의 광식각법을 통해 얻을 수 있었다. 패턴 표면은 습식 에칭 방법을 사용해 이방성으로 에칭시켰다. 도시한 실시 방법에서, 측벽의 재입력 프로파일은 H3PO4-H2O2-H2O 용액을 사용하여 얻을 수 있고, 형성된 부각구조 특징부는 GaAs 기판으로부터 해제될 때까지 에칭시킴으로써, GaAs 나노와이어를 생성시켰다. 보인 바와 같이, 포토리지스트 층은 아세톤을 사용한 세척 및 O2 능동 이온 에칭에 대한 노출에 의해 제거시킬 수 있었다. 상기 기술은 InP 기판으로부터 마이크로와이어를 제조하는데 사용할 수 있었다.
도18K는 단결정 실리콘 리본을 포함하는 인쇄가능한 반도체 소자 제조를 위한 대체 방법을 나타낸다. 상기 방법에서 개시 재료는 Si(110) 웨이퍼이다. 도18K에 도시된 바와 같이, Si(110) 웨이퍼의 외표면은 공정 중에 마스크로서 일하는 SiO2의 박막과 함께 선택된 패턴이다. 상기 마스킹 단계는 제조시킬 인쇄가능한 반도체 소자의 형상 및 일부 물리적 치수(예컨대, 리본의 길이 및 폭)를 정의한다. Si(110) 웨이퍼의 노출된(즉, 마스킹 되지 않은) 표면은 곧 건식 또는 습식 화학 에칭 방법 중 하나에 의해 등방성으로 에칭했다. 상기 공정 단계는, 바람직하게는 선택된 깊이를 가지는 트렌치(trench)의 시리즈에 의해 분리된 부드러운 측벽을 구비하는 실리콘의 부각구조 특징부를 생성했다. 실리콘 부각구조 특징부는 곧 등방성 에칭 및 리프트오프 공정에 의해 Si(110) 웨이퍼로부터 해제됨으로써, 인쇄가능한 반도체 소자를 생성했다. 선택적으로, 소스 전극, 게인 전극, 게이트 전극, 유전체 전극 또는 이들의 어떤 결합도 리프트오프 이전에 반도체 소자 안으로 집적할 수 있었다. 상기 제조 방법의 이점은 모체 기판을 세척하고 재사용할 수 있다는 점이다. 도18K는 또한 공장 방법 중 다양한 시점에서 Si(110)의 SET 현미경사진을 나타낸다.
도18L은 단결정 실리콘 리본을 포함하는 인쇄가능한 반도체 소자 제조를 위한 대체 방법을 나타낸다. 상기 방법에서 개시 재료는 Si(111) 웨이퍼이다. Si(111) 웨이퍼는, 예컨애, 종래의 광식각법 마스킹 및 습식 에칭 방법의 결합을 사용하여 선택적 등방성으로 에칭했다. 상기 공정 단계는 실리콘의 부각구조 특징부를 생성했다. 도18L에 보인 바와 같이, 측벽, 표면 또는 실리콘 부각구조 특징부의 둘 모두 보호막 공정을 사용하여 코팅했다. 인쇄가능한 단결정 실리콘 리본은 등방성 에칭 및 리프트오프 공정에 의해 Si(111) 웨이퍼로부터 해제시켰다. 도18K는 또한 리프트오프 바로 이전에 상기 공정에 의해 발생된 단결정 실리콘 리본의 SET 현미경 사진을 나타낸다.
실시예 4: 반도체 나노와이어 마이크로와이어의 제조 방법
본 발명의 목적은 넓고 다양한 장치, 장치 부품 및 장치 설정에 사용할 수 있도록 하는 우수한 기계적 전기적 특성을 가지는 반도체 나노와이어 및 마이크로와이어 제조 방법을 제공하는 것이다. 본 발명의 목적은 나아가 선택된 단층 구조물, 다층 구조물 및 이들 소자를 포함하는 기능적 장치를 제조하기 위한 나노와이어 및 마이크로와이어 조립의 방법을 제공하는 것이다. 본 발명의 방법의 유용성을 평가하기 위해, GaAs 및 InP의 나노와이어 및 마이크로와이어를 제조했고 장치 형태의 범위 내에서 전기적 전도성 및 기계적 신축성에 관해 평가했다. 게다가, 넓은 기판 표면 영역에 상응하는 잘 정의된 위치 및 배향에서 다수의 나노와이어 및 마이크로와이어는 조립하기 위한 본 발명의 능력은 단층 구조물 및 다층 구조물을 포함하는 다수의 복합 나노/마이크로와이어 제조에 의해 평가했다. GaAs 및 InP 나노와이어 및 마이크로와이어 제조 및 조립의 본 발명은 와이어 폭, 길이 및 공간의 배향에 걸친 완벽한 제어를 제공하기 위해 설명했다. 게다가, 제조된 GaAs 및 InP 나노와이어 및 마이크로와이어는 마이크로전자 장치 안으로 집적될 때 우수한 기계적 및 전기적 특성을 보였다.
도19는 GaAs의 나노와이어 배열을 생성하고 경화된 폴리우레탄(PU)의 얇은 층으로 코팅한 폴리(에틸렌테레프탈레이트)(PET)를 포함하는 플라스틱 기판과 같은 기판으로 전사하는 실례가 되는 방법의 단계를 도시한 약도를 제공한다. 도19에 보인 바와 같이, 상기 공정은 (100) 방향을 따라 배향된 표면과 더불어 GaAs 웨이퍼의 조각과 함께 시작된다(American Xtal Technology, Fremont, CA).
Figure 112015062079363-pat00004
방향을 따라 배향된 라인의 형성에서 SiO2의 에치 마스크를 정의함으로써 부피가 H3PO4 (85 wt%) : H2O2 (30 wt%) : H2O = 1 : 13 : 12를 포함하는 H3PO4 및 H2O2의 수용성 용액을 사용한 이방성 에칭을 위한 구조물을 마련한다(도19의 단계 i). 상기 기술에 적용될 때, 상기 에칭 화학은 높은 이방성을 보임으로써, SiO2 마스크 스트립 하에서 날카롭게 정의된 GaAs의 가역적 대지 형상의 프로파일을 생성한다. 충분한 에칭 시간 동안, 각 가역적 대지 교차의 두 개의 측벽은, 그 결과로 삼각형 단면과 함께 와이어를 형성한다. 상기 삼각형 단면은 도19의 패널 A(좌측)의 상측 내삽도에 예시했다.
한 실시형태에서, 패턴된 SiO2 라인의 결과로 모체 기판에 연결된 각 GaAs 와이어의 말단이 생겼다. 상기 연결은 와이어를 한정하며 SiO2의 패턴에 의해 정의된 것과 같이 공간의 배향 및 레이아웃을 지속시킨다. 도20A는 절연된 SiO2 라인과 함께 패턴된 GaAs 웨이퍼로부터 얻은 독립된 GaAs 와이어의 주사 전자 현미경 사진을 제공한다. GaAs의 측면 하부 도려내기는 수직적 에칭과 함께 일어남에 따라, 마이크론의 폭을 가지는 SiO2 라인과 함께일지라도 그 결과로 생긴 GaAs 와이어의 폭을 나노미터의 크기 아래로 감소시키는 능력이 있음은 주목할 만하다.
본 방법에 의해 마련된 GaAs 와이어 배열은 배열 안에 있는 각 와이어의 배향 및 상대적인 위치의 유지와 함께 플라스틱 시트로 전사 인쇄할 수 있었다. 도19에 도시된 실시형태에서, 폴리(디메틸실록산)의 편평한 조각, 또는 PDMS, Sylgard 184, A/B=1:10, (Dow Corning)과 같은 적ㅎ바한 탄성체 전사 소자는 와이어를 들어올리기 위해 GaAs 웨이퍼의 상에 배치된다(도19의 단계 ii에 보인 바와 같이). 본 실시형태에서, PDMS 시트 및 SiO2 마스크 레이어 사이의 비교적 강한 접착은 와이어의 말단에서 기저 기판으로의 결정 연결을 손상시키기 위해 필요로 한다.
약한 산화 플라즈마와 함께 SiO2 마스크를 구비한 PDMS 압인기 및 GaAs 웨이퍼의 세척은 축합 반응에 의해 PDMS 및 SiO2 사이의 공유 실록산 (Si-O-Si) 접착의 형성을 촉진시킨다(도19의 중간 내삽도를 보라). 그러므로 본 발명은, SiO2 마스크를 구비한 반도체 웨이퍼를 탄성체 전사 소자로 효과적인 그리고 기계적으로 강한 전사를 하기 위해 탄성체 전사 소자, SiO2 마스크를 구비한 반도체 웨이퍼 또는 그 두 개 모두를 약한 산소 플라즈마로 노출시킨 방법을 포함한다. 경계면에 걸친 접착의 밀도는 산호 플라즈마의 세기 및 처리 시간과 매우 개별적인 PDMS 표면 상에서 -O n Si(OH) 4-n 의 수에 비례한다. 장 시간의 플라즈마와의 처리는 와이어를 PDMS에서 바람직한 플라스틱 기판으로 해제시키기에 충분히 강한 접착을 유발할 수 있다. 제어시킨 실험은, 각각 최상의 결과를 발생시킨 10mTorr의 압력, 10sccm의 흐름 비율, 그리고 3 및 60s를 위한 10W(Uniaxis 790, Plasma-Therm Reactive Ion Etching System)의 전력 세기에서, 플라즈마에 의해 처리된 PDMS 및 SiO2 코팅된 GaAs 웨이퍼가 O2로부터 생성됨을 나타낸다. 이들 실시형태에서, e-빔 증발된 SiO2 마스크 레이어 및 GaAs 사이의 상호작용은 전사 공정 중의 박리를 예방할만큼 충분히 크다. ~2시간 동안 SiO2 마스크를 구비하는 GaAs 웨이퍼와의 접촉을 떠난 뒤 GaAs 기판으로부터 멀리 떨어진 PDMS 압인기를 박리하는 것은 모든 와이어를 리프트오프 시킨다(도19의 단계 iii에 도시된 바와 같이).
본 방법은 실제로 있음직한 다수의 나노와이어 및/또는 마이크로 와이어의 제조 및 배열을 만든다. 예를 들면, 전사 단계(도19의 단계 iii) 이후 GaAs 웨이퍼는 와이어 제조(도19의 단계 iv)의 또다른 작업을 위한 편평한 표면을 재생성하기 위해 연마시킬 수 있었다. 상기한 바와 같이 와이어 제조와 함께 웨이퍼 연마의 결합은 웨이퍼의 단일 조각으로부터 다수의 GaAs 와이어를 생성시키는 것을 가능하게 만든다. 예컨대, 10 cm의 직경 및 450 ㎛의 두께와 함께 GaAs의 한 조각( 상업적으로 사용가능한 American Xtal Technology사의) 은, 만약 이방성 에칭 및 연마의 한 주기가 두께로 2 mm GaAs를 소비한다면, 1.76 m2의 영역과 함께 플라스틱 기판의 모든 표면을 빽빽히 피복하기에 충분한 와이어(~400 nm의 폭 및 100 mm의 길이를 가지는 ~22억 개의 와이어)를 생성시킬 수 있다. 이들 조건은 본 실시예에 설명된 결과를 대표한다. 따라서, 와이어 제조의 상기 반복되는 제품에 이어 연마 단계는 벌크 웨이퍼의 고비용의 효과적인 사용을 용이하게 한다.
도19의 단계 v 및 단계 vi에 보인 바와 같이, SiO2 마스크 소자를 가진 GaAs 와이어는 외표면에 점착성 층을 가지는 플라스틱 기판과 같은 기판으로 효과적으로 전사시킬 수 있었다. 한 실시형태에서, 접착된 GaAs 와이어와 함계 PDMS 압인기를 하루 동안 주변 환경으로 노출시키거나 PDMS 표면을 천연의, 소수성 상태로 복원하기 위해 에탄올로 헹구었다. 상기한 PDMS 표면의 소수성 성질은 일반적으로 친수성인 점착제와 강하게 상호작용하는 것을 실질적으로 예방한다. 회복된 PDMS 압인기를 플라스틱 기판(예컨대, 두께가 ~175 mm 인 PET, 마일라 필름, Southwall Technologies, Palo Alto, CA) 상으로 회전 코팅된 PU 층(Nolarland products, Cranbury, NJ에서 얻어진)과 같은 점착성 층과 반대 방향에 배치시켰을 때, 오로지 SiO2 마스크 스트립에 점착된 GaAs만이 점착제로 적실 수 있었다. PU 층의 두께는 회전 속도를 제어함으로써 마이크론에서 수십 마이크론으로 변경할 수 있었다. 1시간 동안 자외선 램프(Model B 100 AP, Black-Ray, Upland, CA)로 샘플을 비추는 것은 PU 층을 경화하고 경화된 PU 및 GaAs 와이어 및 SiO2 마스크 스트립 사이 그리고 경화된 PU 및 기저 PET 시트 사이에 강한 접착을 형성시킨다(도19의 단계 v). PDMS 압인기를 박리함으로써 GaAs 와이어 및 SiO2 스트립을 리프트오프 이전 와이어의 차수 및 결정의 배향과 유사한 차수 및 결정의 배향을 유지하면서 경화된 PU의 모형(母型, matrix)에 장착했다(도19의 단계 vi). PDMS 압인기로부터 SiO2를 분리하는 것은 i) PDMS 표면 환원의 공정 중에 더 약화될 수 있는 PDMS 및 SiO2 사이의 경계면에서 성긴 실록산 접착과 결합된 적당한 점착 세기; 및 ii) SiO2 점착성 고장 이후에 PDMS 상에 남아있는 SiO2(수 나노미터의 두께)의 매우 얇은 층:의 두 가지 결과에 의해 용의해졌다. 완충제로 처리된 산화물 에칭액(BOE, NH4F (40 wt%) : HF (49 wt%) = 10 : 1)의 용액에 플리스틱 시트를 15분 동안 담금으로써 외부를 향하는 GaAs 나노와이어의 세척 상측(110) 표면을 떠나는 (도19의 단계 vii) SiO2 마스크 스트립을 제거시킨다.
상기의 GaAs 와이어 배열의 제조 및 건식 인쇄에 대한 간단한 '하향식' 접근법은 많은 이점을 제공한다. 예컨대, 와이어의 조합(즉, 길이, 폭 및 형상) 및 그들의 공간 조직화는 초기 석판인쇄 단계가 바람직한 전자 또는 광전자 완성 제품의 디자인을 만족함으로써 정의할 수 있었다. 전사 인쇄 기술은 석판 인쇄로 정의된 패턴을 유지하면서 100% 만큼 높은 생산량을 발생시킬 수 있었다. 플라스틱 기판 상의 전사된 와이어(즉, 상측(100) 표면)의 잘 배향된 결정 면은 장치 제조에 매우 유용한 극도로 편평한 상측 표면(원시 웨이퍼의 편평도와 유사한 편평도를 가짐)을 제공한다. 게다가, SiO2 마스크 스트립은 GaAs 와이어의 상측 표면이 PDMS, PU 및 공정에 사용된 용매와 같은 유기질에 의해 오염되는 것을 예방했다. 경화된 PU 안에 GaAs 와이어를 장착함으로써 그것들을 고정시켰고, 이로써 측면 또는 수직 방향으로, 특히 플라스틱 기판이 구부러지거나 꼬일 때, 이동하는 것을 예방했다. PU 및 PET는 오로지 본 발명에만 유용한 재료의 실시예임을 주지해야 한다. 따라서, 본 발명의 방법에서 사용될 수 있는 다른 점착제, 예컨대, NEA 155 (Norland®) 및 플라스틱 시트의 다른 형태, 예컨대, Kapton® 또는 폴리미드 필름은 당업자가 이해할 수 있다.
종래 기술의 '상향식' 접근법과는 달리, 본 발명의 '하향식' 공정은 수 마이크론부터 수십 센티미터까지 (즉, 원시 웨이퍼의 직경) 획일한 길이의 GaAs 나노와이어를 생성할 수 있었다. 도20A는 모체 기판 상에 무작위로 조립된 ~400 nm의 폭 및 2 cm의 길이를 가지는 독립된 GaAs 나노와이어의 SEM 이미지를 나타낸다. 긴 나노와이어는 좁은 폭으로 산출된 고도의 신축성을 나타내는 건식 공정 중에 곡면을 이루는 구조물을 형성했다. 도20A의 하측 내삽도에 보인 바와 같이, 선회하는 나노와이어는 폭 ~400 nm의 나노미터가 ~1.3%의 착색제를 견딜 수 있다고 제안하는 ~20 ㎛ 만큼 작은 곡률 반경을 가진다. 도20A는 GaAs의 역 대지 프로파일의 형성 및 이방성 에칭으로부터 하부도려내기를 확실히 보여주는 나노와이어 리프트오프 이전에 횡단면의 주사 현미경 사진을 제공한다.
본 발명의 한가지 양상에서, GaAs 와이어의 폭은 SiO2 마스크 라인의 폭을 선택적으로 조정, 에칭 시간을 선택적으로 조정 또는 그 둘 모두로 제어시켰다. 수백 마이크론 및 수십 나노미터 사이의 폭은 본 발명을 사용하여 얻을 수 있다. 에칭 시간의 제어는 마이크론 폭을 가지는 SiO2 패턴으로부터 나노와이어를 생성하는 쉬운 방법을 제공한다. 도20B-E는 2 ㎛ 폭의 SiO2 라인과 함께 패턴된 GaAs 웨이퍼를 에칭함으로써 얻은 각 와이어의 주사 현미경 사진을 나타낸다. 상기 와이어는 상측 표면의 평균 폭(
Figure 112015062079363-pat00005
로 나타냄)을 명확하게 측정하기 위해 상기에 설명된 절차를 사용하여 PDMS 표면으로 전사했다. 도20F는 본 발명에 의해 에칭 시간에 제조된 와이어의 상측 표면의 평균 폭(
Figure 112015062079363-pat00006
)의 상관관계를 나타내는 도표를 제공한다. 상기 도표는 본 발명의 본 실시형태를 사용하여 얻을 수 있는 50 nm의 폭을 가진 GaAs 와이어를 나타낸다. 폭과 에칭 시간 사이의 선형적인 관계는 H3PO4-H2O2-H2O 용액에 있는 GaAs의 에칭 반응 속도, 즉, H2O2 및 H3PO4 (n H2O2/n H3PO4) 사이의 분자 비율이 2.3 보다 클 때 그리고 H2O (r H2O)의 몰 비율이 0.9 (본 실험에 사용된 에칭액의 n H2O2/n H3PO4r H2O는 각각 7.8 및 0.9) 보다 작거나 같을 때 에칭 시간에 비례하는 에칭 비율의 이전 연구와 일치한다. 통계의 결과는 와이어의 폭의 분배(그들 길이를 따라 평균이 결정된 것처럼)가~16.8 nm의 평균 폭을 제공하기 위해 발표된 '상향식' 나노와이어의 한 형태에서 >14% 변화율보다 좁은 ~50 nm의 폭을 가지는 와이어를 위해 <9%임을 나타낸다.
도20B-D에 도시된 주사 전자 현미경 사진은 또한 독립된 GaAs 와이어에게조차 에칭이 고도로 이방성임을 나타내는 전단 공정 중에 지속되는 와이어의 삼각형의 횡단면을 보여준다. 와이어의 면밀한 관찰은 측벽 상에 약간의 거칠기가 있음을 나타낸다. 상기 거칠기의 대다수는 SiO2 마스크 스트립을 정의하는데 사용된 석판인쇄 절차로부터 직접 발생된 것이고, 즉 몇몇 마스크 라인의 정렬 및 자가 에칭에 의해 유발시켰다. 상기 거칠기는 본 발명의 본 실시형태를 사용하여 얻을 수 있는 가장 작은 지속적인 와이어의 폭을 결정한다. 도20F에 보인 바와 같이, 각 와이어 가까이의 폭 변화율 및 평균 와이어 폭(
Figure 112015062079363-pat00007
) 사이의 비율은 또한 에칭 시간에 대해 매우 개별적일 수 있다. 지속적인 GaAs 나노와이어는 비율이 100% 보다 작을 때 마련할 수 있었다. 본 발명의 도20F에 제공된 커브는 ~40 nm로 감소할 수 있었다. 상이한 평균 폭을 가지는 나노와이어는 각 SiO2 마스크 라인(즉, ~36 nm) 가까이의 폭 변화율과 가까운 각 와이어(즉, ~40 nm) 가까이의 동일한 폭 변화율을 반드시 보인다. 상기 비교는 와이어 측벽의 거칠기가 에칭 시간과 관계없이 SiO2 마스크 스트립의 거친 가장자리에 의해 주로 시작된다는 것을 뒷받침한다. 따라서, 마스크 스트립의 거칠기를 감소시키는 석판인쇄 절차의 사용은 와이어 가장자리 상의 거칠기를 감소시킨다. 본 실시예에 설명된 전사 인쇄 공정은 전기적 연결 및 최종 기판(즉, 도19의 PET) 상의 장치 제조를 위한 와이어의 원시의, 매우 편평한 에칭되지 않은 표면을 드러낸다.
도21A-G는 PDMS 및 PU/PET 기판 상에 인쇄된 매우 다양한 GaAs 와이어 배열의 이미지를 나타낸다. 이번 경우에 상기 와이어는 ~400 nm의 폭 및 ~100 ㎛의 길이를 가진다. 상응하는 SiO2 마스크 라인은 (100) GaAs 웨이퍼 상의
Figure 112015062079363-pat00008
방향을 따라 배향된 2 ㎛의 폭 및 100 ㎛의 길이를 가졌다. 도21A는 와이어의 차수가 지속됨을 나타내는 SiO2 마스크 층을 통해 편평한 PDMS 압인기로 접착된 GaAs 와이어 배열로부터 얻은 주사 전자 현미경 사진이다. 도21A의 내삽도는 말단에서 손상을 확실하게 드러내는 비교적 높은 배율로 세 개의 와이어 말단을 나타낸다. 도21B에 보인 바와 같이, 처리된 PU로부터 PDMS 압인기를 박리함으로써 외부를 향하는 SiO2 마스크 스트립과 함께 부드러운 표면(PDMS 만큼 부드러운)을 남겼다. 도21C에 보인 바와 같이, BOE로 SiO2 층을 에칭함으로써 GaAs 와이어의 원시의 상측 표면을 드러냈다. 도21D는 와이어 배열의 대면적을 도19에 도시된 방법을 사용하여 PU/PET 기판 상으로 기계적으로 인쇄할 수 있음을 나타내는 장착된 GaAs 와이어와 함께 PU/PET 기판으로부터 수집된 광학 이미지를 나타낸다. 다른 패턴(예컨대, 상이한 길이를 가지는 와이어로 이루어진 패치)을 가지는 GaAs 와이어 배열은 또한 PU/PET 기판으로 전사시킬 수 있었다.
상기 전사 공정은 PU의 세로운 층을 회전 코팅함으로써 동일한 PET 기판 상에 GaAs 와이어 배열의 다층을 인쇄하기 위해 반복했다. 상기 방법은 나노와이어 및/또는 마이크로와이어를 포함하는 다층 구조물을 생성하기 위한 중요한 통로를 제공한다. 도21E 및 도21F는 GaAs 와이어 배열의 이중 층을 구비한 다층 구조물의 특정한 이미지를 제공한다. 한 실시형태에서, 상기 다충 구조물은 관련된 제1 층과 상이한 각(E 및 F를 위해 각각 ~90o 및 ~45o)으로 제2 층을 회전시킴으로써 얻을 수 있다. 도21G는 도21E 및 도21F에 도시된 샘플 상의 인쇄 공정을 반복함으로써 얻은 GaAs 와이어 배열의 세 개의 층을 구비하는 PU/PET 기판의 이미지를 제공한다. 회전 속도를 조정함으로써 제어시킬 수 있는 PU 층의 두께는 와이어 배열 사이의 간격을 제어한다. 상기 형태의 다층의 성능은, 당연히, 상이한 레벨로 배열을 절연시키는 에피텍셜 성장 및 PU의 어떠한 형상도 요구하지 않는다. 상기 제조 성능은 다수의 장치 제조 제품에 유용하다.
본 발명의 와이어 제조 및 인쇄 기술은 적합한 이방성 에칭액을 사용하여 플라스틱 기판 상으로 다른 반도체 재료의 와이어 배열을 생성하기 위해 사용할 수 있다. 예를 들면, 삼각형의 횡단면을 가지는 InP 와이어는 Br2의 1% (v/v) 메탄올 용액 안에서
Figure 112015062079363-pat00009
방향을 따라 SiO2 마스크 라인을 구비한 (100) InP 웨이퍼 에칭에 의해 제조했다. 도22A-C는 PDMS 및 PU/PET 기판 상의 INP 와이어 배열의 주사 전사 현미경 사진을 나타낸다. 상기 와이어는 길이가 50 ㎛ 및 폭이 2 ㎛인 SiO2 라인으로 패턴된 InP 웨이퍼로부터 제조했다. 도시된 와이어는 각각 ~35 ㎛ 및 ~1.7 ㎛의 길이 및 폭을 가진다. Br2의 메탄올 용액 내의 InP의 에칭 습성은 와이어 말단 및 측면 도려내기에 의하여 H3PO4-H2O2의 수용성 용액에 있는 GaAs와 상당히 다르다. 예컨대, 에칭 공정은 GaAs 와이어의 제조에 사용된 것 중 하나와 유사한 에치 마스크와 함께일지라도 모체 웨이퍼로부터 InP 와이어의 말단 전부를 분리시킨다(도21). 게다가, InP 내의 하부 도려내기의 범위는 GaAs에 있는 것보다 작고, 이는 작은 폭(500 nm 보다 작은)을 가지는 InP 와이어를 에칭 시간을 제어하는 것보다 좁은 SiO2 스트립을 사용하는 것으로 인해 더 쉽게 마련할 수 있었다는 것을 나타낸다.
PU/PET 기판 상의 GaAs 와이어 배열(1.1-5.6 ×1017 cm-3의 캐리어 밀도와 함께 Si 도핑된 n-GaAs로부터 제조될 수 있는 도21에 도시된 것과 같은)과 함께 형성된 두 개의 간단한 말단 다이오드 장치의 기계적 신축성은 곡률 반경의 함수와 같이 기계적 특성을 측정함으로써 평가했다. 상기 구조물은 도19의 방법에 따라 정의된 GaAs 와이어 배열과 함께 제조했다. 이 와이어 상에 정의된 광식각법 및 금속 증착에서, 두 개의 쇼트키 접촉은 Ti/Au (5 nm/150 nm)으로 만들어지고 10 ㎛로 분리되었다. 도23A는 GaAs 와이어 배열을 포함하는 실례가 되는 두 개의 말단 다이오드 장치의 약도 및 이미지를 제공한다. 기판을 농축된 HCL 용액에 10분간 도핑함으로써 전극의 증착 바로 이전에 GaAs 와이어의 표면 상의 자연 산화 층을 제거했다.
도23B는 상이한 곡률 반경에서 기록된 전류-전압(I-V) 커브를 나타낸다. 이 커브는 예상된 다이오드 특성을 모두 보이고 있다. 이 커브들 사이의 작은 차이는 기판의 곡률 반경(R)이 0.95 cm 일 때조차 거의 모든 GaAs 나노와이어가 손상되지 않음을 제안한다. 이 경우 PET 기판 상의 변형은 도20A의 내삽도에 나타낸 독립된 GaAs 와이어에 존재하는 것으로 평가된 것보다 작은 ~0.92%이다. 이 결과는 본 '하향식' 제조 방법에 의해 생성된 GaAs 나노와이어가 유연성 있고 구부릴 수 있는 플라스틱 시트와 함께 집적될 수 있다는 것을 뒷받침한다. 상기 데이터는 기판이 제1 구부림 이후에 이완될 때, 전류는 구부림 이전에 원시 장치로부터 기록된 것보다 작은 ~40% 였음을 보여주고 있음을 주지하라. 도23C는 상이한 곡률 반경에서 구부림 이후에 이완 이후의 두 개의 말단 다이오드 장치를 측정한 전류-전압(I-V) 커브를 나타낸다. 비교를 위해, 도23C에 있는 검은색 커브는 구부림 이전의 장치 형태와 상응하는 전류-전압 커브를 나타낸다. 제1 이후의 다수의 구부림/비구부림 주기와 함께 곡률 반경 및 I-V 특성에서의 변화율의 부족은, 한 차례의 전류 감소는 전극 및 와이어 사이 경계면에서의 초기 특성 변화율에 의해 일어날 수 있음을 암시한다.
이 재료의 벌크 고품질 단결정 웨이퍼와 함께 종래의 광식각법 및 이방성 화학 에칭의 결합된 사용은 삼각형 횡단면을 가진 GaAs 및 InP의 마이크로 및 나노와이어를 향한 능동 '하향식' 루트를 형성한다. 상기 와이어의 치수 및 조직화는 석판 인쇄 및 에칭 조건, 예컨대 에칭 시간의 적합한 선택에 의해 선택적으로 조절가능하다. 모체 기판 상에서 얻어진 것 같은 와이어 어레이는 와이어가 장착된 점착제의 얇은 층으로 피복된 플라스틱 기판 상으로 높은 정확도와 함께 효과적으로 전사 인쇄할 수 있었다. 모체 웨이퍼는 단일 웨이퍼로부터 생성될 다수의 와이어를 용이하게 하는 연마 이후에 재사용할 수 있었다. '하향식' 나노와이어/마이크로와이어의 상기 "건식 전사 인쇄는, 와이어의 능동 표면의 순도와 마찬가지로 와이어의 차수의 유지 및 결정의 배향에 의해 '상향식' 나노와이어의 "습식" 조립에 걸쳐 많은 이점을 제공하는 전사 공정의 세로운 부류를 대표한다. 특히, 100 - 200 nm 보다 넓은 와이어가 유용한 마크로전자 제품을 위해, 본 발명의 "하향식" 제조 방법은 다수의 능동 특징을 가진다. 플라스틱 기판 위 와이어의 시스템은 이 부류의 제품에서의 사용을 위한 완벽한 굽힘성 및 상당한 잠재력을 도시한 본 명세서에서 설명하고 있다.
실시예 5: 인쇄가능한 반도체 소자를 위한 용액 인쇄 방법
본 발명은 인쇄가능한 반도체 소자를 전사 및 조립할 수 있는 용액 인쇄 방법을 제공한다. 본 발명의 이러한 양상은 넓은 범위의 반도체 장치 및 장치 부품으로 적용할 수 있는 지속적인, 고속 제조 방법을 제공한다.
본 발명의 이러한 양상의 한 가지 방법에서, 핸들(handle) 소자를 구비하는 인쇄가능한 반도체 소자를 제공한다. 본 설명에서의 맥락에서, 용어 "핸들(handle) 소자"는 기판 표면으로의 용액 상(相) 전달 이후 인쇄가능한 반도체 소자의 위치 및/또는 배향의 제어된 조작을 허용하는 부품을 나타낸다. 한 가지 실시형태에서, 자기장, 전기장 또는 그 둘 모두에 반응하는 재료의 층을 각자 포함하는 하나 이상의 핸들 소자를 구비하는 반도체 소자를 제공한다. 본 발명의 이러한 양상은 정전기력 및/또는 정자기력을 사용한 기판 표면 상에 인쇄가능한 반도체 소자를 정렬, 배치 및/또는 배향하는 방법을 제공하는데 유용하다. 선택적으로, 본 발명은 레이저 유기질 운동량 전사 공정에 반응하는 재료의 층을 각자 포함하는 하나 이상의 핸들 소자를 가지는 반도체 소자를 제공하는 방법을 제공한다. 본 발명의 상기 양상은 하나 이상의 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 레이저 파동 시리즈(series)로 노출(예컨대, 레이저 핀셋 방법)함으로써 기판 표면 상에 인쇄가능한 반도체 소자를 정렬, 배치 및/또는 배향하는 방법을 제공하는데 유용하다. 선택적으로, 본 발명은 반도체 소자가 각자 작은 방울을 포함하는 하나 /이상의 핸들 소자를 구비하는 방법, 즉 모세관 동작에 의해 생성된 힘에 반응하는 방법을 제공한다. 본 발명은 하나 이상의 핸들 소자 또는 하나 이상의 상이한 형태의 핸들 소자, 즉, 상이한 형태의 장(場)에 반응하는 것과 같은 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 사용한 방법 및 장치를 포함한다. 핸들 소자는 마이크로구조, 나노구조, 마이크로와이어, 마이크로리본 및 나노리본을 포함하지만, 이로 한정되지는 않는 본 발명의 많은 형태의 인쇄가능한 반도체 소자를 제공할 수 있다.
본 발명의 이러한 양상에서, 각자가 하나 이상의 핸들 소자를 구비하는 하나 이상의 인쇄가능한 반도체 소자를 용액 또는 캐리어 유동체로 확산시키거나 기판 표면으로 전달시켰다. 인쇄가능한 반도체 소자 및 용액/캐리어 유동체의 혼합물의 전달은 기판 표면에 대해 무작위로 인쇄가능한 반도체 소자를 분배한다. 그 다음, 기판 표면 상에 무작위로 할당된 반도체 소자는 인쇄가능한 반도체 소자의 핸들 소자의 존재로부터 발생한 힘의 적용에 의해 기판 표면 상에 선택된 위치 및 배향 안으로 합의적으로 이동했다. 본 발명의 이러한 양상은 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 우수한 차수 배열 또는 선택된 장치 또는 장치 부품 형태에 상응하는 위치 및 배향 안으로 정렬하는데 유용하다. 예를 들면, 자기 재료의 층을 포함하는 하나 이상의 핸들 소자를 구비하는 인쇄가능한 반도체 소자는 세기 및 방향의 적합한 분배를 가지는 자기장의 적용에 의해 기판 표면 상으로 선택된 위치 및 배향 안으로 이동시킬 수 있었다. 본 실시형태에서, 세기 및 방향의 선택된 분배를 가지는 자기장은 (기판 표면 뒤에, 기판 표면 상에 및/또는 기판의 측면을 따라 배치된 것과 같은) 기판에 인접한 하나 이상의 강자성 소자 또는 전자기 소자에 의해 적용시킬 수 있음으로써, 인쇄가능한 반도체 소자 또는 선택된 장치 또는 장치 부품 형태의 바람직한 조립, 패턴 또는 구조물에 상응하는 세기 및 방향의 선택된 분배를 발생시킬 수 있었다. 본 발명의 이러한 양상에서, 용매, 캐리어 유동체 또는 그 둘 모두는 증발 또는 탈착 방법에 의한 것을 포함하는 종래의 방법에 의해 핸들 소자의 조작을 통해 인쇄가능한 반도체 소자의 선택된 위치 및 배향 전에, 중에 또는 후에 제거할 수 있었다.
도24는 자기 태그를 포함하는 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 용액 인쇄하기 위한 본 발명의 실례가 되는 방법을 도시한 약도를 제공한다. 도24에 보인 바와 같이, 각자가 얇은 니켈 층을 포함하는 다수의 자기 태그를 구비하는 인쇄가능한 반도체 소자를 제공했다. 한 실시형태에서, 얇은 니켈 층은 마이크로크기의 또는 나노크기의 반도체 구조물의 표면 상에 제공했다. 본 실시예에서 핸들 소자를 위한 니켈의 사용은 오로지 예로서 그리고 본 방법에 사용된 Co, Fe, Gd, Dy, MnAs, MnBi, MnSb, CrO2, MnOFe2O3, NiOFe2O3, CuOFe2O3, MgOFe2O3 및 전이 금속-비금속 합금과 같은 비결정질 강자성 합금을 포함하지만, 이로 한정되지는 않는 어떤 결정질 또는 비결정질의 강자성 재료가 된다.
도24에서 약도의 단계 I에 보인 바와 같이, 각자가 핸들 소자를 구비하는 다수의 인쇄가능한 반도체 소자는 용액 안으로 확산하거나 기판의 표면 상으로 주조했다. 상기 단계는 위치 및 배향의 무작위 분배에서 인쇄가능한 반도체 소자를 기판 표면으로 제공한다. 도24에서 약도의 단계 II에 보인 바와 같이, 자기장은 곧 인쇄가능한 반도체 소자에 적용되고, 바람직하게는 자기장은 세기 및 방향의 선택된 분배를 가진다. 도24에 나타낸 약도에서, 세기 및 방향의 선택된 분배를 가지는 자기장은 그 위에 인쇄가능한 반도체 소자를 가지는 기판 표면의 반대 편 상에 하나 이상의 자석의 자극을 배치함으로써 적용시켰다. 강자성 재료와 같이, 핸들 소자를 구비하는 자기장 및 니켈 층의 상호작용은 인쇄가능한 반도체를 기판 표면 상에 바람직한 위치 및 배향 안으로 이동할 수 있는 힘을 생성한다. 도24에 보인 실시형태에서, 자기장은 인쇄가능한 반도체 소자의 길이가 긴 측의 실질적으로 동일한 방향의 정렬에 의해 특징 지어진 우수한 차수의 배열 안으로 인쇄가능한 반도체 소자를 배향하도록 적용시켰다. 도24에서 약도의 단계 III에 보인 바와 같이, 전기의 연결은 자기장의 적용에 의해 마련된 전기의 연결을 확립하는 방법 및 배향을 유지하는 방법 내 정렬된 배열을 포함하는 인쇄가능한 반도체 소자의 말단 상에 증착할 수 있었다.
도25는 니켈 층을 포함하는 핸들 소자를 구비하는 인쇄가능한 반도체 소자를 포함하는 마이크로구조물의 우수한 차수 배열을 생성하기 위한 본 발명의 용액 인쇄 방법의 사용을 설명하는 몇몇의 광학 이미지를 제공한다. 도25의 좌측 상의 패널에 존재하는 광학 이미지는 자기장의 적용 없이 기판 표면 상으로 확산된 인쇄가능한 반도체 소자를 구비하는 기판 표면과 일치한다. 상기 이미지에 보인 바와 같이, 인쇄가능한 반도체 소자는 기판 표면 상에 무작위로 분배했다. 도25의 우측 상의 패널에 존재하는 광학 이미지는 자기장의 적용 중에 기판 표면 상으로 확산된 인쇄가능한 반도체 소자를 구비하는 기판 표면과 일치한다. 좌측 패널에 존재하는 이미지와는 대조적으로, 자기장이 적용된 조건과 일치하는 광학 이미지는 인쇄가능한 반도체 소자가 잘 정렬된 배열과 일치하는 선택된 배향 및 위치에 제공할 수 있음을 보여준다. 도25의 좌측 패널 및 우측 패널에 존재하는 이미지의 비교는 세기 및 방향의 선택된 분배를 가지는 자기장의 적용이 각 인쇄가능한 반도체 소자를 선택된 위치 및 배향 안으로 이동시키는 힘을 생성할 수 있음을 나타낸다.
당업자가 장치 제조에 대해 이해된 바와 같이, 도25의 우측 패널에 있는 인쇄가능한 반도체 소자의 위치 및 배향은 본 발명의 용액 인쇄 방법을 사용하여 얻을 수 있는 배향 및 위치의 한 예이다. 인쇄가능한 반도체 소자 상의 핸들 소자의 적합한 위치의 선택 및 세기 및 방향의 선택된 분배를 가지는 적합한 자기장의 선택은 사실상 반도체 소자 위치 및 배향의 모든 분배를 생성하는데 사용할 수 있었다.
실시예 6: 유연한 플라스틱 기판 상의 고효율 단결정 실리콘의 제조
본 발명의 목적은 유연한 기판 상에 조립된 인쇄가능한, 고품질 반도체 소자를 포함하는 구부릴 수 있는 마이크로전자, 마이크로전자 및/또는 나노전자 장치 및 장치 부품을 제공하는 것이다. 게다가, 본 발명의 목적은 전계 효과성 이동도, on-off 비 및 종래의 고온 공정 방법에 의해 제조된 박막 트랜지스터의 역치 전압과 유사한 역치 전압을 보이는 구부릴 수 있는 박막 트랜지스터와 같은 구부릴 수 있는 전자 장치를 제공하는 것이다. 마지막으로, 본 발명의 목적은 플라스틱 기판 상에서 실온 공정과 같은 더 낮은 온도에서 유연한 기판의 대면적 상에서 효과적인 높은 작업량 공정과 호환하는 구부릴 수 있는 전자 장치를 제공하는 것이다.
본 방법, 장치 및 조성의 능력은 구부러지고 편평한 형태가 실험적인 연구에 의해 입증된 것으로 특징 지어지는 높은 장치 효율을 보이는 유용한 마이크로전자 및/또는 마이크로 전자 장치 및 장치 부품을 제공하는 것이다. 상기 측정의 결과는 본 발명이 단결정 리본, Ga-As 및 InP 와이어 및 단일 벽 탄소 나노튜브를 포함한 고품질 반도체의 범위를 플라스틱 기판 상으로 증착함으로써 구부릴 수 있는 박막 트랜지스터를 조립할 수 있는 훌륭한 인쇄 정합 성능을 보이는 건식 전사 접촉 인쇄 기술을 제공하고 있음을 설명한다. 예를 들면, 상기 실험적인 연구의 결과는 선형으로 평가된 ~240 cm2/Vs의 평균 장치 효과성 이동도 및 0V 근방의 역치 전압과 같은 높은 장치 효율을 특성을 보이는 건식 전사된 인쇄가능한 단결정 실리콘 소자들의 공간적으로 잘 정의된 배열을 포함하는 구부릴 수 있는 박막 형태의 트랜지스터를 나타낸다. 게다가, 상기 연구는 굽힘성(즉, 고장이 일어나는 곳에서의 변형)을 보이는 본 발명의 박막 트랜지스터가 유기질 반도체, 및 전방 및 후방으로 구부림을 당할 때의 기계적 거칠기와 신축성과 함께 형성된 장치에 필적함을 보인다.
대면적의 유연한 기판 상에 있는 고효율 인쇄된 회로는 센서, 디스플레이, 기계적 장치 및 다른 영역에 있어서 넓은 범위의 제품을 가지는 전자의 세로운 형태를 의미한다. 플라스틱 기판 상에 요구된 트랜지스터를 제조하는 것은 상기 마이크로전자 시스템을 얻기 위한 요구를 의미한다. 최근 몇 년에 걸쳐 탐구된 몇몇의 접근법은 수정된, 유리/석영 기판 상에 종래의 실리콘 계 박막 트랜지스터(TFT)를 제조하기 위해 사용된 공정 단계 형태의 저온 버전에 기초를 둔다. 일방향 응고 공정과 연관된 고온은 상기 접근법을 플라스틱 기판에 적합하지 않도록 만드는 단결정 실리콘 필름(즉, cw 레이저, 초첨을 맞추는 램프, 전자 빔, 또는 흑연 막대 발열체를 사용하는 SiO2 위 Si 필름의 영역 녹임 결정체)을 제조하기 위해 현상시켰다. 레이저를 기초로 하는 접근법은, 획일적일지라도, 실험적인 요구를 상당히 지속하는 저렴한 플라스틱과 함께 몇몇의 한정된 성공의 정도, 작업량 및 사용을 얻을 수 있었다.
플라스틱 기판 상으로 미리 결정된 회로의 풀(full) 웨이퍼를 직접 전사함으로써 몇몇의 유용한 장치를 생산하였지만, 상기 접근법은 대면적을 측정하기 어렵고 저렴한 가격과 대면적의 마이크로전자를 위해 중요할 수 있는 인쇄 형태 제조 순서를 유지하지 않는다. 유기질 반도체 재료는 유연한 전자 제품으로 대체 통로를 제공하되; 유기질 계 전자 재료는 플라스틱 기판의 범위와 함께 실온 증착을 통해 자연적으로 증착할 수 있었다. 그러나, 현재 알려진 유기질 반도체 재료는 오로지 적당한 장치 이동도를 용이하게 한다. 예컨대, 이들 재료의 고품질 단결정일지라도 n-형 장치 및 p-형 장치에 대해 각각 1-2 cm2/Vs 및 ~10-20 cm2/Vs의 범위 내의 이동도를 가진다.
유동성 자가 조립과 같은 다른 제조 기술은 플라스틱 기판 상에 장치를 조립하기 위해 요구되는 저온 공정으로부터 높은 이동성 재료를 제조하기 위한 고온 단계를 분리시킨다. 그러나, 상기 방법은 증착된 대상물의 조직화 및 위치의 효과적인 제어를 허용하지 않는다.
도26A는 본 발명의 실례가 되는 구부릴 수 있는 박막 트랜지스터 장치를 제조하는데 사용된 단계를 설명한다. 첫 째로, 광식각법은 SOI 웨이퍼(100 nm 상측 Si 층 및 145 nm 매몰된 산화물을 가지는 Soitec unibond SOI)의 표면 상에서 포토리지스트의 패턴을 정의했다. 상기 포토리지스트는 SF6 플라즈마(Plasmatherm RIE system, 50 mTor의 챔버 기반 압력과 함께 40 sccm SF6 흐름, 25 초 동안 100 W RF 전력)를 가지는 SOI 웨이퍼의 상측 실리콘 층을 건식 에칭하기 위한 마스크로 사용했다. 농축된 HF 용액은 매몰된 산화물을 에칭했거나 그들 기판으로부터 인쇄가능한 단결정 실리콘 반도체 소자를 자유롭게 했다(그러나 완전히 부유하지는 않았다). 폴리(디메틸실록산)(PDMS)의 편평한 조각은 웨이퍼의 상측 표면과 정각의 접촉을 생기게 했으며 곧 상호연결된 리본의 배열을 수집하기 위해 후면을 조심스럽게 박리했다. 포토리지스트 및 PDMS 사이의 상호작용은 우수한 효율을 가지는 제거를 위해 그 둘을 함께 접착하기에 충분하다.
ITO(Indium-Tin-Oxide; 두께 ~100 nm)는 장치 기판으로 사용된 PET(폴리(에틸렌테레프탈레이트); 두께 ~180 ㎛)를 코팅했다. 그것을 아세톤 & 이소프로포패놀로 세척하고, 탈이온 수로 헹구고 뒤이어 질소 세척된 그의 표면의 스팀으로 건조시켰다. 짧은 산소 플라즈마(Plasmatherm RIE system, 100 mTorr의 챔버 기반 압력과 함께 20 sccm O2 흐름, 10초 동안 50 W RF 전력)로 ITO를 처리하는 것은 그것과 에폭시(SU8-2000 thinner의 66%로 희석된 Microchem SU8-5의 30초 동안 3000 RPM)의 회전 주조 유전 층 사이의 부착을 촉진시킨다. 상기 감광성 에폭시는 ~1분 간 뜨거운 평판 위, 50℃에서 미리 경화했다. 표면 상의 인쇄가능한 단결정 실리콘 반도체 소자와 함께 PDMS를 따뜻한 에폭시 층과 접촉하도록 하고 곧이어 PDMS를 후면 박리해 인쇄가능한 단결정 실리콘 반도체 소자의 전사를 에폭시에 이르게 했다. 상기 결과는 실리콘 및 부드러운 에폭시 층(인쇄가능한 단결정 실리콘 반도체 소자의 가장자리 주변의 에폭시의 흐름으로 인해 그의 일부가 기계적인) 사이의 접착력은 포토리지스트 및 압인기 사이의 그것보다 더 강하다는 것을 제안한다. 에폭시 층은 5 분간 100℃에서 완전히 경화했고, 10 초간 투명한 기판의 후면으로부터 UV 광으로 노출시켰으며 곧이어 교차결합 중합체로 5 분간 115℃에서 이후에 구워진다. (전사 단계 중에 인쇄가능한 단결정 실리콘 반도체 소자의 상측 표면의 오염을 용이하게 예방하는) 포토리지스트 마스크는 아세톤으로 용해시켰고 샘플은 곧 탈이온 수로 상당히 헹구었다.
소스 및 드레인 전극은 인쇄가능한 단결정 실리콘 반도체 소자의 상측 표면 상에 증착된 Ti(~70 nm; Temescal e-빔 증발기)와 함께 형성했다. Ti 상에 패터닝된 포토리지스트 마스크(Shipley S1818) 를 통해 에칭(~2분 간 1:1:10 HF:H2O2:DI)하는 것은 이들 전극의 형상을 정의했다. 제조의 마지막 단계는 장치의 위치에서 실리콘의 고립을 정의하기 위한 포토리지스트 마스크를 통해 검식 에칭(상기에 주어진 RIE 매개 변수를 사용한 SF6)을 포함했다. 도26B는 장치 배열 일부의 고 및 저 배율과 함께 박막 트랜지스터의 하단 게이트 장치 형태의 약도를 나타낸다.
도27A는 접촉의 효과를 무시하는 표준 전계 효과성 트랜지스터의 제품에 의해 평가되는 것 같이 포화 형에서 140 cm2/Vs 및 선 형에서 260 cm2/Vs의 효과성 장치 이동도를 보이는 본 발명의 구부릴 수 있는 박막 트랜지스터의 전류 전압 특성을 나타낸다. 그러나, 이들 상치에서 쇼트키 접촉의 고 저항(~90 Ωcm)은 장치 응답 상에서 상당한 효과를 가진다. 도27B는 선형 (왼쪽 축) 및 대수 (오른쪽 축) 눈금 상에 표시된 몇몇의 장치의 전사 특성을 나타낸다. 내삽도에서 도표는 0 V 근방에서 좁은 분배를 가지는 역치 전압을 나타낸다. 전사 특성에서 작은(±10 V 주기에 대해 전류로 < 4%) 이력 현상은 실리콘(원시 산화물과 함께) 및 에폭시 유전체 사이의 경계면에서 트래핑된 전하의 저밀도를 나타낸다. 정규화된 역치 아래의 기울기의 작은 값(≤13 V.nF/dec.cm2)은 실리콘 및 그의 원시 산화물 사이의 경계면에 의해 주로 제어되는 경계면의 우수한 품질을 뒷받침한다. 도27C는 본 발명에 의해 제조된 몇몇의 구부릴 수 있는 박막 트랜지스터의 선형 효과성 이동도의 분배를 나타낸다. 가우시안 피트(Gaussian fit)는 30 cm2/Vs의 표준 편차와 함께 240 cm2/Vs의 중심 값을 나타낸다. 낮은 값의 일부는 전극 또는 장치의 다른 부품에 있는 가시 결함을 결합시켰다. 에폭시 유전체의 획일성은 동일한 기판 및 트랜지스터 게이트 유전체, 256 (200×200 ㎛) 제곱 캐패시터의 배열을 마련하는데 사용된 방법을 사용하여 조립함에 따라 연구할 수 있었다. 도27C는 측정된 캐패시턴스 값을 나타낸다. 가우시안 피트는 에폭시 층의 훌륭한 전기적 및 물리적 특성 획일성을 뒷받침하는 2% 미만의 표준 편차를 나타낸다. 캐패시턴스 측정은 유전체 상수의 작은 (<3%) 주파수 상관관계를 나타내는 다양한 주파수(1 kHz 및 1 MHz 사이)에서 실행했다.
본 발명의 구부릴 수 있는 박막 트랜지스터의 기계적 신축성 및 견고성은 전방 및 후방으로 구부리는 실험을 수행함으로써 연구했다. 도28A는 인쇄가능한 단결정 실리콘 반도체 소자의 주목할 만한 신축성을 도시하는 용액 주조(왼쪽 내삽도)의 고해상 주사 전자 현미경 사진을 나타낸다. 도28A의 오른쪽 내삽도는 본 연구에서 평가된 구부릴 수 있는 박막 트랜지스터를 구부리는데 사용된 실험적인 기구의 사진을 나타낸다. 플라스틱 시트가 구부러질 때 박막 트랜지스터에 유발된 변형을 최대화하기 위해, 비교적 두꺼운 (~180 ㎛) 플라스틱 기판을 본 연구에서 사용했다. 도28B는 신장 및 압축 변형을 겪을 때 에폭시 유전체 캐패시턴스의 작은 (~<1%) 선형 변화율을 나타낸다(상측 내삽도를 보라). 계산된 곳에서 곡률 반경 및 변형 값은 좌굴(buckling) 시트의 유한 요소 모델을 사용한다. 유한 요소 방법으로 얻어진 프로파일과 좌굴 시트의 곡률 프로파일과의 비교(몇몇의 곡률 반경을 위한)는 모의 실험의 정밀도를 뒷받침한다. 도29B에서 하측의 내삽도는 둘 다 4V의 게이트 및 드레인 바이어스 전압을 위해 측정된 장치의 포화 전류의 변화율을 나타낸다. 작동할 수 있는 구부릴 수 있는 박막 트랜지스터에서 신장 변형률의 최대값은 ITO 게이트 전극(~0.9%의 신장 변형률을 고장 내는)의 고장에 의해 제한받는 것으로 생각된다. 구부릴 수 있는 박막 트랜지스터는 1.4% 만큼 높은 압축 변형률에서조차 잘 작동한다. 굽힘성의 상기 레벨은 펜타신에 기반을 둔 유기질 트랜지스터를 위해 최근에 발표된 것에 필적한다. 현재의 구부릴 수 있는 박막 트랜지스터의 고장은, SOI 웨이퍼의 상부 층에서 에칭된 마이크론 크기의 단결정 실리콘 대상물은 매우 높은 신장 응력(>6%)을 견딜 수 있음이 최근에 설명된 Takahiro 연구진과 같이 매우 높은 변형률에서만 있을 법한 일이다%) [T. Namazu, Y. Isono, 및 T. Tanaka J. MEMS 9, 450 (2000)].
본 장치에서 변형률과 함께인 출력 전류에서의 적당한 변화율의 원인은, 이들 변화의 원인이 되는 변형률과 함께 이동도에서의 알려진 변화율, 그러나 완전히 설명하지는 않는 것처럼 전부가 알려지지는 않았다. 본 실시예에 설명한 형태의 장치는 벌크 웨이퍼가 구부러질 때 쉽게 도달할 수 없는 변형률 값에서 기계적으로 변형된 실리콘 내 전하 수송을 연구하기 위한 새로운 기회를 용이하게 한다.
요약하면, 본 실시예는 본 발명에 따라 제공된 실리콘을 위한 간단하고 효과적인 동일한 방향의 인쇄 공정에 의해 플라스틱 기판 상에서 형성된 구부릴 수 있는 단결정 실리콘 트랜지스터의 높은 장치 효율 및 유익한 기계적 특성을 설명한다. 최상의 학문을 위해, 이들 장치의 효율은 유사한 정도의 기계적 굽힘성을 보이는 최고의 장치(실리콘 계 또는 그 밖의 것)의 효율을 능가한다. 인쇄가능한 반도체 소자의 형상, 물리적 치수 및 조성(예컨대, 도핑되거나 도핑되지 않은)에 걸친 하향식 제어, 및 인쇄 기술은 다른 접근법에 비해 상당한 이점을 제공한다. 게다가, 그 결과로 생긴 장치의 기계적 신축성은 훌륭하다. 더욱이, 이들 동일한 일반적 접근법은 다른 무기질 반도체(예컨대, GaAs, GaN 등)에 적용할 수 있고, 넓은 범위의 유연한 마이크로전자 및 마크로전자 장치 및 태양 전지, 다이오드, 발광 다이오드, 상보 논리 회로, 정보 저장 장치, 바이폴라 접합 트랜지스터 및 FET 트랜지스터와 같은 장치 부품을 제조하는데 사용할 수 있다. 그러므로, 본 발명의 방법 및 장치는 유연한 전자 제품 제조를 위한 막대한 범위의 제조 제품에 유용하다.
실시예 7: 인쇄가능한 이종의 반도체 소자를 포함하는 인쇄가능한 이종 반도체 소자 및 장치
본 발명은 다재료 소자를 포함하는 이종의 인쇄가능한 반도체 소자, 및 관련된 장치와 장치 부품을 제공한다. 본 실시예의 인쇄가능한 이종의 반도체 소자는 선택된 공간의 분배와 함께 불순물을 가지는 반도체 층을 포함하며, 마크로전자, 마이크로전자 및/또는 나노전자 장치의 범위 내에서 강화된 기능성을 제공한다.
유용한 전자 특성을 보이는 이종 인쇄가능한 반도체 소자를 제조하기 위한 본 방법의 능력은 실험적인 연구에 의해 입증했다. 게다가, 인쇄가능한 소자를 기능적인 장치안으로 조립하기 위한 본 방법의 적용가능성은 접촉을 위해 집적된 도핑된 영역을 구비하는 인쇄가능한 단결정 실리콘 반도체 소자를 포함하는 유연한 박막 트랜지스터의 제조에 의해 설명했다.
대면적, 마이크로전자로서 알려진 기계적으로 유연한 전자 시스템은 소비자 전자제품, 센서, 의학 장치 및 다른 영역에 있는 제품의 범위를 위해 능동적이다. 매우 다양한 유기질, 무기질 및 유기질/무기질 하이브리드 재료는 이들 시스템을 위해 반도체로서 조사했다. 단결정 실리콘 마이크로/나노소자(총괄해 인쇄가능한 실리콘 반도체 소자로 나타낸 와이어, 리본, 작은 판 등)을 생성하기 위한 본 "하향식" 기술 접근법의 사용은 유연한 기판 상에서 고효율 박막 트랜지스터를 제조하기 유용한 것으로서 설명된 대체 접근법이다. 상기 제조 접근법은 또한 GaAs, InP, GaN, 및 탄소 나노튜브와 같은 다른 중요한 반도체 재료를 적용할 수 있는 것으로서 설명됐다.
본 접근법의 중요한 특징은 고품질의 접근법의 사용, 그 이후의 장치 배열 단계로부터 개별적으로 성장되거나 제조 공정된 반도체 재료의 웨이퍼 기반 소스이다. 개별적인 반도체 공정 및 조립 단계는 플라스틱 기판과 같은 가장 유연한 장치 기판과 호환되는 비교적 낮은 온도(예컨데, 섭씨 ±30도 실온)에서 장치 조립을 허락한다. 본 발명은 고품질 반도체가 유연한 기판 상에서 인쇄가능한 반도체 소자의 조립을 포함하는 그 이후의 제조 단계와 독립적인 제조 단계에서 성장될 뿐 아니라 다른 방법으로 공정되는 방법을 포함한다. 한 실시형태에서, 본 발명은 불순물이 고온 공정 중에 반도체 안으로 전해지고, 그 결과로 생긴 도핑된 반도체 재료는 매우 다양한 유용한 전자 장치 안으로 조립될 수 있는 인쇄가능한 이종 반도체 소자를 생성할 수 있도록 그 후에 사용하는 방법을 포함한다. 반도체 도핑에 유용한 공정 단계는 고온 공정 및 불순물이 2 또는 3 차원에서 공간의 분배에 걸쳐 제어(즉, 주입 및 주입의 깊이의 영역에 걸친 제어)를 제공하는 방법으로 전해진 공정을 포함한다. 한가지 방법에서, 반도체는 저온 기판에서 개별적으로 실행된 단계 내의 웨이퍼 제조 레벨에서 수행된 도핑 절차 상의 회전에 의해 선택적으로 접촉 도핑했다. 접촉 도핑은 반도체 재료 내 불순물의 공간 분배에 걸쳐 정확한 제어를 제공하고, 따라서, 그 이후의 패터닝 및 에칭 단계는 집적된 도핑된 영역을 가지는 고품질의 인쇄가능한 이종 반도체 소자의 제조를 허용한다. 용액 인쇄 및 건식 전사 접촉 인쇄 방법은 획득할 수 있는 훌륭한 장치 효율 및 훌륭한 굽힙성을 보이는, 박막 트랜지스터와 같은 장치 안으로 이들 인쇄가능한 이종 소자를 조립하기에 이상적으로 적합하다.
도29A는 PET 기판 상에 인쇄가능한 이종 반도체 소자를 포함하는 트랜지스터를 생성하기 위한 제조 공정의 약도를 나타낸다. 본 실시형태에서, 인쇄가능한 이종 반도체 소자는 도핑된 소스(S) 및 드레인(D) 접촉과 함께 결정 실리콘을 포함한다. 도29A에 설명된 접근법은 SOI 웨이퍼(100 nm 상측 Si 층 및 200 nm 매몰된 산화물을 가지는 Soitec unibond)의 상측 실리콘 층의 선택된 영역을 도핑하기 위한 SOD(spin-on dopant) 공정가능한 용액을 사용한다. 그러므로, SOD는 불순물이 실리콘 내로 확산하는 곳에서 제어하기 위한 마스크로서 일을 한다. 상기 도핑된 SOI는 인쇄가능한 이종 반도체 소자의 소스를 제공한다.
인쇄가능한 이종 반도체 소자를 제조하기 위해, 맨 처음, SOG(spin-on glass) 용액(Filmtronic)을 SOI 웨이퍼 상으로 회전 주조했고, 획일한 필름(두께 300 nm)을 형성하기 위해 그것을 4분간 700℃에서 RTA(rapid thermal annealing; 빠른 열적 어닐링)으로 노출시켰다. 포토리지스트(Shipley 1805)의 석판인쇄로 패턴된 층을 통한 에칭(50초간 6:1 완충처리된 산화물 에칭액(BOE; buffered oxide enchant))은 SOG 내에서 소스 및 드레인 창을 개방했다. 리지스트를 벗겨낸 이후, 회전 주조에 의해 SOD(Filmtronic)를 함유하는 인을 획일적으로 증착했다. 950℃에서의 5초간의 RTA는 인이 SOD로부터 SOG 내의 석판인쇄로 정의된 개방을 통해 기저 실리콘 내로 확산하도록 야기시켰다. SOG는 다른 영역에서의 확산을 방해했다. 웨이퍼는 실온으로 빠르게 냉각했고, SOG 및 SOD 둘 모두를 제거하기 위해 90초간 BOE에 담궜으며, 곧 도핑 공정을 완성하기 위해 DI수(水)로 철저하게 세척했다.
인쇄가능한 이종 반도체 소자는 본 방법을 사용한 ITO(100 nm, 게이트 전극) 및 에폭시(SU8; 600 nm, 게이트 유전체)로 코팅된 PET의 플라스틱 기판 상으로 조립했다. 에폭시는 유전체를 제공할 뿐 아니라, 인쇄가능한 이종 반도체 소자의 전사 인쇄를 용이하게 한다. Ti(100 nm)의 소스 및 드레인 전극은 정렬된 광식각법 단계 이후의 후면 에칭에 의해 도핑된 접촉 영역 상에 형성된다. 도29B는 본 기술을 사용하여 제조된 이종의 인쇄가능한 반도체 소자를 구비하는 몇몇의 장치의 광학 이미지를 나타낸다.
우리는 표준 전사 라인 모델(TLM; transfer line model)을 사용한 도핑 레벨 및 접촉 저항을 평가했다. 특히, 우리는 플라스틱 기판 상으로 인쇄된 획일적으로 도핑된 결정 실리콘을 포함하는 인쇄가능한 이종 반도체 소자 상의 5 ~ 100 마이크론 사이의 분리 거리(L) 및 200 마이크론의 폭(W)과 함께 Ti 접촉 패트 사이의 저항을 측정했다. 도30A의 내삽도는 접촉 저항을 특징짓는데 사용되는 인쇄가능한 이종 반도체 소자의 배열 및 접촉 패드의 이미지를 나타낸다. 선형 전류(I) 대 전압 커브(V)(미도시)는 접촉을 옴으로 측정했고 도핑 레벨이 높다는 것을 나타낸다. L 상의 저항의 상관관계는 R total (=V/I) 가 두 접촉 패드 사이의 저항(접촉 저항 R c 및 시트 저항 R s )인 R total = 2 R c + ( R s /W)L로 설명될 수 있다. 도30A는 L의 함수로서 정규화된 저항 RtotalW의 도표를 나타낸다. RtotalW의 선형적 피팅은 Rs = 228 ± 5 Ω/sq, 및 RcW ~ 1.7 ±0.05 Ωㆍcm를 제공한다. 정규화된 접촉 저항 RcW의 값은 유사한 방법으로 공정된 도핑되지 않은 인쇄가능한 단결정 실리콘 반도체 소자를 위해 우리가 관찰한 것보다 작은 크기의 차수보다 더 크다. 단순화를 위해 만약 우리가 도핑이 100 nm 도핑된 인쇄가능한 실리콘 반도체 소자를 통해 획일하다고 가정하면, 상기 저항은 1019/cm3의 도핑 레벨에 상응하는 대략 2.3 × 10-3 Ωㆍcm이다. 도30B는 불순물을 실리콘 내 바람직한 영역으로 배치하는 확산 배리어(도29A를 보라)와 같이 패턴된 SOG의 용도를 보여주는 TOF-SIMS(Time-of-Flight Secondary Ion mass Spectroscopy)를 나타낸다. 도30B에 보인 이미지에서, 밝은 빨강 색은 높은 인 농도를 나타낸다.
도31A-D는 에폭시/ITO/PET 기판 위에 도핑된 실리콘 반도체 소자의 인쇄가능한 접촉을 포함하는 트랜지스터와 상응하는 측정을 보여준다. 도31A는 본 발명의 장치의 전류-전압 특성((L = 7㎛, W=200㎛)을 도시한다. 효과성 장치 이동도(μeff)는 표준 전계 효과성 트랜지스터 모델의 제품에 의해 결정된 것과 같이, 선 형에서 ~240 cm2/Vs 및 포화 형에서 ~230 cm2/Vs 이다. 도31B는 2㎛ 및 97㎛ 사이의 채널 길이 및 200㎛의 채널 폭과 함께 본 발명의 장치의 전사 특징을 나타낸다. 모든 경우에 ON에서 OFF까지 전류의 비는 ~104이다. 역치 전압은 ~2 V에서 ~0 V로, 단조적으로 L=97㎛에서 2㎛로 변경한다. 도31C는 작은 드레인 전압에서 ON 상태(Ron) 내에 측정된, 그리고 상이한 게이트 전압에서 L의 함수로서 W로 곱해진 장치의 저항을 나타낸다. 각 게이트 전압에서 RonW 대 L의 선형적 피트는 진성 장치 이동도 및 접촉 저항 둘 모두에 대한 정보를 제공한다. 상기 간단한 모델에서, (L에 비례하는) 채널 저항의 추가의 시리즈로 이루어진 Ron 및 결합된 접촉 저항으로 이루어진 Rc는 소스 및 드레인 전극과 관련 있다. 도31C는 선형적 피팅의 차단으로부터 결정된 것처럼, Rc는 평가된 채널 길이 전부를 위한 채널 저항과 비교해 무시할 수 있다. 도31C에서 선형적 피팅의 기울기의 역수로부터 결정된 것처럼, 도31C의 내삽도는 게이트 전압과 함께 시트 컨덕턴스의 변화율을 나타낸다. 도31C의 내삽도에 보인 바와 같이, 이들 데이터에 대한 선형적 피트는 ~270 cm2/Vs의 진성 장치 이동도 및 ~2V의 진성 역치 전압을 제공한다.
도31D는 선 형으로 측정된 전이접촉 도핑된 인쇄가능한 단결정 실리콘 반도체 소자를 구비하는 트랜지스터의 효과성 이동도μeff를 비교한다. 도핑되지 않은 장치를 위해, μeff는 채널 길이 L을 100 마이크론에서 5 마이크론으로 감소시킴으로써 200cm2/Vs에서 50cm2/Vs으로 빠르게 감소시킨다. 상기 접촉은 ~50 마이크론 이하의 채널 길이에서 장치 습성을 좌우하기 시작했다. 접촉 도핑된 경우에, 이동도는 도31C의 내삽도에서 결정된 진성 장치 이동도와 일치하는 범위에 걸친 채널 길이의 <20% 변화율과 함께 대략 270cm2/Vs이다. 상기 데이터는 이들 장치가 접촉 저항의 무시할 만한 영향을 보이는 부가적인 증거를 제공한다. 상이한 이동도 뿐 아니라, 도핑된 접촉을 가지는 장치는 그들 특성에 있어서 더 안정적이고 더 획일적이며, 도핑되지 않은 접촉을 가지는 것보다 공정 조건에 덜 민감하다는 것을 주지해야 한다.
기계적 신축성은 상기 형태의 장치의 중요한 특성이다. 우리는 장치를 압축 및 신장의 상태로 놓는 구부림 방향과 함께 접촉 도핑된 μs-Si 트랜지스터 상에서 체계적인 구부림 테스트를 실행했다. 우리는 또한 몇몇의 약화 실험을 수행했다. 실험적 기구의 상세한 설명은 실시예 6에 제공됐다. 도32A는 변형률(또는 곡률 반경)의 함수와 같이, 구부러진 상태에서의 값으로 정규화된 효과성 장치 이동도 μeff의 변화를 나타낸다. 음성 및 양성 변형률은 각각 인장 및 압축에 상응한다. 상기 범위의 변형률(200 마이크론 두께의 기판을 위한 ~1 cm의 곡률 반경에 상응하는)을 위해, μeff0 eff, 역치 전압 및 on/off 비에서 오로지 작은 변화(대부분의 경우 <20%)만을 관찰했다. 기계적 신축성의 상기 레벨은 플라스틱 기판 상의 유기질 및 a-Si 트랜지스터를 위해 보고된 것과 견줄만하다. 도32B는 장치를 0 및 0.98% 사이로 변경시키는 곳에서 압축 변형을 야기하는 수 백개의 구부림 주기(9.2 mm의 반경까지) 이후의 정규화된 효과성 이동도 μeff0 eff를 나타낸다. 장치의 특성에서의 작은 변화는 350 주기, μeff0 eff, 역치 전압 및 20% 미만의 on/off 비의 변화 이후에 관찰했다. 상기 결과는 인쇄가능한 이종 반도체 소자를 포함하는 본 트랜지스터의 우수한 약화 능력을 나타낸다.
본 실시예는 플라스틱 기판 상에 트랜지스터 내의 인쇄가능한 단결정 반도체 소자를 접촉 도핑하기 위한 SOD의 유용성을 설명한다. 스케일링(scaling) 분석은 본 공정이 플라스틱 기판 상에서 고주파 실리콘 장치를 제조하기 위한 본 방법의 적용가능성을 설명하는 접촉이 제한되지 않는 장치를 생산함을 나타낸다. 장치의 매우 우수한 기계적 신축성 및 약화 능력과 결합된 상기 특징은, 상기 접촉 도핑된 인쇄가능한 이종 반도체 접근법을 매우 다양한 유연한 마크로전자, 마이크로전자 및/또는 나노전자 시스템으로 가는 값진 길로 만든다.
본 발명은 또한 장치 및 장치 형태의 범위 내로 인쇄가능한 반도체 소자를 집적하기 위한 이종 집적 방법을 제공한다. 본 발명의 상기 양상은 재료의 이질적인 종류가 동일한 플랫폼 상에 조립되고 상호연결되는 넓은 범위의 장치의 제조를 위한 제조 통로를 제공한다. 본 발명의 이종 집적 방법은 전자, 광학 및/또는 기계의 상호연결성을 확립하는 방법으로 둘 또는 그 이상의 상이한 재료를 결합하기 위한 용액 인쇄 및/또는 건식 전사 접촉 인쇄를 사용한다. 본 발명의 인쇄가능한 반도체 소자는 상이한 반도체 재료 또는 유전체, 도체, 세라믹, 유리, 중합체 재료를 포함하는 다른 종류의 재료로 집적할 수 있었다.
본 개념의 한 실시형태에서, 이종 집적은 인쇄가능한 반도체 소자를 상이한 조성을 가지는 반도체 칩으로 전사 및 상호연결하는 것, 예컨대, SoC(System on Chip) 형태의 장치를 조립하는 것을 포함한다. 다른 실시형태에서, 다수의 독립된 장치 및/또는 장치 부품은 상이한 종류의 반도체 웨이퍼(예컨대, 실리콘 웨이퍼 및 GaN 웨이퍼) 상에 제조했고, 그 이후에 수용부 웨이퍼와 같은 동일한 수용부 기판 위로 함께 집적했다. 이제까지 다른 실시형태에서, 이종 집적은 특정한 배향에 있는 인쇄가능한 소자를 조립하고 집적 회로를 포함하는 다른 부품과 함께 인쇄가능한 소자를 상호연결함으로써 하나 이상의 인쇄가능한 반도체 소자를 실행된 복합 직접 회로 내로 전하는 것을 포함한다. 본 발명의 이종 집적 방법은 웨이퍼 본딩 방법, 점착성 및 중간 접착 층의 사용, 어닐링 단계(고온 및 저온 어닐링), 산화물 외부의 코팅을 벗겨내는 처리, 반도체 도핑 기술, 광식각법 및 성공적인 박막 층 전사를 통한 첨가제 다층 공정을 포함하지만, 이로 한정되지는 않는 종래의 마이크로규모 및/또는 나노규모 인쇄가능한 반도체 소자를 조립 및 상호연결하기 위한 다수의 다른 기술을 사용할 수 있다.
도33은 본 발명의 이종 집적 방법을 사용해 제조된 실리콘 웨이퍼 (1 0 0) 상으로 유도 접착된 갈륨 질화물 마이크로구조를 포함하는 복합 반도체 구조물의 SEM 이미지를 제공한다. 도33에 도시된 복합 반도체 구조물을 제조하기 위해, GaN을 포함하는 인쇄가능한 반도체 소자는 유도적으로 결합된 플라즈마 에칭을 사용한 실리콘 (1 1 1) 웨이퍼 상의 GaN으로부터 미세(마이크로) 기계 제조했고, 뜨거운 수용성 KOH(섭씨 100도)에서 이방성 습식 에칭을 사용한 실리콘으로부터 해체했다. 인쇄가능한 GaN 소자는 모체 칩으로부터 제거했고, PDMS 압인기를 사용한 건식 전사 접촉 인쇄에 의해 수용체 실리콘 칩 위로 인쇄했다. 인쇄가능한 GaN 소자 및 실리콘 칩 사이의 접착은 점착성 층의 사용을 필요로 하지 않는 능동 분자간력에 의해 제공된다. 도33에 제공된 SEM 이미지는 상이한 반도체 재료의 이종 집적을 할 수 있는 본 발명의 인쇄가능한 반도체 소자 및 전사 인쇄 조립 방법을 나타낸다.
실시예 8: 인쇄가능한 반도체 소자를 구비하는 고효율 태양 전지의 제조
본 발명의 목적은 태양 전지, 태양 전지 배열 및 유연한 플라스틱 기판을 포함하는 범위의 조성을 가지는 기판의 대면적 상에 태양 전지를 구비하는 집적 전자 장치 제조의 방법을 제공하는 것이다. 게다가, 본 발명의 목적은 종래의 고온 공정 방법에 의해 제조된 태양 전지에 필적하는 광다이오드 응답을 보이는 태양 전지 내에 P-N 접합을 제공할 수 있는 이종의 인쇄가능한 반도체 소자를 제공하는 것이다.
태양 전지 내 고품질 P-N 층 경계면과 함께 P-N 접합을 포함하는 이종의 인쇄가능한 반도체 소자를 제공하기 위한 본 발명의 인쇄가능한 반도체 소자의 능력은 실험적인 연구에 의해 입증했다. 태양 전지는 P-N 접합을 제조하기 위한 두 개의 상이한 제조 통로를 사용해 제조했고, 이들 통로에 의해 형성된 장치의 광다이오드 응답을 평가했다. 본 실시예에 제공된 실험적인 결과는 인쇄가능한 이종 반도체 소자 및 본 발명의 상대적인 배열 방법은 태양 전지 내에 고품질 P-N 접합을 제공하는데 유용하다는 것을 설명한다.
도34A는 인쇄가능한 P-N 접합을 포함하는 태양 전지를 제조하기 위한 제조 통로 내에서 공정 단계를 도식적으로 나타내는 공정 흐름도를 제공한다. 도34A에 보인 바와 같이, 단결정 실리콘 웨이퍼와 같은 고품질 반도체 재료는 직접적으로 근접한 P 도핑된 반도체 영역에 배치된 N 도핑된 반도체 영역을 생성하는 방법으로 제공했고 제조 공정했다. 우수한 효율을 보이는 태양 전지 제조를 위해 바람직하게는, P 및 N 영역은 물리적인 접촉을 하며 그들 사이에 존재하는 도핑되지 않은 반도체 없이도 가파른 경계면을 구비한다. 공정된 반도체 재료는 그 이후에 패턴되고 인쇄가능한 P-N 접합의 물리적 조를 정의하기 위해 에칭된다. 리프트오프 기술을 통한 그 이후의 공정은 N 도핑된 반도체 층에 직접적으로 근접한 P 도핑된 층을 구비하는 인쇄가능한 P-N 접합을 포함하는 모놀리식 구조물을 생성한다. 인쇄가능한 P-N 접합은 곧 본 발명의 용액 인쇄 또는 건식 전자 접촉 인쇄 방법을 사용하여 기판 위로 조립했다. 도34A에 보인 바와 같이, P 및 N 도핑된 반도체 층 상의 접촉(즉, 전극)은 인쇄가능한 P-N 접합의 리프트오프 공정 이전에 모놀리식 구조물 상으로 증착 또는 기판 위로 조립한 이후에 인쇄가능한 P-N 접합 상으로 증착함으로써 정의할 수 있었다. 한 실시형태에서, 접촉은 하나 이상의 금속의 증기 증착을 사용하여 정의하였다.
도34B는 도34A에 도시된 제조 통로에 의해 생성된 태양 전지 장치 형태의 약도를 나타낸다. 붕소 불순물을 가지는 두께 5 마이크론의 P 도핑된 반도체 층은 인 불순물을 가지는 두 개의 N 도핑된 반도체 층과의 집적 접촉으로 제공했다. 접촉은 P-N 접합을 형성하는 P 도핑된 반도체 층과의 접촉으로 N 도핑된 층 및 2 개의 농축한 P 도핑된 층 상으로 직접적으로 제공했다. 인 및 붕소 도핑된 접촉 영역의 도입은 시스템의 접촉 저항을 극복한다. 도34C는 도34B에 보인 형태를 가지는 태양 전지 장치의 조도 상에 관찰된 광전자 응답을 나타내는 전류 대 바이어스의 도표를 나타낸다. 도34C 보인 바와 같이, 전류는 태양 전지가 양성 바이어스와 함께 비추어지거나 제공될 때 생성된다.
도35A는 독립적으로 인쇄가능한 P 및 N 도핑된 반도체 층을 포함하는 태양 전지 제조를 위한 대체 제조 통로 내의 공정 단계를 도식적으로 나타낸 공정 흐름도를 제공한다. 도35A에 보인 바와 같이, 단결정 실리콘 웨이퍼와 같은 고품질 반도체 재료는 개별적인 N 및 P 도핑된 반도체 영역을 생성하는 방법으로 제공했고 공정했다. 공정된 반도체 재료는 분리된 P 및 N 도핑된 층의 물리적 치수를 정의하기 위해 그 이후에 패터닝하고 에칭했다. 리프트오프 기술을 통한 이후의 공정은 독립적으로 인쇄가능한 P 도핑된 반도체 층 및/또는 독립적으로 인쇄가능한 N 도핑된 반도체 층을 생성한다. 곧이어 P-N 접합은 제1 도핑된 반도체 소자(P 또는 N 도핑된 것 중 하나)를 제1 도핑된 소자와 접촉하는 상이한 조성을 가지는 제2 도핑된 반도체 소자 상으로 인쇄함으로써 조립했다. 한 실시형태에서, P-N 접합은 P 및 N 도핑된 반도체 층을 모두 인쇄함으로써, 예컨대, 제1 도핑된 반도체 층을 기판 상으로 인쇄하고 그 이후에 제2 도핑된 반도체 층을 제1 도핑된 반도체 층 상으로 인쇄함으로써 조립했다. 선택적으로, P-N 접합은 제1 도핑된 반도체 층을 제2 반도체 층을 포함하는 기판 상으로 인쇄함으로써 조립했다. 이들 소자 사이에 우수한 경계면을 제공하는 P 및 N 도핑된 층의 어떤 배향도 제1 도핑된 반도체 소자가 제2 도핑된 반도체 소자의 상측과 접촉하는 배향을 포함하지만, 이로 제한되지는 않는 본 발명에 유용하다.
P 및 N 도핑된 인쇄가능한 반도체 소자를 접합하는 것은 종래의 웨이퍼 접착 기술을 통해 얻을 수 있었다("Materials Science and Engineering R" Jan Haisma 및 G.A.C.M. Spierings, 37 pp 1-60 (2002)를 보라). 선택적으로, P 및 N 도핑된 반도체 층은, 외부 산화물 층과 같은, P 및 N 도핑된 층 사이에 고품질 경계면을 가지는 P-N 접합의 확립을 방해할 수 있는 그들 위에 어떤 외부 절연 층도 벗겨내기 위한 인쇄 이전, 도중 또는 이후에 처리했다. 선택적으로, 일부 실시형태에서 접합되어야 할 도핑된 반도체 표면 상에 존재하는 어떤 물도, 예컨대, P-N 접합에서 경계면의 품질을 강화하기 위해 이들 소자를 접촉하기 이전, 가열에 의해 제거되었다. 제1 및 제2 도핑된 반도체 소자의 조립은 본 발명의 용액 인쇄 또는 건식 전사 접촉 인쇄 방법을 사용해 성취될 수 있었다. 선택적으로, 본 발명의 상기 양상의 제조 통로는 P 및 N 도핑된 반도체 층 사이에 우수한 경계면을 확립하기 위한 P-N 접합을 어닐링하는 단계를 더 포함할 수 있다. 어닐링은 바람직하게는 P-N 접합을 지지하는 기판을 상당히 손상하지 않도록 충분히 낮은 온도, 예컨대, 플라스틱 기판 상에 P-N 접합을 조립하기 위한 대략 섭씨 200도 미만의 온도에서 수행했다. 선택적으로, P-N 접합은 기파능로부터 분리시킨 공정 단계에서 어닐링할 수 있었다. 본 실시형태에서, 어닐링된 P-N 접합은 냉각시키도록 허용했고 그 다음 용액 인쇄 또는 건식 전사 접촉 인쇄 방법을 통해 기판 사으로 조립했다. 도35A에 보인 바와 같이, P 및 N 도핑된 반도체 층 상의 접촉(즉, 전극)은 리프트오프 공정 이전에 개별적인 도핑된 반도체 층 상으로 증착함으로써 또는 기판 상에 조립된 이후 인쇄가능한 P-N 접합 상으로 증착함으로써 정의할 수 있었다. 한 실시형태에서, 접촉은 하나 이상의 금속의 증기 증착을 사용하여 정의했다.
도35B는 실리콘 웨이퍼의 P 도핑된 반도체 층의 상측 위로 N 도핑된 반도체 층을 인쇄함으로써 생성되는 태양 전지 장치의 약도를 나타낸다. 복합 구조물은 N 및 P 도핑된 반도체 층 사이에 고품질 경계면을 가지는 P-N 접합을 생성하기 위한 대략 섭씨 1000도의 온도로 어닐링했다. 전기적 접촉은 알루미늄 층의 증기 증착을 통해 각 도핑된 반도체 층의 상측 상으로 직접 제공했다. 도35C는 도35B에서 도식적으로 묘사된 태양 전지의 상면도의 SEM 이미지를 나타낸다. SEM 이미지는 P 도핑된 반도체 층의 상측 위에 배치된 N 도핑된 반도체 층을 나타내며 또한 각 도핑된 반도체 층의 상측 위와 접촉하는 알루미늄을 나타낸다. 도35D는 도31C에 보인 태양 전지의 광다이오드 응답을 나타내는 전류 대 바이어스의 도표를 제공한다. 도35D에 보인 바와 같이 전류는 태양 전지가 비춰질 때 생성되고 양성 바이어스와 함께 제공된다. 도35E는 상이한 빛의 세기와 함께 도35C에 보인 태양 전지의 조도 상에 관찰된 시간의 함수로서 전류의 도표를 제공한다.
인쇄가능한 도핑된 반도체 소자 및 인쇄가능한 P-N 접합과 같은 인쇄가능한 이종 반도체 소자의 물리적 치수는 다수의 변수에 의존하는 본 발명의 태양 전지에 유용하다. 첫 째로, 두께는 단위 면적당 입사 광자의 상당한 비율이 P-N 접합으로 흡수되기에 충분할 만큼 커야 한다. 그러므로, 두께나 P 및 N 도핑된 층은 적어도 일부가 흡수 계수와 같은 기저 반도체 재료의 광학 특성에 의존할 것이다. 일부의 유용한 제품을 위해, 인쇄가능한 실리콘 소자의 두께는 대략 20 마이크론 ~ 대략 100 마이크론의 범위를 가지며 갈륨 비화물 소자는 대략 1 마이크론 ~ 대략 100 마이크론의 범위를 가진다. 둘 째로, 일부의 장치 제품에서 인쇄가능한 소자의 두께는 그들이 특정한 장치 제품에 대해 유용한 유연한 정도를 보이기에 충분할 만큼 작다. 얇은 (< 100 마이크론) 소자의 사용은 단결정 반도체와 같은 내구성 약한 재료에 대해서 조차 신축성을 제공하며, 또한 원시 재료를 덜 요구하면서 제조의 비용을 낮출 수 있다. 셋 째로, 인쇄가능한 소자의 표면 영역은 입사 광자의 상당 수를 붙잡기에 충분할 만큼 커야 한다.
불순물은 SOD를 사용한 방법을 포함한(예컨대, 실시예 8을 보라) 고품질 도핑된 반도체 재료의 잘 정의된 공간의 분배를 제공할 수 있는 모든 공정에 의해 반도체 재료 내로 전해질 수 있었다. 반도체 재료 안으로 불순물을 전하는 실례가 되는 방법은 1, 2 또는 3 차원(즉, 이식의 깊이 및 불순물과 함께 이식된 반도체 층의 영역)으로 불순물의 공간 분배 내의 제어를 입증한다. 도34A 및 35A에 보인 제조 통로의 상당한 이점은 불순물 이식 및 동작이 무균실 조건 하에서 그리고 고온에서 독립하여 성취할 수 있다는 것이다. 그러나, 인쇄가능한 도핑된 반도체 소자 및/또는 P-N 접합의 이후의 제조 및 조립은 저온에서 그리고 무균실 조건 하에서 성취될 수 있음으로써, 매우 다양한 기판 재료 상에서 태양 전지의 높은 작업량의 제조를 허용했다.
실시예 9: 잡아 늘이거나 압축가능한 회로 및 전자 장치의 제조
본 발명은 인장, 굴곡 또는 변형될 때 우수한 효율을 보일 수 있는 잡아 늘이거나 압축가능한 전자 회로, 장치 및 장치 배열을 제공한다. 실시예 2에 설명된 잡아 늘이거나 압축가능한 반도체 소자들과 유사하게, 본 발명은 장치, 장치 배열 또는 파형 구조를 보이는 곡면을 이루는 내표면과 같은 곡면을 이루는 내표면을 가진 회로와 접촉하는 지지 표면을 구비하는 유연한 기판을 포함하는 잡아 늘이거나 압축가능한 회로 및 전자 장치를 제공한다. 본 구조적인 배열에서, 장치, 장치 배열 또는 회로 구조물의 곡면을 이루는 내표면의 적어도 일부는 유연한 기판의 지지 표면으로 접착했다. 그러나, 실시예 2에 있는 잡아 늘이거나 압축가능한 반도체와는 대조적으로, 본 발명의 상기 양상의 장치, 장치 배열 또는 회로는 반도체, 유전체, 전극, 도핑된 반도체 및 도체와 같은 다수의 집적된 장치 부품을 포함하는 다부품 소자이다. 실례가 되는 실시형태에서, 대략 10 마이크론 미만의 네트 두께를 가지는 유연한 회로, 장치 및 장치 배열은 적어도 일부가 주기적인 파의 곡면을 이루는 구조물을 구비하는 다수의 직접된 장치 부품을 포함한다.
본 발명의 유용한 실시형태에서, 독립된 전기 회로 또는 다수의 상호연결된 부품을 포함하는 장치를 제공했다. 전기 회로 또는 장치의 내표면은 확장된 상태로 예비 변형된 탄성체 기판에 접촉했고 적어도 일부분은 접착했다. 예비 변형은 탄성체 기판을 롤 프레싱 및/또는 예비 구부림을 포함하지만, 이로 한정되지는 않는 당업계에 널리 알려진 방법에 의해 성취될 수 있고, 탄성체 기판은 단일 축을 따라 확장하거나 복수개의 축을 따라 확장함에 의해 예비 변형할 수 있다. 전기 회로 또는 장치내표면의 적어도 일부 및 예비 변형된 탄성체 기판 사이의 공유 결합 또는 반데르 발스 힘에 의해, 또는 점착성 또는 중간의 접착 층을사용함에 의해 접착은 직접적으로 성취될 수 있다. 예비 변형된 탄성체 기판과 전기 회로 또는 장치를 접착시킨 후에, 탄성체 기판을 인쇄가능한 반도체 구조물의 내표면을 구부리는 이완상태로 적어도 부분적으로 이완시킨다. 전기 회로 또는 장치의 내표면의 구부림은 일부의 실시형태가 주기적인 또는 비주기적인 파의 형태를 가지는 곡면을 이루는 내표면을 생성한다. 본 발명은 부품 전부가 주기적인 또는 비주기적인 파의 형태에 존재하는 전기 장치 또는 회로를 포함하는 실시형태를 포함한다.
잡아 늘이거나 압축가능한 전기 회로, 장치 및장치 배열의 주기적인 또는 비주기적인 파의 형태는 회로 또는 장치의 개별 부품 상에 큰 변형을 발생시킴 없이 그들이 잡아 늘인 형태나 구부러진 형태에 들어맞도록 허용한다. 본 발명의 이러한 양상은 구부러진, 인장된 또는 변형된 상태에 존재할 때 잡아 늘이거나 압축가능한 전기 회로, 장치 및 장치 배열의 유용한 전기적 습성을 제공한다. 본 방법에 의해 형성된 주기적인 파 형태의 주기는 (i) 회로 또는 장치를 포함하는 집적된 부품의 콜렉션의 네트 두께 및 (ii) 집적된 장치 부품을 포함하는 재료의 영률 및 휘는 강성과 같은 기계적인 특성:과 함께 변경할 수 있다.
도36A는 잡아 늘이거나 압축가능한 박막 트랜지스터의 배열 제조의 실례가 되는 방법을 도시하는 공정 흐름도를 나타낸다. 도36A에 보인 바와 같이, 독립된 인쇄가능한 박막 트랜지스터의 배열은 본 발명의 기술을 사용하여 제공했다. 박막 트랜지스터의 배열은 트랜지스터의 내표면을 노출하는 방법으로 건식 전사 접촉 인쇄 방법을 통해 PDMS 기판으로 전사했다. 노출된 내표면은 확장된 상태로 존재하는 실온 경화된 예비 변형된 PDMS 층과 그 다음 접촉했다. 이후의 예비 변형된 PDMS 층의 완전 경화는 트랜지스터의 내표면을 예비 변형된PDMS 층으로 접착시켰다. 예비 변형된 PDMS 층은 냉각시키고 적어도 일부가 이완 상태에 있다고 가정하도록 허용했다. PDMS 층의 이완은 주기적인 파 구조물을 배열 내 트랜지스터로 전함으로써, 그들을 잡아 늘이거나 압축가능하도록 만들었다. 도36A에 있는 내삽도는 본 발명에 의해 형성된 잡아 늘이거나 압축가능한 박막 트랜지스터의 배열의 원가간력 현미경 사진을 제공한다. 상기 원자간력 현미경 사진은 인장되거나 변형된 상태로 우수한 전기적 효율을 제공하는 주기적인 파 구조물을 나타낸다.
도36B는 이완 및 인장된 형태에 있는 잡아 늘이거나 압축가능한 박막 트랜지스터의 배열의 광학 현미경 사진을 제공한다. 배열 상에서 대략 20%의 네트 변형을 발생시키는 방법으로 배열을 잡아늘이는 것은 박막 트랜지스터를 분열 또는 손상시키지 않았다. 이완 형태로부터 변형 상태로의 전이는 가역적 공정에서 관찰되었다. 도36B는 도한 이완 및 인장된 형태에서 우수한 효율을 보이는 잡아 늘이거나 압축가능한 박막 트랜지스터를 나타내는 게이트 전극에 적용된 몇몇의 잠재력을 위한 드레인 전류 대 드레인 전압의 도표를 제공한다.
실시예 10: 대면적, 인쇄가능한 마이크로구조 실리콘(μs- Si )의 선택적 전사: 유연한 기판 상에 지지된 고효율 박막 트랜지스터에 대한 인쇄 기반 접근법
본 발명의 방법, 장치 및 장치 부품은 고효율 집적 마이크로전자 장치 및 장치 배열 형성을 위한 새로운 인쇄 기반 제조 플랫폼을 제공한다. 종래의 공정 방법에 걸친 마크로전자 및 마이크로전자 기술에 대한 본 접근법의 이점은 넓은 범위의 기판 재료, 물리적 치수 및 표면 형태와의 호환성을 포함한다. 게다가, 본 인쇄 기반 접근법은 미리 존재하는 고 작업량의 인쇄 기계의 사용 및 기술과 호환되는 기판의 대 면적 상의 집적 마이크로전자 장치 및 장치 배열 제조를 위한 저비용, 고효율 제조 통로를 가능하게 한다.
현대 사회의 구조물을 형성짓는 향상된 정보 기술은 집적화의 더 높은 밀도를 증가시키는 것조차 포함하는 마이크로전자 장치의 사용에 결정적으로 의존한다. 4개 미만의 트랜지스터가 편입된 1950년대 후반의 초기 회로(ICs; Initial Circuits)로부터, 현재 상태의 ICs 기술은 현재 필수적으로 동일한 사이즈의 패키지에 수백만 개의 트랜지스터를 집적한다. 하지만, 새로운 장치 형성 계수의 개발에 대한 관심이 증가했는데, 반도체 장치의 성능은 대면적 및/또는 높은 장치 효율 레벨을 유지하는 동안 비용을 감소하려는 시도에 사용된 제조 방법을 사용하여 지지하는 유연한 재료 중 하나를 포함하는 구조물에 포함된다. 상기 장치 기술은 RF ID(identification) 태그의 능동 구동방식 평판 디스플레이 드라이버 및 부품과 같이 광범위한 제품을 찾을 수 있었다. 최근의 연구자료는 특히 반도체 나노와이어(NWs) 또는 네트워크된 나노튜브에 기반을 둔 상기 회로의 모델을 조립하기 위한 용액 공정 방법의 용도를 상세하게 설명한다. 상기 방법으로 마련된 기능적 장치가 가망성 있다 하더라도, 그들은 일반적으로 종래의 고온 반도체 공정 접근법과 비교해 장치 효율의 상당히 낮은 레벨에 의해 특징 지어진다. 예를 들면, 범위가 ~2 cm2/Vs 및 ~40 cm2/Vs 부터인 전계 효과성 이동도는 용액 공정 방법을 사용하여 마련된 박막 트랜지스터(TFTs)를 위해 보고했다.
한가지 양상에서, 본 발명은 초고효율 TFTs에서 사용하기 위한 SOI 웨이퍼로부터 얻은 마이크로구조의 단결정 실리콘 (μs-Si) 리본을 사용한 "하향식" 제조 방법을 제공한다. 제조 기술은 유용한 반도체 재료의 범위에 관해 호환성 있고, GaN, InP 및 GaAs을 포함하는 산업에 관련하여 유용한 다른 반도체 재료로 성공적으로 적응시켰다.
본 실시예에서 선택적 전사 및 넓은 기판 영역에 걸친 실리콘 리본의 정확한 인쇄정합, 및 단단한 (즉, 유리) 그리고 유연한 플라스틱 기판 모두에 적용할 수 있는 다목적 인쇄 절차를 허용하는 제조 방법을 포함하는 상기 기술의 수행에 유용한 다수의 중요한 공정 단계를 설명한다. 특히 본 명세서에서 SOI 웨이퍼로부터 μs-Si를 선택적으로 제거하고 이후에는 플라스틱 기판 상으로 패턴된 형상으로 그들을 전사하는데 사용될 수 있는 두 가지 방법을 보고했다. 편의를 위해 예컨대 방법 I (도37A) 및 방법 II (도37B)을 가지는 것으로 나타낸 공정은 μs-Si의 인쇄 기판 패턴 전사에 영향을 주기 위해 점착성 접착의 상이한 메커니즘을 사용한다. 방법 I은 Sylgard 3600 폴리(디메틸실록산) (PDMS) 압인기 (Dow Corning Corp.에 의해 제공된 새로운 실험적인, 고율 PDMS 제품) 및 μs-Si 대상물 사이의 물리적 접착을 이용한다. 방법 II는 PDMS 코팅된 기판으로 μs-Si를 화학적으로 접착하기 위한 최근에 현상시킨 주인 없는 부드러운 석판 인쇄 기술을 사용한다.
도37A는 플라스틱 기판 상으로 μs-Si 소자를 패터닝하기 위한 본 발명(방법 I)의 공정 방법을 보이는 약도를 제공한다. 본 실시예에서, 플라스틱 기판은 폴리(에틸렌테레프탈레이트) (PET) 시트를 포함한다. 땅콩 형상의 포로리지스트 패턴은 표준 광식각법 기술을 하용하여 SOI 기판의 상측 상으로 현상시켰다. 리지스트 벗겨내기가 뒤따르는 플라즈마 에칭은 매몰 산화물 층의 상측 상에 지지된 μs-Si "땅콩 형상"을 생산한다. 샘플은 곧 μs-Si의 아령 형상 말단에 존재하는 잔존하는 산화물 층에 의해 오로지 붙잡혀지는 땅콩 형상 하부 도려내기를 제공하기 위해 HF를 사용하여 불완전하게 에칭했다. SOI 웨이퍼는 곧이어 바람직한 패턴 전사의 보이지 않는 이미지와 상응하는 특징부와 함께 몰딩된 딱딱한 3600 PDMS 압인기로 얇게 갈라진다. 압인기의 부조 특징부는 μs-Si가 PDMS에 대한 강한 부착으로 인한 SOI 표면으로부터 선택적으로 제거된 곳인 영역에 상응한다. SOI 웨이퍼로부터 퍼뜨린 후, 압인기는 UV 램프를 사용하여 부분적으로 경화된 PU로 코팅된 PET 시트와 접촉하여 위치한다. 바(bar) 코팅 기술은 플라스틱 기판의 대면적(600 cm2)에 걸쳐 확일한 고킹 두께를 확실시하기 위해 PU 점착 레벨을 증착하는데 사용했다. 압인기 상의 μs-Si는 곧 플라스틱 시트의 PU 코팅된 측면과 접촉해 위치하고, 제2 UV/오존 노출은 곧이어 PU 완전 경화 및 μs-Si로의 본딩을 강화시키기 위한 샌드위치의 PET 측면으로부터 실행된다. 플라스틱 기판으로부터 압인기를 퍼뜨리는 것은 결과적으로 PDMS로부터 마이크로구조의 실리콘을 분리시켰고, 따라서 PU 코팅된 기판으로의 전사를 완성했다.
도37B는 플라스틱 기판 상으로 μs-Si 소자를 패터닝하기 위한 본 발명의 대안의 공정 방법 (방법 II)를 도시하는 약도를 제공한다. 본 실시예에서, 플라스틱 기판은 PET 시트를 포함했다. 상기 최근에 발표된 Decal 전사 석판인쇄(DTL; Decal Transfer Lithography) 기술은 점착제의 공간적으로 조정된 길이를 제공하기 위해 광화학적으로 처리된 편평한, 몰딩되지 않은 PDMS 판상물을 사용한 패턴 전사에 영향을 미친다. UV/오존(UVO) 처리는 높은 공간의 해상도와 함께 UVO 수정을 패턴하기 위해 마이크로반응기 포토마스크를 사용한 종래의 Sylgard 184 PDMS의 판상물의 표면에 걸쳐 패턴했다. 노출 이후, 광화학적으로 수정된 PDMS 코팅된 PET는 SOI 웨이퍼에 존재하는 땅콩 형상과 접촉하여 위치하고 30분 간 70℃로 가열했다. SOI 웨이퍼 상의 땅콩 형상의 제조는 HF 에칭 단계 이후에 표면 상으로 SiO2 (5 nm)의 박막 증발의 추가와 함께 방법 I의 동일한 절차를 따른다(도37A를 보라). 상기 층은 PDMS로의 강한 화학적 접착을 촉진한다. 가열 이후, PDMS의 UVO 수정된 영역으로 μs-Si의 패턴된 전사를 제공하는 SOI에서부터 퍼뜨린다.
도38A는 본 발명의 방법에 사용된 소위 땅콩 형상의 μs-Si 대상물의 고안을 나타낸다. 도38A에 있는 내삽된 광학 이미지는 채널 하에서 매몰된 산화물이 희생 SiO2 부분이 잔존하는 동안 제거되는 곳에서 최적화된 HF 에칭 조건을 나타낸다. 땅콩 형상은 그의 말단이 구조물의 몸체보다 약간 넓기 때문에 부분적으로 유용하다. HF 용액 내에서 기저 산화물 층의 에칭 중에, SiO2의 희생적인 부분이 말단부 중 하나(도38A의 내삽도에 나타낸 알영 형상 영역)에 여전히 잔존하는 동안 중심 아래에서의 산화물 층이 완전히 제거될 수 있도록 타이밍을 최적화할 수 있다. 상기 잔존하는 SiO2 층은 원시 위치에서 μs-Si를 잡고 있다. 상기 산화물 브릿지 층 없이, μs-Si의 차수는 유실될 우려가 있는 광식각법에 의해 SOI 웨이퍼 상에 생성했다. 도38B는 Si 대상물이 HF 용액 내에서 과에칭 될 때 상기 차수 유실의 실시예를 나타낸다. 도38B에 보인 바와 같이, 샘플이 HF 용액 내에서 과에칭 될 때 Si 대상물이 HF 용액 내에서 부유하기 시작한다. 방법 I 또는 II 중 하나에 의해 μs-Si가 SOI 웨이퍼로부터 제거될 때, 희생 영역의 가장자리에서 분열이 일어난다.
도38C, 38D, 38E 및 38F는 방법 I을 사용하여 영향을 미치는 것과 같이 μs-Si 전사의 각 단계의 향상을 묘사한 현미경 사진의 시리즈를 나타낸다. 도38C는 하부 도려내기 HF 에칭을 최적화한 이후 SOI 웨이퍼 상의 μs-Si를 나타낸다. 도38D는 PDMS 압인기가 μs-Si의 일부를 제거한 이후 SOI 웨이퍼를 나타낸다. 도38D에 보인 바와 같이, PDMS 압인기는 μs-Si의 일부를 제거함으로써, SOI 상에서 손상되지 않은 근접한 영역을 떠날 수 있다. SOI 기판 상의 사용하지 않은 마이크로구조의 실리콘 대상물이 그들의 원시 위치를 유지하기 때문에, 그들은 압인기에 의해 들어 올려지고 그 이후의 인쇄 단계에서 전사했다(하기에 논의될 바와 같이). 도38E는 PDMS 압인기 상으로 전사된 μs-Si 구조물을 나타낸다. μs-Si 리본의 각 말단의 유실된 중심은 SOI에서 PDMS 압인기로 마이크로구조의 실리콘의 전사를 하는 동안 일어난 분열의 패턴을 드러낸다. 도38F는 μs-Si가 플라스틱 상의 PU 지지로 부착된 μs-Si의 제2 전사(이 경우, PDMS 압인기에서 PU 코팅된 플라스틱 기판)를 위한 대표적인 결과를 나타낸다.
작은 PDMS 압인기에서 더 큰 플라스틱 표면으로 복합 전사하는 것은 가능하다. 도39A 및 도39B는 3600 PDMS 압인기에 의한 PU/PET 시트 상으로의 μs-Si의 선택적 전사의 광학 이미지를 제공한다. 도39A에 보인 바와 같이, 복합 전사에 의해 μs-Si가 플라스틱 기판 상으로 성글게 전사되는 곳에서 대면적(15x15 cm) 전사는 8x8 cm 압인기를 사용한다. 이미지에서 각 픽셀은 도38F에 보인 바와 동일한 형태이며 도38C - 38E에 설명된 동일한 프로토콜을 따른다. 도39B의 내삽도는 더 복합적인 몰딩된 형상, 도38C - 38E에 하이라이트한 것보다 크기가 더 작은 땅콩 형상의 μs-Si 대상물로 이루어진 써넣은 글자 "DARPA macroE"를 나타낸다. 전사의 높은 패턴 정확도는 39B에 보인 바와 같이 글자 "A"(내삽도의 선회)를 정의하는 대상물의 품질에 의해 설명된다. 상기 데이터들은 오로지 압인기에 의해 직접적으로 접촉된 영역만이 플라스틱 기판으로 최후로 전사한다는 것을 설명한다. 상기 전사는 종래의 Sylgard 184 PDMS를 사용한 것보다 두 가지 이유에서 더 어렵다. 첫 째로, Sylgard 184는 특징부 사이의 분리 거리가 특징부 높이의 20배를 초과할 때 휜다. 여기에 도시된 실시예는 상기 고안 규칙을 받아들이고 따라서 더 낮은 율의 중합체를 사용하여 높은 정확도의 전사를 방해한다. 둘 째로, Sylgard 184는 때때로 SOI 웨이퍼 및 상기 중합체를 위해 마련된 압인기를 사용한 일부의 제품에서 관찰된 결함으로부터 모든 μs-Si 땅콩 형상을 집어올리기에 충분한 점착력을 가지지 않는다. Dow Corningdp 의한 3600 PDMS는 1:200의 종횡비에서 조차 인지할 수 있을만큼 휘지 않고, 아마도 더 중요하게는, μs-Si 대상물로의 부착은 184 PDMS의 그것보다 강하다.
μs-Si 전사의 실시예는 도39C 및 39D에 보인 방법 II를 사용하여 성취했다. 도39C는 μs-Si가 화학적으로 접착되고 그 이후에 전사된 것에 대한 Sylgard 184 코팅된 PET 기판의 단면의 광학 현미경 사진이다. 상기 방법으로 전사된 μs-Si의 더 높은 배율의 이미지는 도39D에 나타냈다. 상기 증명에서 사용된 땅콩 형상의 치수는 25 ㎛의 리본 폭보다 비교적 작다는 것을 주지해야 한다. 흥미롭게도, 상기의 더 작은 특징부는 SOI 웨이퍼로부터 제거될 때 상이한 분열 시점을 가진다는 것을 알 수 있었다. 도39D의 확대에서, PDMS 표면은 더이상 편평하지 않다는 것을 또한 주지해야 한다. 상기의 원인은 PDMS의 단면이 사실상 패턴된 UVO 처리에 의해 구동된 영역, PDMS가 휘고 상기 땅콩 형상 사이의 웨이퍼 표면을 접촉하는 영역의 접촉으로 벌크의 밖으로 파열된 SOI로 상호적으로 전사된다는 사실 때문이다.
도40A는 방법 I을 사용한 전사에 기초를 둔 땅콩 형상의 μs-Si를 사용하여 제조된 장치의 실례가 되는 장치 형상을 설명한다. 상기 장치를 조립하기 위해 ITO(Indium-Tin-Oxide) 코팅된 PET 시트는 기판으로서 사용했다. ITO는 게이트 전극으로 사용했고, 게이트 유전체로서 SU-8 5(측정된 캐패시턴스 = 5.77 nF/cm2)를 희석시켰다. 도40B는 게이트 전압(Vg= -2.5 V ~ 20 V)의 범위에서 μs-Si TFTs의 I-V 커브를 제공한다. 도40B에 보인 바와 같이, 상기의 플라스틱 지지된, 땅콩 형상의 μs-Si TFTs는 퇴적 양식의 n-채널 트랜지스터 습성을 나타낸다. 도40C의 내삽도에 보인 바와 같이, 장치의 채널 길이는 100 ㎛이고, 장치의 폭은 400 ㎛이다. 도40C는 표시된 일정한 소스-드레인 전압(Vsd =1V)에서 측정된, 효과성 이동도가 173cm2/Vs인 전사 특성을 나타낸다. 도40C에 있는 내삽도는 본 발명의 실제 장치의 광학 현미경 사진을 도시한다. 역치 전압(Vth)을 나타내는 전사 특징은 173cm2/Vs인 효과성 이동도와 함께 -2.5V이다. 상기 값들은 상기 형태의 두께 100 nm의 하측 게이트 구조물을 위해 예상된 효율 특성과 일치한다.
본 실시예에 설명된 선택적 전사 방법은 SOI 웨이퍼로부터 유연한, 마크로 전자 시스템으로 마이크로구조의 실리콘을 전사하기 위한 효율적인 루트를 제공한다. 상기 기술의 사용, 및 종래의 용액 주조 방법과는 대조적으로, 마이크로구조의 실리콘 대상물은 정확한 인쇄 정합과 함께 SOI 모체 기판으로부터 전사하고 낭비를 최소화하는 방법으로 이용할 수 있다. 상기 연구에서 연구된 새로운 3600 PDMS의 기계적 특성은 상업적인 Sylgard 184 PDMS 합성수지, 그의 치수의 능력 및 더 높은 표면 부착 특성과 비교해 다수의 중요한 이점을 가진다는 점을 설명하며, 인쇄 기술은 또한 고효율 μs-Si 박막 트랜지스터를 편입하는 마크로전자 시스템의 조립과 호환되어 제공할 수 있었다.
실험용의
방법 I
μs-Si 대상물의 제조는 상업적인 SOI 웨이퍼(SOITEC, p-형, 상측 Si 두께=100 nm, 저항=13.5-22.5 ohm-cm, 145 nm 매몰된 산화물 층)를 사용하여 성취했다. 광식각법(Shipley 1805 리지스트)은 SOI 웨이퍼를 바람직한 땅콩 형상의 기하구조(중간 횡단면 길이: 200 ㎛, 폭: 25 ㎛, 땅콩 형상의 직경: 50 ㎛) 내로 패턴했다. 건식 에칭(Plasmatherm RIE system, SF6 흐름, 40 sccm, 50 mTorr, RF ㅈ전력 100 W, 45 초)은 노출된 실리콘을 감소시키는데 사용했다. 기저 SiO2는 곧 HF 용액(49%)에서 80초간 에칭했다. 방법 I의 3600 PDMS 압인기를 위해, 신형 PDMS (Dow Corning, 3600, 탄성율 = 8 MPa) 및 Sylgard 184 (Dow corning, 탄성율 = 1.8 MPa)는 1:1 비율로 혼합하고 표준 부드러운 석판인쇄 패터닝 방법을 사용하여 경화된 AUV 소스(오존 구동 수은 램프, 173 μW/cm2)는 PU 박막 점착 층(Norland 광 점착제, 제73번)를 경화하는데 사용했다. 상기의 후자의 필름은 바 코팅 절차(Meyer 바, RD 신형)를 사용하여 PET 기판(두께 180 ㎛, 마일라 필름, Southwall technologies) 상으로 코팅했다.
방법 II
방법 II를 위해, 사용된 땅콩 형상의 크기는 방법 I에 사용된 것보다 작다(중간 횡단면 길이: 10 ㎛, 폭: 2 ㎛, 땅콩 형상의 직경: 5 ㎛). 유사한 제조 프로토콜은 RIE 에칭 시간이 25초로 감소되고(측벽 에칭을 최소화하기 위해) 매몰된 산화물 층은 농축된 (49%) HF 용액에서 30분간 에칭된 예외와 함께 상기 구조물을 제공하는데 사용했다. 후자의 에칭 단계 이후, 샘플은 중탕 냄비에서 헹구었고 오븐 안에서 5분간 70℃에서 건조시켰다. 50 Å SiO2 층은 곧 샘플(Temescal FC-1800 전자 빔 증발기)의 상측 위에서 증발시켰다. PDMS의 얇은 층을 PET 기판 상으로 바인딩하기 위해, PU의 층은 맨 처음 30초간 1000 rpm에서 PET 상으로 회전함으로써 주조했고 4분간 UVO(173 W/cm2)로 노출시켰다.
선택적 영역의 부드러운 석판인쇄 패터닝 절차는 UVO 포토마스크의 패턴된 측과 접촉하는 코팅된 PET 기판의 패턴되지 않은 PDMS 배치로 이루어진다. 절차를 따르는 상기 마이크로반응기 마스크의 제조는 Childs 연구진이 설명했다. 상기 패턴은 두 개의 맞물린 직사각형 배열(1.2x0.6 mm)로 이루어졌다. PDMS는 곧 수은 전구(UVOCS T10x10/OES)로부터 ~3 cm의 거리에서 3분간 UVO 포토마스크를 통해 빛을 비추했다. 노출 이후, PDMS 압인기는 UVO 포토마스크로부터 퍼뜨렸고, 노출된 PDMS 표면은 땅콩 형상 베어링 SOI 웨이퍼와의 접촉 안으로 위치했다. 30분간 70℃에서 가열한 이후, 핀셋은 PDMS를 천천히 퍼뜨리고, 방사의 영역과 함께 레지스트리 안에서 μs-Si의 세그먼트를 제거하는데 사용했다.
장치 제조
66% (v) SU-8 희석제와 함께 SU-8은 30초간 3000 rpm에서 코팅된 PET 샘플의 ITO 상으로 회전시켰다. SU-8 에폭시는 곧 ~1분간 뜨거운 평판 상에서 60℃에서 경화시켰다. μs-Si 표면 상에서 μs-Si와 함께 PDMS 압인기(방법 I)는 곧 30초간 에폭시 층과 접촉하게 했고 에폭시로 μs-Si를 전사하기 위해 후면을 박리했다. 상기 SU-8 유전체는 곧 2분간 115℃에서 완전히 경화했고, 10초간 UV에 노출시켰으며, 이후에 2분간 115℃에서 구웠다. 티타늄 접촉(40nm)을 위한 금속은 1% HF 용액을 사용한 에칭과 함께 결합 내에서 표준 석판인쇄 방법을 사용한 소스-드레인 영역 패턴된 것과 함께 e-빔 증발에 의해 추가했다.
실시예 11: 플라스틱 기판 상에서 인쇄된 GaAs 와이어 배열과 함께 형성된 구부릴 수 있는 GaAs 금속-반도체 전계 효과성 트랜지스터
본 발명의 제조 방법은 유용한 기능적 장치 및 장치 부품 내로 조립하고 집적할 수 있는 재료에 관한 용도가 많다. 특히, 본 방법은 비실리콘 재료를 포함하는 고품질 반도체 재료의 범위를 사용한 마이크로전자 및 마크로전자에 기반을 둔 반도체의 제조에 적용할 수 있다. 본 방법의 상기 성능을 설명하기 위해, GaAs 마이크로와이어를 구비하는 구부릴 수 있는 MESFETs(metal-SEMICONDUCTOR field-effect-transistors)는 본 방법에 의해 제조했고 그들의 전기적 및 기계적 특성에 관해 평가했다.
고품질로 형성된 전계 효과성 트랜지스터, 대면적 상의 단결정 반도체 나노 및 마이크로 구조, 기계적으로 유연한 플라스틱 기판은 디스플레이, 센서, 의료 장치 및 다른 시스템의 광범위한 범위의 제품에 대해 높은 관심을 불러일으킨다. 다수의 접근법은 고품질 반도체 재료(예컨대, Si 나노와이어, 마이크로리본, 작은 판 등)를 기계적으로 유연한 MOSFETs(metal-oxide-SEMICONDUCTOR field-effect-transistors)를 위한 플라스틱 기판 상으로 전사하기 위해 설명했다. 본 발명의 방법은 집적된 옴의 소스/드레인 접촉을 가지는 GaAs 마이크로와이어(마이크로구조의 GaAs로 나타낸 재료의 부류 또는 μs-GaAs)를 사용한 플라스틱 기판 상으로 구부릴 수 있는 MESFETs 제조하는데 유용하다. 상기 방법들에서, 고품질 벌크 GaAs 웨이퍼는 마이크로/나노와이어를 형성하기 위한 '하향식' 제조 절차를 위해 개시 재료를 제공했다. 게다가, 탄성체 압인기를 사용한 전사 인쇄 기술은 플라스틱 기판과 함께 상기 와이어의 잘 정렬된 배열을 집적했다. 상기 방법으로 형성된 MESFETs의 전기적 및 기계적 측정은 본 방법을 사용해 얻을 수 있는 우수한 효율 및 훌륭한 굽힘성을 설명한다.
도41은 유연한 플라스틱 기판 (PET), 에피택셜 n-형 채널 층과 함께 단결정 GaAs 와이어의 배열을 사용한 MESFETs, 및 AuGe/Ni/Au의 집적된 옴의 접촉 상에서 제조하기 위한 주된 단계의 약도를 제공한다. 에피택셜 Si 도핑된 n-형 GaAs 층(4.0 x 1017/cm3의 농도, IQE Inc., Bethlehem, PA)과 함께 A (100) SI-GaAs(semi-insulating GaAs) 웨이퍼는 마이크로와이어를 생성하기 위한 소스 재료를 제공한다. 전자-빔 (및/또는 열적) 증발을 통한 광식각법 및 금속화는 종래의 다층 스택, 즉, 옴의 접촉을 위한 AuGe (120 nm)/Ni (20 nm)/Au (120 nm)을 포함하는 좁은 금속 스트립(2 ㎛의 폭 및 13 ㎛의 간격과 함께)의 배열을 생성한다. 흐르는 N2와 함께 석영 튜브 안에서 고온(즉, 1분간 450℃)에서 웨이퍼를 어닐링하는 것은 n-GaAs로의 옴의 접촉을 형성한다.
GaAs의
Figure 112015062079363-pat00010
결정의 배향을 따라 금속 스트립을 정의하는 것은 하향식 제조 접근법을 사용하여 생성될 마이크로와이어를 용이하게 한다(집적된 옴의 접촉과 함께). 도41 내 공정 단계 i에 보인 바와 같이, 포토리지스트의 패턴은 금속 스트립(폭 3 ㎛)의 상측 상에 정의했고; 상기 라인 사이의 개방은 근접한 금속 스트립 사이에 놓여있다. 상기 개방은 이방성으로 GaAs를 에칭하기 위한 GaAs 표면으로 확산하기 위한 에칭용 시약(체적으로 H3PO4 (85 wt%) : H2O2 (30 wt%) : H2O = 1 : 13 : 12 ) 허용한다. 상기 포토리지스트는 옴의 스트립 및 노출로부터의 GaAs 사이의 경계면을 보호한다. 이방성 에칭은 GaAs의 표면을 따라 가역적 대지 및 하부 도려내기를 생성하고, 그 결과로 삼각형 단면 및 모체 기판으로부터 해체된 좁은 폭의 GaAs 와이어를 형성한다. 하부 도려내기는 리지스트의 기하구조 및 에칭 시간의 제어에 의해 마이크로미터까지의 폭 및/또는 나노미터 길이 범위와 함께 GaAs 와이어를 생산한다. 각 와이어는 그 결과로 생긴 MESFET의 채널 길이를 정의하는 갭에 의해 분리된 두 개의 옴의 스트립을 구비한다. 도41 내 공정 ii에 보인 바와 같이, PDMS의 편평한, 탄성체 압인기는 PDMS의 소수성 표면 및 포토리지스트 사이의 반데르발스 접착을 형성하는 포토리지스트 코팅된 GaAs 와이어와 접촉한다. 도41 내 공정 iii에 보인 바와 같이, 상기 상호작용은 압인기가 모체 기판으로부터 후면 박피될 때 웨이퍼에서 PDMS의 표면으로 GaAs 와이어 전부를 제거하는 것을 용이하게 한다. 상기 전사 공정은 석판인쇄적으로 정의하는 와이어의 공간의 조직화(즉, 정렬된 배열)를 지속시킨다. GaAs 와이어와 함께 PDMS 압인기는 곧 액체 폴리우레탄(PU, NEA 121, Norland Products Inc., Cranbury, NJ)의 얇은 층으로 피복된 PET 시트, 광경화가능한 중합체의 종류와 반대 방향에 빛을 비춘다.
도41 내 공정 iv에 보인 바와 같이, PU를 경화하고, PDMS 압인기를 박리하며 O2 능동 이온 에칭(RIE, Uniaxis 790, Plasma-Therm Reaction Ion Etching System)에 의해 포토리지스트를 제거함으로써 PU/PET 기판의 표면 상에 장착된 옴의 스트립을 드러내는 것과 함께 정렬된 GaAs 와이어를 벗어난다. 전사 인쇄 공정에서, 포토리지스트는 오염되는 것으로부터 GaAs 와이어의 표면 및 옴의 접촉을 예방하기 위해 점착성 층 뿐만 아니라 보호성 필름으로서의 역할을 한다. 도41 내 공정 v에 보인 바와 같이, 더 나아가 PU/PET 기판 상의 석판인쇄 공정은 소스 및 드레인을 형성하기 위해, 그리고 게이트 전극(Ti (150 nm)/Au (150 nm))을 위해 옴의 스트립을 연결하는 전극(250 nm Au)을 정의한다. MSSFETs의 그 결과로 생긴 배열은 PU/PET 시트(~200 ㎛의 두께) 및 GaAs 와이어(5 ㎛ 미만의 폭 및 두께)의 굽힘성으로 인해 기게계으로 유연하다.
도42A는 플라스틱 기판(PU/PET) 상의 GaAs 와이어 기저 MESFET의 기하구조의 횡단면을 도시하는 약도를 나타낸다. 소스/드레인 전극은 n-GaAs과의 옴의 접촉을 형성한다. 게이트 전극은 상기 레이어와의 쇼트키 접촉을 형성한다. 경화된 PU 및 GaAs 와이어의 측벽 사이의 강한 상호작용은 와이어를 PU/PET 기판으로 접착한다. 상기 기하구조 및 상기에 설명된 공정 접근법과 함께, 능동 n-GaAs 층(즉, 트랜지스터 채널)은 포토리지스트 이외의 어떤 중합체 재료와도 접촉하지 않는다. Ti/Au 게이트 전극은 n-GaAs 표면과 함께 쇼트키 접촉을 형성하고; 배리어는 종래의 MESFET 내에서와 같이 소스 및 드레인 전극 사이의 전류의 흐름을 조절하기 위해 비교적 음 전압(즉, < 0.5 V)을 적용하는 것을 허용한다. 도42B는 각각이 도41의 공정 흐름도에 따라 제조된 10개의 GaAs 와이어의 배열을 사용한 플라스틱 상에서 두 개의 GaAs 와이어 기저 MESFETs의 대표 이미지를 나타낸다. 와이어는 잘 정렬된 배향 및 ~1.8㎛의 획일한 폭을 가진다. 150 ㎛의 폭 및 250 ㎛의 길이와 함께 Au 패드는 각각의 개별 MESFET를 위해 소스 및 드레인 전극을 형성하기 위해 10 개의 GaAs 와이어 상에서 옴의 스트립을 연결한다. 15 ㎛의 폭을 가지며 소스 및 드레인 전극 사이의 50 ㎛ 간격(트랜지스터 채널)에서 증착된 Ti/Au 스트립은 게이트 전극을 제공한다. 상기 스트립은 탐침을 위한 더 넓은 금속 기판으로 연결했다. 와이어 상의 금속 및 플라스틱 상의 금속 사이의 대비 차는 포토리지스터트의 RIE 에칭 중에 생성된 PU 상에서 표면 거칠기에 기인할 법하다. 도42C는 신축성을 명확하게 설명하는 수백 개의 트랜지스터와 함께 2 cm x 2 cm PET의 이미지를 보여준다. 다수의 인쇄 단계 및/또는 와이어 제조 작업는 플라스틱 기판 상의 대면적에 걸쳐 패턴된 다수의 와이어를 생성하기 위해 사용할 수 있었다. GaAs 와이어의 폭, 소스/드레인 전극의 폭, 채널 및 게이트 길이와 같은 다양한 변수는 바람직한 출력 틀성의 범위와 함께 MESFETs를 생산하기 쉽게 조정했다.
트랜지스터의 DC 효율은 그들의 전기적 및 기계적 특성을 평가하기 위해 특징지어졌다. 도43A, 43B 및 43C는 도42B에 보인 것과 유사한 50 ㎛의 길이, 15 ㎛의 게이트 길이와 함께 GaAs MESFET로부터의 결과를 나타낸다. 도43A는 0.5 V의 단계와 함께 0.5 ~ -2.0 V 사이의 게이트 전압에서 전류-전압(드레인 및 소스 전극 사이의) 커브를 나타낸다. I DS - V DS 특성은 n-형 GaAs 층 및 표준 기술, 즉, 게이트 전압의 감소와 함께 감소한 높은 V DSI DS의 영역 내에서 포화한 I DS 와 함께 조립된 종래의 웨이퍼 기반 MESFETs에 필적한다. 선형 영역에서, V GS = 0 V 에서의 채널 저항은 R channel = 6.4 kΩ이다. 도43B는 상이한 V DS에서 측정된 본 발명의 GaAs MESFET의 전사 특성(즉, I DS vs. V GS)를 나타낸다. 모든 커브는 동일한 게이트 전압, 즉, -2.65 V에서 최고값을 가진다. 높은 양성 전극 전압에서 I DS 의 하락은 상기 형에서 쇼트키 접촉을 통해 현상시킨 게이트에서 소스까지의 누설 전류에서 기인한다. 도43C는 MESFET를 위해 예상한 바와 같이 선형 관계를 명백하게 나타내는 (I DS)1/2 vs. V GS로 표시한 V DS = 4 V에서 전사 커브를 나타낸다. I DS = 0.19 mA 및 V DS = 4 V에서, 핀치-오프(pinch-off) 전압 및 상호 컨덕턴스는 각각 V p = 2.65 V 및 g m0 = 168 μS이다. 상기 특성은 PET 기판 상에서 제조된 트랜지스터가 종래의 접근법에 의해 웨이퍼 상에 가공된 전형적인 GaAs MESFETs의 습성과 유사함을 나타낸다.
기계적 신축성은 고려된 다수의 대상 제품을 위해 플라스틱 기판 상의 장치의 중요한 변수를 의미한다. 우리는 지지하는 PET 시트를 구부림으로써 테스트했다. 도44A 및 44B는 8.4 mm의 곡률 반경을 (A) 구부리기 전; (B) 구부린 이후에 유연한 PET 기판 상의 GaAs 와이어 기저 MESFET의 게이트 조정된 전류-전압 특성을 나타낸다. 상기 도면은 기판이 8.4 mm의 곡률 반경으로 구부러지기 전 및 이후에 트랜지스터의 효율, 즉, 두께 200 ㎛의 기판을 위해 1.2%(이 경우에는 장력)의 상응하는 표면 착색제를 비교한다. 상기 결과는 트랜지스터가 고장 없이 상기의 높은 변형률을 견딜 수 있다는 것을 나타낸다. 사실, V GS = 0 V에서 포화된 전류는 이 경우 ~20%로 증가한다. 도44C는 편평한, 구부러진 상태로 구부러진 기판을 이완한 이후 GaAs 와이어 기저 MESFET의 게이트 조정된 전류-전압 특성을 나타낸다. 도44C 및 도44A의 비교는 변형 해체 이후, 즉, 기판이 다시 편평해지기 위해, 트랜지스터는 그의 원시 상태의 효율을 회복했다. 도44D는 상기 MESFETs가 그들의 효율의 상당한 변화 없이 (< 20%) 0% 및 1.2% 사이에서 변경하기 위한 장치에서 신장 변형을 일으키는 다수의 구부림 주기에서 존속함을 나타내는 구부림(상이한 표면 변형과 함께)/비구부림에 의해 3 주기 내 V DS = 4 V 및 V GS = 0 V에서 I DS의 변화율을 나타낸다. 변형과 함께 관찰된 시스템의 변화는 기계적 변형이 GaAs 와이어의 결정 격자의 배치 및 에너지 레벨의 분배를 일으킨다는 사실과 관련될 수 있다.
상기 실시예는 (i) GaAs 웨이퍼 상의 고온 어닐링에 의한 옴의 접촉의 생성, (ii) 이방성 화학 에칭에 의한 상기 집적된 옴의 접촉과 함께 GaAs 마이크로와이어의 제작, (iii) 탄성체 압인기와 함께 플라스틱 기판 상으로 상기 와이어의 건식 전사 인쇄 및 (iv) 플라스틱 기판 상에 유연한 GaAs MESFETs를 생산하기 위해 플라스틱 상의 상기 와이어의 저온 공정에 의한 고품질 MESFETs의 제조를 포함하는 접근법을 설명한다. GaAs의 진성 특성 (예컨대, 고 이동성), 짧은 게이트 길이와 함께 MESFETs를 형성하기 위한 능력 및 상기 장치를 복합 회로 내로 (다른 반도체와 함께 유사한 접근법을 사용하여 조립한 다른 트랜지스터와 잠재적으로) 집적하기 위한 직선의 경로는 진전된 소통, 공간 및 다른 시스템을 위한 고 주파수 응답을 얻기 위한 용도를 나타낸다.
요약하면, 집적된 옴의 접촉과 함께 마이크로/나노와이어는 금속 증착 및 패터닝, 고온 어닐링 및 이방성 화학 에칭에 의해 벌크 웨이퍼로부터 마련했다. 상기 와이어는 플라스틱 또는 종이와 같은 예외적인 장치 기판의 광범위한 범위 상으로 직접적으로 조립될 수 있는 고효율 장치를 위한 재료의 고유 형태를 제공한다. 특히, 플라스틱 기판 상으로 저온에서 상기 와이어의 배열이 편성된 전사 인쇄는 고품질의, 구부릴 수 있는 MESFETs를 생산했다. 폴리(에틸렌테레프탈레이트) 상에서 장치의 전기적 및 기계적 특성은 획득할 수 있는 레벨 효율을 설명한다. 상기 결과는 소비자 및 군전자 시스템에서 제품을 나타내기 위한 고속의 유연한 회로에 대한 상기 접근법을 위한 징후를 나타낸다.
실시예 12: 인쇄가능한 반도체 소자를 사용한 장치 형태
도45는 플라스틱 기판 상의 P 형 하측 게이트 박막 트랜지스터를 위한 본 발명의 실례가 되는 장치 형태를 도시하는 약도를 제공한다. 도45에 보인 바와 같이, P 형 하측 게이트 박막 트랜지스터는 도핑된 접촉 영역, ITO 하측 게이트 전극, 에폭시 유전 층 및 소스 및 드레인 전극과 함께 실리콘 인쇄가능한 반도체 소자를 포함한다. 플라스틱 기판은 PET 시트이다. 또한 도45에 제공된 것은 게이트 전압의 범위를 위한 상기 장치의 대표적인 전류-전압 특성이다.
도46은 플라스틱 기판 상의 상보적인 논리 게이트를 위한 본 발명의 실례가 되는 장치 형태를 도시한 약도를 제공한다. 도46에 보인 바와 같이, 상보적인 논리 게이트는 각각이 인쇄가능한 반도체 소자를 구비하고 PET 시트로 제공된 P 형 박막 트랜지스터 및 N 형 박막 트랜지스터를 포함한다.
도47은 플라스틱 기판 상의 상측 게이트 박막 트랜지스터를 위한 본 발명의 실례가 되는 장치 형태를 도시한 약도를 제공한다. 도45에 보인 바와 같이 상측 게이트 박막 트랜지스터는 도핑된 접촉 영역, SiO2 유전 층 및 소스 및 드레인 전극과 함께 실리콘 인쇄가능한 반도체 소자를 포함한다. 플라스틱 기판은 전사 및 박막 트랜지스터 및 그의 부품의 조립을 촉진하기 위한 얇은 에폭시 층을 구비하는 PET 시트이다. 도47에 제공된 것은 또한 게이트 전압의 범위를 위해 상기 장치의 대표적인 전류-전압 특성이다.

Claims (42)

  1. 삭제
  2. 신축성 전기 장치로,
    제1 전극;
    제2 전극; 및
    적어도 하나의 오목 영역과 적어도 하나의 볼록 영역을 구비하는 굴곡된 내부 표면을 포함하는 신축성 반도체 구조를 포함하는 신축성 반도체 소자를 구비하고,
    상기 굴곡된 내부 표면 중 적어도 하나의 상기 오목 영역 또는 상기 볼록 영역은 탄성 기판(elastic substrate)의 지지하는 표면에 접착되고, 상기 신축성 반도체구조는 상기 제1 및 제2 전극과 전기적 접촉하는 위치에 배치되며, 상기 신축성 반도체 구조는 단결정 반도체 물질(single crystalline semiconductor material)인 것을 특징으로 하는 신축성 전기 장치.
  3. 청구항 2에 있어서,
    상기 반도체 구조는 굴곡 형태인 것을 특징으로 하는 신축성 전기 장치.
  4. 청구항 2에 있어서,
    상기 반도체 구조는 상기 굴곡된 내부 표면의 반대인 굴곡된 외부 표면을 포함하는 것을 특징으로 하는 신축성 전기 장치.
  5. 청구항 2에 있어서,
    상기 굴곡된 내부 표면을 구비한 상기 반도체 구조는 변형되는 것을 특징으로 하는 신축성 전기 장치.
  6. 삭제
  7. 삭제
  8. 청구항 2에 있어서,
    상기 굴곡된 내부 표면은 주기적인 물결을 특징으로 하는 윤곽 프로파일(contour profile)을 포함하는 것을 특징으로 하는 신축성 전기 장치.
  9. 청구항 2에 있어서,
    상기 굴곡된 내부 표면은 비주기적인 물결을 특징으로 하는 윤곽 프로파일을 포함하는 것을 특징으로 하는 신축성 전기 장치.
  10. 청구항 2에 있어서,
    상기 반도체 구조는 굴곡 형태인 리본을 포함하고, 상기 리본은 상기 리본의 길이를 따라 뻗어있는 주기적인 물결을 특징으로 하는 외곽선 프로파일을 포함하는 것을 특징으로 하는 신축성 전기 장치.
  11. 삭제
  12. 청구항 2에 있어서,
    상기 굴곡된 내부 표면은 상기 굴곡된 내부 표면을 따라 모든 포인트에서 상기 지지하는 표면과 접착되는 것을 특징으로 하는 신축성 전기 장치.
  13. 청구항 2에 있어서,
    상기 굴곡된 내부 표면은 상기 굴곡된 내부 표면을 따라 선택된 포인트에서 상기 지지하는 표면과 접착되는 것을 특징으로 하는 신축성 전기 장치.
  14. 청구항 2에 있어서,
    상기 기판은 폴리(디메틸실록산)(poly(dimethylsiloxane))을 포함하는 것을 특징으로 하는 신축성 전기 장치.
  15. 청구항 2에 있어서,
    상기 기판은 1㎜와 동일한 두께를 포함하는 것을 특징으로 하는 신축성 전기 장치.
  16. 청구항 2에 있어서,
    상기 반도체 구조는 무기 반도체 물질(inorganic semiconductor material)인 것을 특징으로 하는 신축성 전기 장치.
  17. 청구항 2에 있어서,
    상기 반도체 구조는 단결정 무기 반도체 물질(single crystalline inorganic semiconductor material)인 것을 특징으로 하는 신축성 전기 장치.
  18. 청구항 2에 있어서,
    상기 반도체 구조는 단결정 실리콘을 포함하는 것을 특징으로 하는 신축성 전기 장치.
  19. 청구항 2에 있어서,
    상기 기판은 탄성 기판인 것을 특징으로 하는 신축성 전기 장치.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 청구항 2에 있어서,
    상기 기판은 탄성중합체를 포함하는 것을 특징으로 하는 신축성 전기 장치.
KR1020157017151A 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치 KR101746412B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US57707704P 2004-06-04 2004-06-04
US60/577,077 2004-06-04
US60106104P 2004-08-11 2004-08-11
US60/601,061 2004-08-11
US65030505P 2005-02-04 2005-02-04
US60/650,305 2005-02-04
US66339105P 2005-03-18 2005-03-18
US60/663,391 2005-03-18
US67761705P 2005-05-04 2005-05-04
US60/677,617 2005-05-04
PCT/US2005/019354 WO2005122285A2 (en) 2004-06-04 2005-06-02 Methods and devices for fabricating and assembling printable semiconductor elements

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137034843A Division KR101572992B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치

Publications (2)

Publication Number Publication Date
KR20150083133A KR20150083133A (ko) 2015-07-16
KR101746412B1 true KR101746412B1 (ko) 2017-06-14

Family

ID=35503826

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020127010094A KR101260981B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020137022417A KR101504579B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020077000216A KR101307481B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체 소자들의 제조 및 조립 방법과 장치
KR1020137034843A KR101572992B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020137022416A KR101429098B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020127030789A KR101368748B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020157017151A KR101746412B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치

Family Applications Before (6)

Application Number Title Priority Date Filing Date
KR1020127010094A KR101260981B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020137022417A KR101504579B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020077000216A KR101307481B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체 소자들의 제조 및 조립 방법과 장치
KR1020137034843A KR101572992B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020137022416A KR101429098B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR1020127030789A KR101368748B1 (ko) 2004-06-04 2005-06-02 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치

Country Status (10)

Country Link
US (11) US7557367B2 (ko)
EP (4) EP2650905B1 (ko)
JP (7) JP2008502151A (ko)
KR (7) KR101260981B1 (ko)
CN (6) CN102683391B (ko)
HK (2) HK1176742A1 (ko)
IL (1) IL179784A0 (ko)
MY (5) MY153233A (ko)
TW (1) TWI284423B (ko)
WO (1) WO2005122285A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11832494B2 (en) 2018-01-11 2023-11-28 Boe Technology Group Co., Ltd. Display panel and display device for thinning a thickness of a display

Families Citing this family (921)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6155909A (en) * 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US20070122997A1 (en) * 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US7943491B2 (en) * 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
CN102683391B (zh) 2004-06-04 2015-11-18 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法和设备
US7799699B2 (en) * 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
RU2369940C2 (ru) * 2004-11-10 2009-10-10 Кэнон Кабусики Кайся Аморфный оксид и полевой транзистор с его использованием
DE102004059467A1 (de) * 2004-12-10 2006-07-20 Polyic Gmbh & Co. Kg Gatter aus organischen Feldeffekttransistoren
US7229901B2 (en) * 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
CA2592055A1 (en) 2004-12-27 2006-07-06 Quantum Paper, Inc. Addressable and printable emissive display
JP2006217281A (ja) * 2005-02-03 2006-08-17 Toshiba Corp 薄膜バルク音響装置の製造方法
KR100661696B1 (ko) * 2005-02-22 2006-12-26 삼성전자주식회사 이종 구조의 반도체 나노 와이어 및 그의 제조방법
US20080087906A1 (en) * 2005-02-25 2008-04-17 Dowa Electronics Materials Co., Ltd. Algaas-Based Light Emitting Diode Having Double Hetero Junction and Manufacturing Method of the Same
US7818065B2 (en) * 2005-03-31 2010-10-19 New York University Conducting polymer nanowire brain-machine interface systems and methods
MY152238A (en) * 2005-06-02 2014-09-15 Univ Illinois Printable semiconductor structures and related methods of making and assembling
US8718437B2 (en) * 2006-03-07 2014-05-06 Qd Vision, Inc. Compositions, optical component, system including an optical component, devices, and other products
JP2009507397A (ja) 2005-08-22 2009-02-19 キュー・ワン・ナノシステムズ・インコーポレイテッド ナノ構造およびそれを実施する光起電力セル
US8043950B2 (en) 2005-10-26 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20070090459A1 (en) * 2005-10-26 2007-04-26 Motorola, Inc. Multiple gate printed transistor method and apparatus
CN101310369A (zh) * 2005-11-16 2008-11-19 Nxp股份有限公司 制造半导体器件的方法和采用此方法获得的半导体器件
KR100870820B1 (ko) * 2005-12-29 2008-11-27 매그나칩 반도체 유한회사 이미지 센서 및 그의 제조방법
EP1986949A4 (en) * 2006-01-24 2013-11-27 Mycrolab Diagnostics Pty Ltd PUNCHING METHOD AND DEVICES
CN101410250A (zh) * 2006-01-24 2009-04-15 迈克罗拉布私人有限公司 复合层状材料和器件的低成本制造方法
US7354809B2 (en) * 2006-02-13 2008-04-08 Wisconsin Alumi Research Foundation Method for double-sided processing of thin film transistors
JP4564929B2 (ja) * 2006-02-21 2010-10-20 キヤノン株式会社 3次元フォトニック結晶の形成方法
US20070194450A1 (en) 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
US9406505B2 (en) * 2006-02-23 2016-08-02 Allos Semiconductors Gmbh Nitride semiconductor component and process for its production
EP1991723A2 (en) 2006-03-03 2008-11-19 The Board Of Trustees Of The University Of Illinois Methods of making spatially aligned nanotubes and nanotube arrays
US9874674B2 (en) 2006-03-07 2018-01-23 Samsung Electronics Co., Ltd. Compositions, optical component, system including an optical component, devices, and other products
US20070261951A1 (en) * 2006-04-06 2007-11-15 Yan Ye Reactive sputtering zinc oxide transparent conductive oxides onto large area substrates
WO2007117698A2 (en) * 2006-04-07 2007-10-18 Qd Vision, Inc. Composition including material, methods of depositing material, articles including same and systems for depositing material
WO2007120877A2 (en) * 2006-04-14 2007-10-25 Qd Vision, Inc. Transfer surface for manufacturing a light emitting device
US7741647B2 (en) * 2006-05-22 2010-06-22 Hewlett-Packard Development Company Utilizing nanowire for different applications
US7777290B2 (en) 2006-06-13 2010-08-17 Wisconsin Alumni Research Foundation PIN diodes for photodetection and high-speed, high-resolution image sensing
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
WO2008111947A1 (en) * 2006-06-24 2008-09-18 Qd Vision, Inc. Methods and articles including nanomaterial
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
WO2008020361A2 (en) 2006-08-14 2008-02-21 Koninklijke Philips Electronics N.V. Deformable integrated circuit device
EP2059479B1 (en) * 2006-08-30 2017-11-15 Northwestern University Monodisperse single-walled carbon nanotube populations and related methods for providing same
KR101814683B1 (ko) * 2006-09-06 2018-01-05 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 2차원 인장 가능하고 구부릴 수 있는 장치
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7960218B2 (en) 2006-09-08 2011-06-14 Wisconsin Alumni Research Foundation Method for fabricating high-speed thin-film transistors
US7834424B2 (en) * 2006-09-12 2010-11-16 The Board Of Trustees Of The Leland Stanford Junior University Extendable connector and network
KR101615255B1 (ko) * 2006-09-20 2016-05-11 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 전사가능한 반도체 구조들, 디바이스들 및 디바이스 컴포넌트들을 만들기 위한 릴리스 방안들
WO2008060358A2 (en) * 2006-09-29 2008-05-22 Massachusetts Institute Of Technology System and method for providing the capability of peeling thin polymer films from a substrate
CN101578520B (zh) 2006-10-18 2015-09-16 哈佛学院院长等 基于形成图案的多孔介质的横向流动和穿过生物测定装置、及其制备方法和使用方法
JP5171016B2 (ja) * 2006-10-27 2013-03-27 キヤノン株式会社 半導体部材、半導体物品の製造方法、その製造方法を用いたledアレイ
US7858156B2 (en) * 2006-11-27 2010-12-28 The University Of Massachusetts Surface buckling method and articles formed thereby
US7482270B2 (en) * 2006-12-05 2009-01-27 International Business Machines Corporation Fully and uniformly silicided gate structure and method for forming same
US8836212B2 (en) * 2007-01-11 2014-09-16 Qd Vision, Inc. Light emissive printed article printed with quantum dot ink
US7972875B2 (en) 2007-01-17 2011-07-05 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080223440A1 (en) * 2007-01-18 2008-09-18 Shuran Sheng Multi-junction solar cells and methods and apparatuses for forming the same
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
GB0701909D0 (en) * 2007-01-31 2007-03-14 Imp Innovations Ltd Deposition Of Organic Layers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
US20080254613A1 (en) * 2007-04-10 2008-10-16 Applied Materials, Inc. Methods for forming metal interconnect structure for thin film transistor applications
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7927713B2 (en) * 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
AU2008260162B2 (en) 2007-05-29 2013-06-20 Tpk Holding Co., Ltd. Surfaces having particles and related methods
US8846457B2 (en) * 2007-05-31 2014-09-30 Nthdegree Technologies Worldwide Inc Printable composition of a liquid or gel suspension of diodes
US9343593B2 (en) * 2007-05-31 2016-05-17 Nthdegree Technologies Worldwide Inc Printable composition of a liquid or gel suspension of diodes
US9425357B2 (en) 2007-05-31 2016-08-23 Nthdegree Technologies Worldwide Inc. Diode for a printable composition
US8877101B2 (en) * 2007-05-31 2014-11-04 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, power generating or other electronic apparatus
US8852467B2 (en) 2007-05-31 2014-10-07 Nthdegree Technologies Worldwide Inc Method of manufacturing a printable composition of a liquid or gel suspension of diodes
US9018833B2 (en) 2007-05-31 2015-04-28 Nthdegree Technologies Worldwide Inc Apparatus with light emitting or absorbing diodes
US8809126B2 (en) * 2007-05-31 2014-08-19 Nthdegree Technologies Worldwide Inc Printable composition of a liquid or gel suspension of diodes
US8456393B2 (en) 2007-05-31 2013-06-04 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, photovoltaic or other electronic apparatus and system
US8133768B2 (en) 2007-05-31 2012-03-13 Nthdegree Technologies Worldwide Inc Method of manufacturing a light emitting, photovoltaic or other electronic apparatus and system
US9534772B2 (en) 2007-05-31 2017-01-03 Nthdegree Technologies Worldwide Inc Apparatus with light emitting diodes
US8889216B2 (en) 2007-05-31 2014-11-18 Nthdegree Technologies Worldwide Inc Method of manufacturing addressable and static electronic displays
US8674593B2 (en) 2007-05-31 2014-03-18 Nthdegree Technologies Worldwide Inc Diode for a printable composition
US8415879B2 (en) 2007-05-31 2013-04-09 Nthdegree Technologies Worldwide Inc Diode for a printable composition
US9419179B2 (en) 2007-05-31 2016-08-16 Nthdegree Technologies Worldwide Inc Diode for a printable composition
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
JP5773646B2 (ja) * 2007-06-25 2015-09-02 キユーデイー・ビジヨン・インコーポレーテツド ナノ材料を被着させることを含む組成物および方法
US7875486B2 (en) * 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP2009059870A (ja) * 2007-08-31 2009-03-19 Sanyo Electric Co Ltd 発光モジュールおよびその製造方法
KR100878872B1 (ko) * 2007-09-03 2009-01-15 성균관대학교산학협력단 나노결정 전도성 탄소층을 게이트 전극으로 포함하여 이루어진 유기 박막 트랜지스터, 이의 제조방법 및 이를 포함하여 이루어진 유기 반도체 소자
GB2453766A (en) * 2007-10-18 2009-04-22 Novalia Ltd Method of fabricating an electronic device
JP2011503848A (ja) * 2007-11-02 2011-01-27 アプライド マテリアルズ インコーポレイテッド 堆積プロセス間のプラズマ処置
US20090130827A1 (en) * 2007-11-02 2009-05-21 Soo Young Choi Intrinsic amorphous silicon layer
CN101999162A (zh) * 2007-12-14 2011-03-30 纳米系统公司 形成衬底元件的方法
KR100974623B1 (ko) 2007-12-24 2010-08-09 고려대학교 산학협력단 정렬도가 향상된 오산화이바나듐 나노선 박막의 제조방법 및 그로부터 제조된 오산화이바나듐 나노선 박막
TW201001624A (en) 2008-01-24 2010-01-01 Soligie Inc Silicon thin film transistors, systems, and methods of making same
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8800138B2 (en) * 2008-02-08 2014-08-12 Carestream Health, Inc. Method for conditioning a substrate surface for forming an electronic device thereon and resultant device
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
ATE545064T1 (de) * 2008-02-25 2012-02-15 Sony Corp Verfahren zur aufbringung einer struktur aus metall, metalloxid und/oder halbleitermaterial auf einem träger
TWI485642B (zh) * 2008-02-26 2015-05-21 Epistar Corp 光電元件之客製化製造方法
KR101755207B1 (ko) 2008-03-05 2017-07-19 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 펴고 접을 수 있는 전자장치
US8143093B2 (en) * 2008-03-20 2012-03-27 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
CN102016595B (zh) * 2008-03-27 2014-08-06 哈佛学院院长等 三维微流体装置
US9829488B2 (en) * 2008-03-27 2017-11-28 President And Fellows Of Havard College Paper-based cellular arrays
WO2009121041A2 (en) * 2008-03-27 2009-10-01 President And Fellows Of Harvard College Paper-based microfluidic systems
WO2009121043A2 (en) * 2008-03-27 2009-10-01 President And Fellows Of Harvard College Cotton thread as a low-cost multi-assay diagnostic platform
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
CN102037407B (zh) 2008-04-25 2014-01-01 西北大学 聚合物笔平版印刷术
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2009137053A1 (en) 2008-05-06 2009-11-12 Qd Vision, Inc. Optical components, systems including an optical component, and devices
WO2009151515A1 (en) 2008-05-06 2009-12-17 Qd Vision, Inc. Solid state lighting devices including quantum confined semiconductor nanoparticles
US9207385B2 (en) 2008-05-06 2015-12-08 Qd Vision, Inc. Lighting systems and devices including same
US8127477B2 (en) 2008-05-13 2012-03-06 Nthdegree Technologies Worldwide Inc Illuminating display systems
US7992332B2 (en) 2008-05-13 2011-08-09 Nthdegree Technologies Worldwide Inc. Apparatuses for providing power for illumination of a display object
US8906284B2 (en) * 2008-05-28 2014-12-09 The University Of Massachusetts Wrinkled adhesive surfaces and methods for the preparation thereof
US8946683B2 (en) 2008-06-16 2015-02-03 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US20090315650A1 (en) * 2008-06-19 2009-12-24 Ahmadreza Rofougaran Method and system for an integrated circuit with ferromagnetic layers
US8179336B2 (en) 2008-06-30 2012-05-15 Global Oled Technology, Llc. Tiled electronic display
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US7927976B2 (en) * 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
US20100084081A1 (en) * 2008-08-06 2010-04-08 Academia Sinica Method for Fabricating Organic Optoelectronic Multi-Layer Devices
KR101002683B1 (ko) * 2008-08-19 2010-12-20 한국기계연구원 이중시트링과 릴리프밸브를 내장하는 고압력 볼밸브
JP2012500865A (ja) 2008-08-21 2012-01-12 イノーバ ダイナミクス インコーポレイテッド 増強された表面、コーティング、および関連方法
US8330126B2 (en) * 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US20100051932A1 (en) * 2008-08-28 2010-03-04 Seo-Yong Cho Nanostructure and uses thereof
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
KR101004849B1 (ko) 2008-09-02 2010-12-28 삼성전기주식회사 박막소자 제조방법
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8384007B2 (en) 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8274039B2 (en) * 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US20100059110A1 (en) * 2008-09-11 2010-03-11 Applied Materials, Inc. Microcrystalline silicon alloys for thin film and wafer based solar applications
US8361840B2 (en) 2008-09-24 2013-01-29 Eastman Kodak Company Thermal barrier layer for integrated circuit manufacture
US7772042B2 (en) 2008-09-24 2010-08-10 Eastman Kodak Company Solvent softening to allow die placement
US8034663B2 (en) 2008-09-24 2011-10-11 Eastman Kodak Company Low cost die release wafer
US7879691B2 (en) 2008-09-24 2011-02-01 Eastman Kodak Company Low cost die placement
WO2010036807A1 (en) 2008-09-24 2010-04-01 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
GB2464102A (en) * 2008-10-01 2010-04-07 Optovate Ltd Illumination apparatus comprising multiple monolithic subarrays
EP2349440B1 (en) 2008-10-07 2019-08-21 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US9545285B2 (en) 2011-10-05 2017-01-17 Mc10, Inc. Cardiac catheter employing conformal electronics for mapping
US9119533B2 (en) 2008-10-07 2015-09-01 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US9123614B2 (en) 2008-10-07 2015-09-01 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8247325B2 (en) 2008-10-10 2012-08-21 Uchicago Argonne, Llc Direct growth of metal nanoplates on semiconductor substrates
GB0819449D0 (en) * 2008-10-23 2008-12-03 Cambridge Display Tech Ltd Display drivers
GB0819450D0 (en) * 2008-10-23 2008-12-03 Cambridge Display Tech Ltd Oled driver chiplet integration
EP2351068B1 (en) * 2008-11-19 2020-11-04 X Display Company Technology Limited Printing semiconductor elements by shear-assisted elastomeric stamp transfer
US20100133094A1 (en) * 2008-12-02 2010-06-03 Applied Materials, Inc. Transparent conductive film with high transmittance formed by a reactive sputter deposition
US9352959B1 (en) 2008-12-16 2016-05-31 Massachusetts Institute Of Technology Method and applications of thin-film membrane transfer
US9520314B2 (en) * 2008-12-19 2016-12-13 Applied Materials, Inc. High temperature electrostatic chuck bonding adhesive
US20100163406A1 (en) * 2008-12-30 2010-07-01 Applied Materials, Inc. Substrate support in a reactive sputter chamber
EP2386117A4 (en) * 2009-01-12 2017-12-27 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8082537B1 (en) * 2009-01-28 2011-12-20 Xilinx, Inc. Method and apparatus for implementing spatially programmable through die vias in an integrated circuit
US7989959B1 (en) 2009-01-29 2011-08-02 Xilinx, Inc. Method of forming stacked-die integrated circuit
KR20100087932A (ko) * 2009-01-29 2010-08-06 삼성전기주식회사 자기 조립 단분자막을 이용한 다이 어태치 방법 및 자기 조립 단분자막을 이용하여 다이가 어태치된 패키지 기판
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US20100221596A1 (en) * 2009-02-06 2010-09-02 Huggins Robert A Systems, methods of manufacture and use involving lithium and/or hydrogen for energy-storage applications
CN102388464B (zh) 2009-02-09 2016-09-07 森普留斯公司 集中器型光电(cpv)模块、接收器和子接收器及其形成方法
US8987868B1 (en) 2009-02-24 2015-03-24 Xilinx, Inc. Method and apparatus for programmable heterogeneous integration of stacked semiconductor die
US8380776B2 (en) * 2009-03-02 2013-02-19 The Yokohama Rubber Co., Ltd. Computational method of material constant of composite material and volume fraction of material component in composite material, and recording medium
PL2403645T3 (pl) 2009-03-06 2017-05-31 President And Fellows Of Harvard College Mikroprzepływowe, elektrochemiczne urządzenia
US8986836B2 (en) 2009-03-19 2015-03-24 Ohio University Microspheres and their methods of preparation
US8877648B2 (en) 2009-03-26 2014-11-04 Semprius, Inc. Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby
US8170846B2 (en) * 2009-04-14 2012-05-01 The Yokohama Rubber Co., Ltd. Computation method of mechanical material constant of composite material and volume fraction of material component in composite material, and recording medium
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
EP2427935A1 (en) 2009-05-04 2012-03-14 Advanced Bionics, LLC Multi-contact connector system
EP2430652B1 (en) 2009-05-12 2019-11-20 The Board of Trustees of the University of Illionis Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US8329557B2 (en) * 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
WO2011008459A2 (en) * 2009-06-29 2011-01-20 Infinite Corridor Technology, Llc Structured material substrates for flexible, stretchable electronics
US8261660B2 (en) 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
US20110114177A1 (en) * 2009-07-23 2011-05-19 Applied Materials, Inc. Mixed silicon phase film for high efficiency thin film silicon solar cells
KR101077789B1 (ko) * 2009-08-07 2011-10-28 한국과학기술원 Led 디스플레이 제조 방법 및 이에 의하여 제조된 led 디스플레이
WO2011031876A1 (en) 2009-09-09 2011-03-17 Qd Vision, Inc. Formulations including nanoparticles
KR101865888B1 (ko) 2009-09-09 2018-06-08 삼성전자주식회사 나노입자들을 포함하는 입자, 그의 용도, 및 방법
KR101113692B1 (ko) 2009-09-17 2012-02-27 한국과학기술원 태양전지 제조방법 및 이에 의하여 제조된 태양전지
US7988470B2 (en) * 2009-09-24 2011-08-02 Applied Materials, Inc. Methods of fabricating metal oxide or metal oxynitride TFTs using wet process for source-drain metal etch
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
US20110218756A1 (en) * 2009-10-01 2011-09-08 Mc10, Inc. Methods and apparatus for conformal sensing of force and/or acceleration at a person's head
US20120065937A1 (en) * 2009-10-01 2012-03-15 Mc10, Inc. Methods and apparatus for measuring technical parameters of equipment, tools and components via conformal electronics
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8867578B2 (en) 2009-10-13 2014-10-21 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser for a cable TV transmitter
US8630326B2 (en) * 2009-10-13 2014-01-14 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
US9316785B2 (en) 2013-10-09 2016-04-19 Skorpios Technologies, Inc. Integration of an unprocessed, direct-bandgap chip into a silicon photonic device
US9923105B2 (en) 2013-10-09 2018-03-20 Skorpios Technologies, Inc. Processing of a direct-bandgap chip after bonding to a silicon photonic device
US11181688B2 (en) 2009-10-13 2021-11-23 Skorpios Technologies, Inc. Integration of an unprocessed, direct-bandgap chip into a silicon photonic device
US8559470B2 (en) 2009-10-13 2013-10-15 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser and a phase modulator
US8368995B2 (en) 2009-10-13 2013-02-05 Skorpios Technologies, Inc. Method and system for hybrid integration of an opto-electronic integrated circuit
US8611388B2 (en) 2009-10-13 2013-12-17 Skorpios Technologies, Inc. Method and system for heterogeneous substrate bonding of waveguide receivers
US8615025B2 (en) * 2009-10-13 2013-12-24 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser
US8605766B2 (en) 2009-10-13 2013-12-10 Skorpios Technologies, Inc. Method and system for hybrid integration of a tunable laser and a mach zehnder modulator
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
KR101047486B1 (ko) * 2009-11-12 2011-07-08 삼성전기주식회사 Soi 기판 가공방법
KR101221871B1 (ko) * 2009-12-07 2013-01-15 한국전자통신연구원 반도체 소자의 제조방법
WO2011069242A1 (en) * 2009-12-09 2011-06-16 Cooledge Lighting Inc. Semiconductor dice transfer-enabling apparatus and method for manufacturing transfer-enabling apparatus
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
JP6046491B2 (ja) * 2009-12-16 2016-12-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ コンフォーマル電子機器を使用した生体内での電気生理学
US9209059B2 (en) 2009-12-17 2015-12-08 Cooledge Lighting, Inc. Method and eletrostatic transfer stamp for transferring semiconductor dice using electrostatic transfer printing techniques
US20110151588A1 (en) * 2009-12-17 2011-06-23 Cooledge Lighting, Inc. Method and magnetic transfer stamp for transferring semiconductor dice using magnetic transfer printing techniques
US8334152B2 (en) * 2009-12-18 2012-12-18 Cooledge Lighting, Inc. Method of manufacturing transferable elements incorporating radiation enabled lift off for allowing transfer from host substrate
KR101149677B1 (ko) * 2010-01-20 2012-07-11 주식회사 엘지실트론 플렉서블 소자 제조방법 및 이에 의하여 제조된 플렉서블 소자, 태양전지, led
US20120281980A1 (en) * 2010-01-29 2012-11-08 Cho Hans S Optical sensor networks and methods for fabricating the same
EP2531300A1 (en) 2010-02-03 2012-12-12 President and Fellows of Harvard College Devices and methods for multiplexed assays
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9202954B2 (en) * 2010-03-03 2015-12-01 Q1 Nanosystems Corporation Nanostructure and photovoltaic cell implementing same
WO2011112931A1 (en) 2010-03-12 2011-09-15 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
KR101837481B1 (ko) 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US20110232753A1 (en) * 2010-03-23 2011-09-29 Applied Materials, Inc. Methods of forming a thin-film solar energy device
US9161448B2 (en) 2010-03-29 2015-10-13 Semprius, Inc. Laser assisted transfer welding process
US8501536B2 (en) 2010-03-31 2013-08-06 Seagate Technology Llc Integrating and aligning laser chips on sliders for HAMR applications
CA2795250A1 (en) * 2010-04-02 2011-10-06 Rhodia Operations Selective nanoparticle assembly systems and methods
US9040318B2 (en) * 2010-04-09 2015-05-26 The Trustees Of Princeton University Lamination as a modular approach for building organic photosensitive devices
US8907350B2 (en) * 2010-04-28 2014-12-09 Cree, Inc. Semiconductor devices having improved adhesion and methods of fabricating the same
US9015023B2 (en) 2010-05-05 2015-04-21 Xilinx, Inc. Device specific configuration of operating voltage
TWI426619B (zh) * 2010-06-25 2014-02-11 Univ Nat Taiwan 太陽能電池與其異質接合結構的製造方法
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
CN102309106A (zh) * 2010-07-08 2012-01-11 富士迈半导体精密工业(上海)有限公司 发光太阳能伞
TWI427829B (zh) 2010-07-26 2014-02-21 Epistar Corp 一種半導體光電元件及其製作方法
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
WO2012018997A2 (en) 2010-08-06 2012-02-09 Semprius, Inc. Materials and processes for releasing printable compound semiconductor devices
US8749009B2 (en) 2010-08-07 2014-06-10 Innova Dynamics, Inc. Device components with surface-embedded additives and related manufacturing methods
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
WO2012027458A1 (en) 2010-08-26 2012-03-01 Semprius, Inc. Structures and methods for testing printable integrated circuits
TWI615994B (zh) * 2010-09-01 2018-02-21 無限科技全球公司 用在可印組成物的二極體
CA2810394A1 (en) * 2010-09-03 2012-03-08 The Procter & Gamble Company A light emitting apparatus
US20130176750A1 (en) * 2011-09-02 2013-07-11 The Procter & Gamble Company Light emitting apparatus
US9455242B2 (en) 2010-09-06 2016-09-27 Epistar Corporation Semiconductor optoelectronic device
KR101696644B1 (ko) * 2010-09-15 2017-01-16 삼성전자주식회사 3차원 수직 배선을 이용한 rf 적층 모듈 및 이의 배치 방법
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8673541B2 (en) 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
GB2485346A (en) * 2010-11-08 2012-05-16 Nanogan Ltd High quality devices growth on pixelated patent templates
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US9899329B2 (en) 2010-11-23 2018-02-20 X-Celeprint Limited Interconnection structures and methods for transfer-printed integrated circuit elements with improved interconnection alignment tolerance
US8735191B2 (en) 2012-01-04 2014-05-27 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding using pedestals
US9922967B2 (en) 2010-12-08 2018-03-20 Skorpios Technologies, Inc. Multilevel template assisted wafer bonding
US8222084B2 (en) 2010-12-08 2012-07-17 Skorpios Technologies, Inc. Method and system for template assisted wafer bonding
US8932898B2 (en) 2011-01-14 2015-01-13 The Board Of Trustees Of The Leland Stanford Junior Univerity Deposition and post-processing techniques for transparent conductive films
WO2012097163A1 (en) 2011-01-14 2012-07-19 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US9177500B2 (en) 2011-01-31 2015-11-03 Global Oled Technology Llc Display with secure decryption of image signals
US20120194564A1 (en) 2011-01-31 2012-08-02 White Christopher J Display with secure decompression of image signals
US8619103B2 (en) 2011-01-31 2013-12-31 Global Oled Technology Llc Electroluminescent device multilevel-drive chromaticity-shift compensation
US9469525B2 (en) 2011-01-31 2016-10-18 Seagate Technology Llc Modified surface for block copolymer self-assembly
US8456390B2 (en) 2011-01-31 2013-06-04 Global Oled Technology Llc Electroluminescent device aging compensation with multilevel drive
US8803857B2 (en) * 2011-02-10 2014-08-12 Ronald S. Cok Chiplet display device with serial control
US8624882B2 (en) 2011-02-10 2014-01-07 Global Oled Technology Llc Digital display with integrated computing circuit
US8599118B2 (en) 2011-02-16 2013-12-03 Global Oled Technology Llc Chiplet display with electrode connectors
US8587501B2 (en) 2011-02-17 2013-11-19 Global Oled Technology Llc Electroluminescent display device with optically communicating chiplets
FR2972294B1 (fr) * 2011-03-02 2013-04-26 Commissariat Energie Atomique Procede de gravure chimique selective
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
TWI509239B (zh) * 2011-03-07 2015-11-21 Univ Singapore 應用自旋波之非破壞性材料、結構、成分、或元件度量或檢測系統及方法
US9702839B2 (en) 2011-03-11 2017-07-11 Mc10, Inc. Integrated devices to facilitate quantitative assays and diagnostics
US9496454B2 (en) 2011-03-22 2016-11-15 Micron Technology, Inc. Solid state optoelectronic device with plated support substrate
US9954110B2 (en) * 2011-05-13 2018-04-24 Semiconductor Energy Laboratory Co., Ltd. EL display device and electronic device
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
US20140081154A1 (en) * 2011-05-17 2014-03-20 Landy Toth Devices, systems, and methods for assessing implants, organs, transplants, tissues, synthetic constructs, vascular grafts, and the like
EP2712491B1 (en) 2011-05-27 2019-12-04 Mc10, Inc. Flexible electronic structure
US8520114B2 (en) 2011-06-01 2013-08-27 Global Oled Technology Llc Apparatus for displaying and sensing images
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US8889485B2 (en) 2011-06-08 2014-11-18 Semprius, Inc. Methods for surface attachment of flipped active componenets
US8879276B2 (en) 2011-06-15 2014-11-04 Power Gold LLC Flexible circuit assembly and method thereof
WO2012173654A2 (en) * 2011-06-15 2012-12-20 Power Gold LLC Flexible circuit assembly and method thereof
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
TWI433625B (zh) 2011-07-04 2014-04-01 Ind Tech Res Inst 軟性電子元件的製法
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
JP6320920B2 (ja) 2011-08-05 2018-05-09 エムシーテン、インコーポレイテッド センシング素子を利用したバルーン・カテーテルの装置及び製造方法
US9757050B2 (en) 2011-08-05 2017-09-12 Mc10, Inc. Catheter balloon employing force sensing elements
CN104040642B (zh) * 2011-08-24 2016-11-16 宸鸿科技控股有限公司 图案化透明导体和相关制备方法
US9977188B2 (en) 2011-08-30 2018-05-22 Skorpios Technologies, Inc. Integrated photonics mode expander
WO2013033724A1 (en) 2011-09-01 2013-03-07 Mc10, Inc. Electronics for detection of a condition of tissue
US20130175515A1 (en) * 2011-09-02 2013-07-11 The Procter & Gamble Company Light emitting apparatus
JP5214783B2 (ja) * 2011-09-07 2013-06-19 株式会社東芝 磁気記録媒体の製造方法
US9412727B2 (en) * 2011-09-20 2016-08-09 Semprius, Inc. Printing transferable components using microstructured elastomeric surfaces with pressure modulated reversible adhesion
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
WO2013052581A1 (en) * 2011-10-04 2013-04-11 Applied Nanotech Holdings, Inc. Thin film deposition of materials by external induced release from a ribbon tape
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
CN102435628B (zh) * 2011-11-02 2013-04-03 电子科技大学 一种多孔电极材料组织形貌表征方法
US8333860B1 (en) 2011-11-18 2012-12-18 LuxVue Technology Corporation Method of transferring a micro device
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
US8573469B2 (en) 2011-11-18 2013-11-05 LuxVue Technology Corporation Method of forming a micro LED structure and array of micro LED structures with an electrically insulating layer
JP6231489B2 (ja) 2011-12-01 2017-11-15 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ プログラム可能な変化を被るように設計された遷移デバイス
US8927415B2 (en) 2011-12-09 2015-01-06 Intermolecular, Inc. Graphene barrier layers for interconnects and methods for forming the same
US8736008B2 (en) 2012-01-04 2014-05-27 General Electric Company Photodiode array and methods of fabrication
WO2013147966A2 (en) * 2012-01-06 2013-10-03 President And Fellows Of Harvard College Small-scale fabrication systems and methods
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN104137262B (zh) * 2012-01-18 2015-11-25 斯考皮欧技术有限公司 Cmos电子器件与光子器件的垂直集成
US8492245B1 (en) 2012-02-07 2013-07-23 Wisconsin Alumni Research Foundation Methods for making thin layers of crystalline materials
US8895347B2 (en) 2012-02-16 2014-11-25 Industrial Technology Research Institute Method for fabricating semiconductor layer having textured surface and method for fabricating solar cell
CN103258716B (zh) 2012-02-16 2016-03-09 财团法人工业技术研究院 制作具有织化表面的半导体层的方法、制作太阳能电池的方法
EP2814610B1 (en) 2012-02-17 2020-08-19 Stratec Consumables GmbH Microstructured polymer devices
US9054188B2 (en) * 2012-02-24 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Curved wafer processing on method and apparatus
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US9554484B2 (en) 2012-03-30 2017-01-24 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9752259B2 (en) 2012-04-09 2017-09-05 The Hong Kong Research Intitute Of Textiles And Apparel Limited Stretchable electrical interconnect and method of making same
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9105492B2 (en) 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
US9034754B2 (en) 2012-05-25 2015-05-19 LuxVue Technology Corporation Method of forming a micro device transfer head with silicon electrode
US9226402B2 (en) 2012-06-11 2015-12-29 Mc10, Inc. Strain isolation structures for stretchable electronics
US9247637B2 (en) 2012-06-11 2016-01-26 Mc10, Inc. Strain relief structures for stretchable interconnects
US9295842B2 (en) 2012-07-05 2016-03-29 Mc10, Inc. Catheter or guidewire device including flow sensing and use thereof
KR20150031324A (ko) 2012-07-05 2015-03-23 엠씨10, 인크 유동 감지를 포함하는 카테터 장치
US8569115B1 (en) 2012-07-06 2013-10-29 LuxVue Technology Corporation Method of forming a compliant bipolar micro device transfer head with silicon electrodes
WO2014022558A1 (en) * 2012-07-31 2014-02-06 Wayne State University Method of making flexible, foldable, and stretchable devices
US9543457B2 (en) 2012-09-28 2017-01-10 First Solar, Inc. Method and system for manufacturing back contacts of photovoltaic devices
US9087905B2 (en) * 2012-10-03 2015-07-21 International Business Machines Corporation Transistor formation using cold welding
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
KR101394689B1 (ko) 2012-10-09 2014-05-15 재단법인대구경북과학기술원 신축성 기판, 그 신축성 기판의 제조 장치 및 제조 방법
WO2014058473A1 (en) 2012-10-09 2014-04-17 Mc10, Inc. Conformal electronics integrated with apparel
US9558721B2 (en) 2012-10-15 2017-01-31 Apple Inc. Content-based adaptive refresh schemes for low-power displays
DE102012218845A1 (de) * 2012-10-16 2014-04-17 Robert Bosch Gmbh Herstellungsverfahren für ein mikromechanisches Bauteil und mikromechanisches Bauteil
DE102012110358B4 (de) * 2012-10-30 2016-04-07 Leibniz-Institut für Neurobiologie Magdeburg Mikroelektrodenarray
KR101980198B1 (ko) 2012-11-12 2019-05-21 삼성전자주식회사 신축성 트랜지스터용 채널층
KR102043703B1 (ko) * 2012-11-12 2019-11-12 한국전자통신연구원 신축성 박막트랜지스터의 제조방법
KR101955335B1 (ko) 2012-11-14 2019-03-07 삼성전자주식회사 스탬프 구조체 및 이를 이용한 전사 방법
KR101984734B1 (ko) * 2012-11-16 2019-06-03 삼성디스플레이 주식회사 신축성 베이스 플레이트와 그것을 사용한 신축성 유기 발광 표시 장치 및 그 제조방법
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8963135B2 (en) * 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
KR101968637B1 (ko) * 2012-12-07 2019-04-12 삼성전자주식회사 유연성 반도체소자 및 그 제조방법
US9255001B2 (en) 2012-12-10 2016-02-09 LuxVue Technology Corporation Micro device transfer head array with metal electrodes
US10303842B2 (en) * 2012-12-10 2019-05-28 Hercules Llc Device for sensorial evaluation of consumer product application feel
US9236815B2 (en) 2012-12-10 2016-01-12 LuxVue Technology Corporation Compliant micro device transfer head array with metal electrodes
US9166114B2 (en) 2012-12-11 2015-10-20 LuxVue Technology Corporation Stabilization structure including sacrificial release layer and staging cavity
US9153171B2 (en) 2012-12-17 2015-10-06 LuxVue Technology Corporation Smart pixel lighting and display microcontroller
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
WO2014104267A1 (en) * 2012-12-28 2014-07-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9082911B2 (en) 2013-01-28 2015-07-14 Q1 Nanosystems Corporation Three-dimensional metamaterial device with photovoltaic bristles
US9082936B2 (en) * 2013-01-29 2015-07-14 Nthdegree Technologies Worldwide Inc. Transparent LED lamp for bidirectional lighting
CA2900579A1 (en) 2013-02-06 2014-08-14 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
US10617300B2 (en) 2013-02-13 2020-04-14 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
KR102051519B1 (ko) 2013-02-25 2019-12-03 삼성전자주식회사 파이버 상에 형성된 박막 트랜지스터 및 그 제조 방법
US9922746B2 (en) 2013-03-01 2018-03-20 The Regents Of The University Of Michigan Stretchable composite conductors for flexible electronics, stretchable plasmonic devices, optical filters, and implantable devices and methods for manufacture thereof
WO2014138465A1 (en) 2013-03-08 2014-09-12 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US9954126B2 (en) 2013-03-14 2018-04-24 Q1 Nanosystems Corporation Three-dimensional photovoltaic devices including cavity-containing cores and methods of manufacture
US20140264998A1 (en) 2013-03-14 2014-09-18 Q1 Nanosystems Corporation Methods for manufacturing three-dimensional metamaterial devices with photovoltaic bristles
US9266717B2 (en) 2013-03-15 2016-02-23 Versana Micro Inc Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US8901010B2 (en) * 2013-03-15 2014-12-02 Sunpower Corporation Methods for improving solar cell lifetime and efficiency
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
WO2014165686A2 (en) 2013-04-04 2014-10-09 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
AU2014250792B2 (en) 2013-04-12 2018-05-10 The Board Of Trustees Of The University Of Illinois Materials, electronic systems and modes for active and passive transience
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9000490B2 (en) 2013-04-19 2015-04-07 Xilinx, Inc. Semiconductor package having IC dice and voltage tuners
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9429769B2 (en) * 2013-05-09 2016-08-30 Johnson & Johnson Vision Care, Inc. Ophthalmic device with thin film nanocrystal integrated circuits
US9484504B2 (en) 2013-05-14 2016-11-01 Apple Inc. Micro LED with wavelength conversion layer
US9706647B2 (en) 2013-05-14 2017-07-11 Mc10, Inc. Conformal electronics including nested serpentine interconnects
US9449808B2 (en) * 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
DE102013210668A1 (de) * 2013-06-07 2014-12-11 Würth Elektronik GmbH & Co. KG Verfahren zur Herstellung eines optischen Moduls
ES2952036T3 (es) 2013-06-12 2023-10-26 Rohinni Inc Teclado de retroiluminación con fuentes generadoras de luz depositadas
WO2014209294A1 (en) * 2013-06-26 2014-12-31 Empire Technology Development Llc Micro-contact lithography systems forming optical modulators
US9748341B2 (en) * 2013-07-02 2017-08-29 General Electric Company Metal-oxide-semiconductor (MOS) devices with increased channel periphery
US9035279B2 (en) 2013-07-08 2015-05-19 LuxVue Technology Corporation Micro device with stabilization post
US9087764B2 (en) 2013-07-26 2015-07-21 LuxVue Technology Corporation Adhesive wafer bonding with controlled thickness variation
JP2016527649A (ja) 2013-08-05 2016-09-08 エムシー10 インコーポレイテッドMc10,Inc. 適合する電子機器を含む可撓性温度センサ
US9006584B2 (en) 2013-08-06 2015-04-14 Texas Instruments Incorporated High voltage polymer dielectric capacitor isolation device
US8987707B2 (en) * 2013-08-20 2015-03-24 Wisconsin Alumni Research Foundation Stretchable transistors with buckled carbon nanotube films as conducting channels
US8981363B1 (en) * 2013-09-03 2015-03-17 Universal Display Corporation Flexible substrate for OLED device
EP2845726A1 (en) * 2013-09-04 2015-03-11 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Electrically interconnecting foil
US9153548B2 (en) 2013-09-16 2015-10-06 Lux Vue Technology Corporation Adhesive wafer bonding with sacrificial spacers for controlled thickness variation
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
KR20160067152A (ko) 2013-10-02 2016-06-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 장기 장착형 전자 장치
CN105705093A (zh) 2013-10-07 2016-06-22 Mc10股份有限公司 用于感测和分析的适形传感器系统
KR102229373B1 (ko) * 2013-10-08 2021-03-17 한양대학교 산학협력단 유연소자의 제조방법, 그에 의하여 제조된 유연소자 및 접합소자
FR3012255B1 (fr) * 2013-10-17 2017-03-10 Commissariat Energie Atomique Procede de formation de rides par fusion d'une fondation sur laquelle repose une couche contrainte
WO2015073734A1 (en) * 2013-11-13 2015-05-21 Massachusetts Institute Of Technology Thin-film parylene membrane transfer
US9171810B2 (en) * 2013-11-21 2015-10-27 Nxp B.V. Electronic device incorporating a randomized interconnection layer having a randomized conduction pattern
WO2015077559A1 (en) 2013-11-22 2015-05-28 Mc10, Inc. Conformal sensor systems for sensing and analysis of cardiac activity
KR102192973B1 (ko) * 2013-12-19 2020-12-18 에스케이이노베이션 주식회사 나노 구조체를 갖는 센서 및 그 제조 방법
KR20150072292A (ko) * 2013-12-19 2015-06-29 에스케이이노베이션 주식회사 플렉시블 기반 나노 구조체를 갖는 센서 및 그 제조 방법
US20150174613A1 (en) * 2013-12-19 2015-06-25 Sk Innovation Co., Ltd. Method for fabricating flexible nano structure
US20150179738A1 (en) * 2013-12-19 2015-06-25 Sk Innovation Co., Ltd. Flexible nano structure
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US9171719B2 (en) * 2013-12-30 2015-10-27 Shenzhen China Star Optoelectronics Technology Co., Ltd Method of defining poly-silicon growth direction
KR102180089B1 (ko) 2013-12-30 2020-11-18 삼성디스플레이 주식회사 플렉서블 기판의 제조 방법 및 이를 이용하는 표시장치의 제조 방법
KR102396850B1 (ko) 2014-01-06 2022-05-11 메디데이타 솔루션즈, 인코포레이티드 봉지형 컨포멀 전자 시스템 및 디바이스, 및 이의 제조 및 사용 방법
US10677965B2 (en) 2014-01-27 2020-06-09 Forelux Inc. Optical apparatus for non-visible light applications
US9651718B2 (en) 2014-01-27 2017-05-16 Forelux Inc. Photonic apparatus with periodic structures
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10485118B2 (en) 2014-03-04 2019-11-19 Mc10, Inc. Multi-part flexible encapsulation housing for electronic devices and methods of making the same
WO2015134904A1 (en) * 2014-03-06 2015-09-11 The Regents Of The University Of Michigan Field effect transistor memory device
US9664855B2 (en) 2014-03-07 2017-05-30 Skorpios Technologies, Inc. Wide shoulder, high order mode filter for thick-silicon waveguides
US9810623B2 (en) 2014-03-12 2017-11-07 Mc10, Inc. Quantification of a change in assay
WO2015154080A1 (en) * 2014-04-04 2015-10-08 The Regents Of The University Of Michigan Epitaxial lift-off processed gaas thin-film solar cells integrated with non-tracking mini-compound parabolic concentrators
US9489974B2 (en) 2014-04-11 2016-11-08 Seagate Technology Llc Method of fabricating a BPM template using hierarchical BCP density patterns
US10003173B2 (en) 2014-04-23 2018-06-19 Skorpios Technologies, Inc. Widely tunable laser control
EP3138028A4 (en) * 2014-05-02 2018-01-24 Synopsys, Inc. 3d tcad simulation
EP3149522A4 (en) 2014-05-27 2018-02-21 Skorpios Technologies, Inc. Waveguide mode expander using amorphous silicon
US9502625B2 (en) 2014-06-06 2016-11-22 Rohinni, LLC Electrophotographic deposition of unpackaged semiconductor device
MY182156A (en) 2014-06-18 2021-01-18 X Celeprint Ltd Systems and methods for controlling release of transferable semiconductor structures
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
TWI677963B (zh) 2014-06-18 2019-11-21 愛爾蘭商艾克斯瑟樂普林特有限公司 微組裝高頻裝置及陣列
CN107078094B (zh) 2014-06-18 2020-04-03 艾克斯瑟乐普林特有限公司 用于制备用于微组装的GaN及相关材料的系统及方法
US9865600B2 (en) 2014-06-18 2018-01-09 X-Celeprint Limited Printed capacitors
CN110060987B (zh) 2014-06-18 2021-03-12 艾克斯展示公司技术有限公司 微组装led显示器
SG11201610371TA (en) * 2014-07-11 2017-01-27 Intel Corp Bendable and stretchable electronic devices and methods
US9434150B2 (en) 2014-07-20 2016-09-06 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
US20170207193A1 (en) * 2014-07-20 2017-07-20 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
US10252463B2 (en) 2014-07-22 2019-04-09 Nabil A. Amro Compact instrument with exchangeable modules for multiple microfabrication and/or nanofabrication methods
US9917240B2 (en) 2014-07-24 2018-03-13 Samsung Electronics Co., Ltd. Thermoelectric element, method of manufacturing the same and semiconductor device including the same
CN106575077A (zh) 2014-08-07 2017-04-19 奥宝科技有限公司 Lift印刷系统
JP6744019B2 (ja) 2014-08-11 2020-08-19 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 生物流体の表皮特性評価のためのデバイス及び関連する方法
WO2016025438A1 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Epidermal devices for analysis of temperature and thermal transport characteristics
US10736551B2 (en) 2014-08-11 2020-08-11 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
US10615222B2 (en) * 2014-08-21 2020-04-07 The University Of Hong Kong Flexible GAN light-emitting diodes
CN110379715A (zh) * 2014-08-26 2019-10-25 株式会社尼康 转印基板
TWI647833B (zh) 2014-08-26 2019-01-11 愛爾蘭商艾克斯瑟樂普林特有限公司 微組合複合式顯示裝置及發光元件
US9209142B1 (en) 2014-09-05 2015-12-08 Skorpios Technologies, Inc. Semiconductor bonding with compliant resin and utilizing hydrogen implantation for transfer-wafer removal
KR101679833B1 (ko) * 2014-09-11 2016-11-28 고려대학교 산학협력단 열전발전모듈 및 그 제조방법
US9773669B2 (en) * 2014-09-11 2017-09-26 Ramot At Tel-Aviv University Ltd. Method of fabricating a nanoribbon and applications thereof
US9818725B2 (en) 2015-06-01 2017-11-14 X-Celeprint Limited Inorganic-light-emitter display with integrated black matrix
US9468050B1 (en) 2014-09-25 2016-10-11 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9799261B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9799719B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Active-matrix touchscreen
US9991163B2 (en) 2014-09-25 2018-06-05 X-Celeprint Limited Small-aperture-ratio display with electrical component
US9537069B1 (en) 2014-09-25 2017-01-03 X-Celeprint Limited Inorganic light-emitting diode with encapsulating reflector
US20160093600A1 (en) 2014-09-25 2016-03-31 X-Celeprint Limited Compound micro-assembly strategies and devices
KR102416112B1 (ko) * 2014-10-02 2022-07-04 삼성전자주식회사 스트레처블/폴더블 광전자소자와 그 제조방법 및 광전자소자를 포함하는 장치
US9899330B2 (en) 2014-10-03 2018-02-20 Mc10, Inc. Flexible electronic circuits with embedded integrated circuit die
US10297572B2 (en) 2014-10-06 2019-05-21 Mc10, Inc. Discrete flexible interconnects for modules of integrated circuits
USD781270S1 (en) 2014-10-15 2017-03-14 Mc10, Inc. Electronic device having antenna
US10193004B2 (en) 2014-10-19 2019-01-29 Orbotech Ltd. LIFT printing of conductive traces onto a semiconductor substrate
US9942979B2 (en) * 2014-11-03 2018-04-10 Samsung Electronics Co., Ltd. Flexible printed circuit board
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
US9607638B1 (en) 2014-11-19 2017-03-28 Seagate Technology Llc Recording head with an on-wafer integrated laser
US10069029B1 (en) 2014-11-19 2018-09-04 Seagate Technology Llc Transfer-printed photonics
US9576595B1 (en) 2014-11-19 2017-02-21 Seagate Technology Llc Transfer printing an epitaxial layer to a read/write head to form an integral laser
US10984821B1 (en) 2014-11-19 2021-04-20 Seagate Technology Llc Transfer-printed near-field transducer and heat sink
JP6369788B2 (ja) 2014-11-27 2018-08-08 パナソニックIpマネジメント株式会社 エレクトロニクス用構造体
KR20170099977A (ko) 2014-12-23 2017-09-01 쓰리엠 이노베이티브 프로퍼티즈 컴파니 가요성 무선 주파수 식별 태그
US10890669B2 (en) * 2015-01-14 2021-01-12 General Electric Company Flexible X-ray detector and methods for fabricating the same
KR102340855B1 (ko) * 2015-01-15 2021-12-17 삼성디스플레이 주식회사 신축성 표시 장치
US10633758B2 (en) 2015-01-19 2020-04-28 Orbotech Ltd. Printing of three-dimensional metal structures with a sacrificial support
US9823465B2 (en) * 2015-02-06 2017-11-21 The Board Of Trustees Of The University Of Illinois Hybrid organic-inorganic micromirror device and method of making a hybrid microdevice
US10477354B2 (en) 2015-02-20 2019-11-12 Mc10, Inc. Automated detection and configuration of wearable devices based on on-body status, location, and/or orientation
US10398343B2 (en) 2015-03-02 2019-09-03 Mc10, Inc. Perspiration sensor
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
TWI565082B (zh) * 2015-04-14 2017-01-01 鴻海精密工業股份有限公司 薄膜電晶體及其製造方法
KR101605655B1 (ko) * 2015-04-15 2016-03-22 한국과학기술원 수평형 접합을 갖는 웨이퍼 구조물의 제조방법
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
CN107667306B (zh) 2015-04-20 2021-12-21 斯考皮欧技术有限公司 用于光子器件的垂直输出耦合器
US9467190B1 (en) 2015-04-23 2016-10-11 Connor Sport Court International, Llc Mobile electronic device covering
US9421087B1 (en) 2015-04-27 2016-08-23 International Business Machines Corporation Artificial electronic skin
TW201712881A (zh) * 2015-05-14 2017-04-01 立那工業股份有限公司 具有超過80%填充因數的高效si微線太陽能電池的金屬微網格電極
US9640715B2 (en) 2015-05-15 2017-05-02 X-Celeprint Limited Printable inorganic semiconductor structures
US10522300B2 (en) 2015-05-26 2019-12-31 National Research Council Of Canada Metallic surface with karstified relief, forming same, and high surface area metallic electrochemical interface
KR20180034342A (ko) 2015-06-01 2018-04-04 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 대안적인 자외선 감지방법
KR20180033468A (ko) 2015-06-01 2018-04-03 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 무선 전력 및 근거리 통신기능을 갖는 소형화된 전자 시스템
US9871345B2 (en) 2015-06-09 2018-01-16 X-Celeprint Limited Crystalline color-conversion device
US10102794B2 (en) 2015-06-09 2018-10-16 X-Celeprint Limited Distributed charge-pump power-supply system
US11061276B2 (en) 2015-06-18 2021-07-13 X Display Company Technology Limited Laser array display
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
US11160489B2 (en) 2015-07-02 2021-11-02 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
WO2017006306A1 (en) 2015-07-09 2017-01-12 Orbotech Ltd Control of lift ejection angle
US10653332B2 (en) 2015-07-17 2020-05-19 Mc10, Inc. Conductive stiffener, method of making a conductive stiffener, and conductive adhesive and encapsulation layers
US9704821B2 (en) 2015-08-11 2017-07-11 X-Celeprint Limited Stamp with structured posts
US10380834B2 (en) 2015-07-22 2019-08-13 Mark A. Litman Replaceable flexible electronic table top with display function for gaming tables
US10255834B2 (en) 2015-07-23 2019-04-09 X-Celeprint Limited Parallel redundant chiplet system for controlling display pixels
US9640108B2 (en) 2015-08-25 2017-05-02 X-Celeprint Limited Bit-plane pulse width modulated digital display system
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
KR102288354B1 (ko) * 2015-08-10 2021-08-11 삼성디스플레이 주식회사 플렉서블 디스플레이 장치의 제조 방법
WO2017031129A1 (en) 2015-08-19 2017-02-23 Mc10, Inc. Wearable heat flux devices and methods of use
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10380930B2 (en) 2015-08-24 2019-08-13 X-Celeprint Limited Heterogeneous light emitter display system
CN105047677B (zh) * 2015-09-09 2017-12-12 京东方科技集团股份有限公司 显示基板及其制作方法和显示装置
DE112016004265T5 (de) 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
US10230048B2 (en) 2015-09-29 2019-03-12 X-Celeprint Limited OLEDs for micro transfer printing
EP4079383A3 (en) 2015-10-01 2023-02-22 Medidata Solutions, Inc. Method and system for interacting with a virtual environment
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
WO2017105581A2 (en) 2015-10-02 2017-06-22 Semprius, Inc. Wafer-integrated, ultra-low profile concentrated photovoltaics (cpv) for space applications
EP3359031A4 (en) 2015-10-05 2019-05-22 Mc10, Inc. METHOD AND SYSTEM FOR NEUROMODULATION AND STIMULATION
CN110357033B (zh) 2015-10-22 2020-10-09 艾克斯展示公司技术有限公司 用于控制可转移半导体结构的释放的结构及方法
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
WO2017085712A1 (en) 2015-11-22 2017-05-26 Orbotech Ltd Control of surface properties of printed three-dimensional structures
US11567028B2 (en) * 2015-11-29 2023-01-31 Ramot At Tel-Aviv University Ltd. Sensing electrode and method of fabricating the same
CN105449011B (zh) * 2015-12-08 2017-12-22 厦门理工学院 一种太阳能电池微透镜阵列薄膜及其制作方法
US10066819B2 (en) 2015-12-09 2018-09-04 X-Celeprint Limited Micro-light-emitting diode backlight system
US9780318B2 (en) * 2015-12-15 2017-10-03 3M Innovative Properties Company Protective display film
CN105405752B (zh) * 2015-12-15 2018-09-04 苏州大学 一种柔性纳米线栅型透明导电电极的制作方法
US10091446B2 (en) 2015-12-23 2018-10-02 X-Celeprint Limited Active-matrix displays with common pixel control
US9786646B2 (en) 2015-12-23 2017-10-10 X-Celeprint Limited Matrix addressed device repair
US9930277B2 (en) 2015-12-23 2018-03-27 X-Celeprint Limited Serial row-select matrix-addressed system
US9928771B2 (en) 2015-12-24 2018-03-27 X-Celeprint Limited Distributed pulse width modulation control
KR102298484B1 (ko) 2016-01-15 2021-09-03 로히니, 엘엘씨. 장치 상의 커버를 통해 후면 발광하는 장치 및 방법
CN105548318A (zh) * 2016-01-25 2016-05-04 深圳大学 一种可穿戴电化学传感器电极及可穿戴电化学传感器
CN105508889A (zh) * 2016-02-01 2016-04-20 京东方科技集团股份有限公司 发光组件及其制作方法
US11230471B2 (en) 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
US10200013B2 (en) 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10361677B2 (en) 2016-02-18 2019-07-23 X-Celeprint Limited Transverse bulk acoustic wave filter
CN105577109B (zh) * 2016-02-18 2017-09-05 南通欧贝黎新能源电力股份有限公司 集成结型场效应管逆变器的太阳能电池板的制造方法
US10109753B2 (en) 2016-02-19 2018-10-23 X-Celeprint Limited Compound micro-transfer-printed optical filter device
CN108781313B (zh) 2016-02-22 2022-04-08 美谛达解决方案公司 用以贴身获取传感器信息的耦接的集线器和传感器节点的系统、装置和方法
US10277386B2 (en) 2016-02-22 2019-04-30 Mc10, Inc. System, devices, and method for on-body data and power transmission
EP3420582A1 (en) 2016-02-25 2019-01-02 X-Celeprint Limited Efficiently micro-transfer printing micro-scale devices onto large-format substrates
US10193025B2 (en) 2016-02-29 2019-01-29 X-Celeprint Limited Inorganic LED pixel structure
US10150325B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid banknote with electronic indicia
US10150326B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid document with variable state
US10153256B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-transfer printable electronic component
US10153257B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-printed display
US10223962B2 (en) 2016-03-21 2019-03-05 X-Celeprint Limited Display with fused LEDs
US10917953B2 (en) 2016-03-21 2021-02-09 X Display Company Technology Limited Electrically parallel fused LEDs
US10103069B2 (en) 2016-04-01 2018-10-16 X-Celeprint Limited Pressure-activated electrical interconnection by micro-transfer printing
WO2017173339A1 (en) 2016-04-01 2017-10-05 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10008483B2 (en) 2016-04-05 2018-06-26 X-Celeprint Limited Micro-transfer printed LED and color filter structure
US10199546B2 (en) 2016-04-05 2019-02-05 X-Celeprint Limited Color-filter device
US10198890B2 (en) 2016-04-19 2019-02-05 X-Celeprint Limited Hybrid banknote with electronic indicia using near-field-communications
US9997102B2 (en) 2016-04-19 2018-06-12 X-Celeprint Limited Wirelessly powered display and system
EP3445230B1 (en) * 2016-04-19 2024-03-13 Medidata Solutions, Inc. Method and system for measuring perspiration
KR102417917B1 (ko) * 2016-04-26 2022-07-07 삼성전자주식회사 공정 시스템 및 그 동작 방법
US10876210B1 (en) 2016-05-05 2020-12-29 Iowa State University Research Foundation, Inc. Tunable nano-structured inkjet printed graphene via UV pulsed-laser irradiation for electrochemical sensing
US10883814B2 (en) * 2016-05-09 2021-01-05 South Dakota Board Of Regents Highly stretchable strain sensor for human motion monitoring
US10360846B2 (en) 2016-05-10 2019-07-23 X-Celeprint Limited Distributed pulse-width modulation system with multi-bit digital storage and output device
US10037985B2 (en) 2016-05-17 2018-07-31 X-Celeprint Limited Compound micro-transfer-printed power transistor device
US10622700B2 (en) 2016-05-18 2020-04-14 X-Celeprint Limited Antenna with micro-transfer-printed circuit element
DE102016109459B4 (de) 2016-05-23 2019-06-13 X-Fab Semiconductor Foundries Ag Optimierter Transfer Print (Überführungsdruck) zwischen Trägersubstraten als Verfahren, Trägersubstrat und mikro-technisches Bauelement
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
DE102016109950B3 (de) 2016-05-30 2017-09-28 X-Fab Semiconductor Foundries Ag Integrierte Schaltung mit einem - durch einen Überführungsdruck aufgebrachten - Bauelement und Verfahren zur Herstellung der integrierten Schaltung
US9997501B2 (en) 2016-06-01 2018-06-12 X-Celeprint Limited Micro-transfer-printed light-emitting diode device
US10453826B2 (en) 2016-06-03 2019-10-22 X-Celeprint Limited Voltage-balanced serial iLED pixel and display
US11137641B2 (en) 2016-06-10 2021-10-05 X Display Company Technology Limited LED structure with polarized light emission
US10653342B2 (en) 2016-06-17 2020-05-19 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US9966301B2 (en) * 2016-06-27 2018-05-08 New Fab, LLC Reduced substrate effects in monolithically integrated RF circuits
US10032827B2 (en) 2016-06-29 2018-07-24 Applied Materials, Inc. Systems and methods for transfer of micro-devices
EP3267491A1 (en) * 2016-07-06 2018-01-10 Karlsruher Institut für Technologie Process for producing highly conductive, printable pastes from capillary suspensions
DE102016117030B4 (de) 2016-07-17 2018-07-05 X-Fab Semiconductor Foundries Ag Herstellung von Halbleiterstrukturen auf einem Trägersubstrat, die durch Überführungsdruck (Transfer Print) übertragbar sind.
US10475876B2 (en) 2016-07-26 2019-11-12 X-Celeprint Limited Devices with a single metal layer
US10222698B2 (en) 2016-07-28 2019-03-05 X-Celeprint Limited Chiplets with wicking posts
US10593644B2 (en) 2016-07-29 2020-03-17 Industrial Technology Research Institute Apparatus for assembling devices
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
US10447347B2 (en) 2016-08-12 2019-10-15 Mc10, Inc. Wireless charger and high speed data off-loader
WO2018035261A1 (en) * 2016-08-17 2018-02-22 Arizona Board Of Regents On Behalf Of Arizona State University Nanostructured substrates for improved lift-off of iii-v thin films
US9670061B1 (en) 2016-09-12 2017-06-06 International Business Machines Corporation Flexible electronics for wearable healthcare sensors
US9822002B1 (en) 2016-09-12 2017-11-21 International Business Machines Corporation Flexible electronics for wearable healthcare sensors
US9980341B2 (en) 2016-09-22 2018-05-22 X-Celeprint Limited Multi-LED components
US10157880B2 (en) 2016-10-03 2018-12-18 X-Celeprint Limited Micro-transfer printing with volatile adhesive layer
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US10782002B2 (en) 2016-10-28 2020-09-22 X Display Company Technology Limited LED optical components
US10141215B2 (en) 2016-11-03 2018-11-27 Rohinni, LLC Compliant needle for direct transfer of semiconductor devices
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US10347168B2 (en) 2016-11-10 2019-07-09 X-Celeprint Limited Spatially dithered high-resolution
WO2018091459A1 (en) 2016-11-15 2018-05-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10395966B2 (en) 2016-11-15 2019-08-27 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10600671B2 (en) 2016-11-15 2020-03-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
CN106441077B (zh) * 2016-11-22 2018-11-20 中国科学院力学研究所 一种柔性曲率传感器及其制备方法
US10504767B2 (en) 2016-11-23 2019-12-10 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
US10471545B2 (en) 2016-11-23 2019-11-12 Rohinni, LLC Top-side laser for direct transfer of semiconductor devices
US10783917B1 (en) 2016-11-29 2020-09-22 Seagate Technology Llc Recording head with transfer-printed laser diode unit formed of non-self-supporting layers
CN108231534A (zh) * 2016-12-15 2018-06-29 上海新微技术研发中心有限公司 柔性薄膜的制造方法
US10297502B2 (en) 2016-12-19 2019-05-21 X-Celeprint Limited Isolation structure for micro-transfer-printable devices
US10438859B2 (en) 2016-12-19 2019-10-08 X-Celeprint Limited Transfer printed device repair
US10832609B2 (en) * 2017-01-10 2020-11-10 X Display Company Technology Limited Digital-drive pulse-width-modulated output system
EP3346238B1 (en) 2017-01-10 2022-03-02 Melexis Technologies SA Sensor with multiple sensing elements
WO2018132716A1 (en) 2017-01-12 2018-07-19 Rohinni, LLC Apparatus for high speed printing of semiconductor devices
US10544042B2 (en) * 2017-01-17 2020-01-28 International Business Machines Corporation Nanoparticle structure and process for manufacture
US10062588B2 (en) 2017-01-18 2018-08-28 Rohinni, LLC Flexible support substrate for transfer of semiconductor devices
US10332868B2 (en) 2017-01-26 2019-06-25 X-Celeprint Limited Stacked pixel structures
US10468391B2 (en) 2017-02-08 2019-11-05 X-Celeprint Limited Inorganic light-emitting-diode displays with multi-ILED pixels
US10396137B2 (en) 2017-03-10 2019-08-27 X-Celeprint Limited Testing transfer-print micro-devices on wafer
CN206512268U (zh) * 2017-03-10 2017-09-22 合肥鑫晟光电科技有限公司 一种蒸镀源盖板、蒸镀源及蒸镀装置
US10576268B2 (en) 2017-03-22 2020-03-03 International Business Machines Corporation High resolution brain-electronics interface
US11024608B2 (en) 2017-03-28 2021-06-01 X Display Company Technology Limited Structures and methods for electrical connection of micro-devices and substrates
US10073294B1 (en) * 2017-03-31 2018-09-11 Innolux Corporation Display device
US10020426B1 (en) * 2017-04-10 2018-07-10 Advanced Optoelectronic Technology, Inc Light emitting device
DE102017108136B4 (de) 2017-04-13 2019-03-14 X-Fab Semiconductor Foundries Ag Geometrisch geformte Bauelemente in einer Anordnung für einen Überführungsdruck (Transfer Print) und zugehörige Verfahren
US10957807B2 (en) * 2017-04-19 2021-03-23 The Board Of Trustees Of The University Of Alabama PLZT thin film capacitors apparatus with enhanced photocurrent and power conversion efficiency and method thereof
US10468397B2 (en) 2017-05-05 2019-11-05 X-Celeprint Limited Matrix addressed tiles and arrays
WO2018208964A1 (en) * 2017-05-09 2018-11-15 Forelux Inc. Optical apparatus for non-visible light applications
US11342563B2 (en) 2017-05-16 2022-05-24 Arizona Board Of Regents On Behalf Of Arizona State University Three-dimensional soft electrode for lithium metal batteries
TW201901887A (zh) 2017-05-24 2019-01-01 以色列商奧寶科技股份有限公司 於未事先圖樣化基板上電器互連電路元件
US10777700B2 (en) 2017-06-02 2020-09-15 Wisconsin Alumni Research Foundation Optoelectronic devices based on thin single-crystalline semiconductor films and non-epitaxial optical cavities
US11084097B2 (en) 2017-06-23 2021-08-10 Applied Materials, Inc. Additive manufacturing with cell processing recipes
US10804880B2 (en) 2018-12-03 2020-10-13 X-Celeprint Limited Device structures with acoustic wave transducers and connection posts
US10943946B2 (en) 2017-07-21 2021-03-09 X Display Company Technology Limited iLED displays with substrate holes
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US10832935B2 (en) 2017-08-14 2020-11-10 X Display Company Technology Limited Multi-level micro-device tethers
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
CN107910446B (zh) * 2017-08-25 2020-03-27 西安理工大学 一种利用磁场调控有机薄膜分子有序化生长的方法
EP3457154B1 (en) 2017-09-13 2020-04-08 Melexis Technologies SA Stray field rejection in magnetic sensors
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP2019062006A (ja) * 2017-09-25 2019-04-18 株式会社東芝 搬送装置および搬送方法
US10290785B2 (en) * 2017-10-03 2019-05-14 Center For Integrated Smart Sensors Foundation Laminating structure of electronic device using transferring element, transferring apparatus for fabricating the electronic device and method for fabricating the electronic device
US20210190893A1 (en) 2017-10-06 2021-06-24 Melexis Technologies Nv Magnetic sensor sensitivity matching calibration
EP3467528B1 (en) 2017-10-06 2020-05-20 Melexis Technologies NV Magnetic sensor sensitivity matching calibration
EP3470862B1 (en) 2017-10-10 2022-03-02 Melexis Bulgaria Ltd. Sensor defect diagnostic circuit
US10319705B2 (en) * 2017-10-20 2019-06-11 Facebook Technologies, Llc Elastomeric layer fabrication for light emitting diodes
US10649148B2 (en) 2017-10-25 2020-05-12 Skorpios Technologies, Inc. Multistage spot size converter in silicon photonics
EP3477322B1 (en) 2017-10-27 2021-06-16 Melexis Technologies SA Magnetic sensor with integrated solenoid
US10836200B2 (en) 2017-11-13 2020-11-17 X Display Company Technology Limited Rigid micro-modules with ILED and light conductor
CN107768386B (zh) * 2017-11-16 2020-09-01 深圳市华星光电半导体显示技术有限公司 Tft阵列基板及其制作方法以及液晶显示面板
US10510937B2 (en) 2017-11-22 2019-12-17 X-Celeprint Limited Interconnection by lateral transfer printing
US10325791B1 (en) 2017-12-13 2019-06-18 Facebook Technologies, Llc Formation of elastomeric layer on selective regions of light emitting device
US10539528B2 (en) 2017-12-19 2020-01-21 International Business Machines Corporation Stacked nanofluidics structure
US10297585B1 (en) 2017-12-21 2019-05-21 X-Celeprint Limited Multi-resolution compound micro-devices
KR101960265B1 (ko) * 2017-12-29 2019-03-20 (재)한국나노기술원 발광형 태양 집광 장치용 다중접합 태양전지의 제조방법 및 그 다중접합 태양전지를 이용한 발광형 태양 집광 장치
US11423928B1 (en) 2018-01-19 2022-08-23 Seagate Technology Llc Processing for forming single-grain near-field transducer
US10593827B2 (en) 2018-01-24 2020-03-17 X-Celeprint Limited Device source wafers with patterned dissociation interfaces
KR102100550B1 (ko) * 2018-01-29 2020-04-13 충북대학교 산학협력단 구리 전극 제작 방법 및 구리 전극 제작 시스템
EP3748679A4 (en) 2018-02-01 2021-09-29 LG Electronics Inc. DISPLAY DEVICE WITH LIGHT-EMITTING SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD FOR IT
US10692996B1 (en) 2018-02-05 2020-06-23 United States Of America As Represented By The Secretary Of The Air Force Systems, methods and apparatus for radio frequency devices
US11069376B1 (en) 2018-02-21 2021-07-20 Seagate Technology Llc Waveguide with optical isolator for heat-assisted magnetic recording
US10690920B2 (en) 2018-02-28 2020-06-23 X Display Company Technology Limited Displays with transparent bezels
US11189605B2 (en) 2018-02-28 2021-11-30 X Display Company Technology Limited Displays with transparent bezels
CN108493338B (zh) * 2018-02-28 2020-04-21 中山大学 一种可延展的屈曲结构有机薄膜功能器件及其制备方法
FR3079345B1 (fr) * 2018-03-26 2020-02-21 Soitec Procede de fabrication d'un substrat pour dispositif radiofrequence
US20210029830A1 (en) * 2018-03-26 2021-01-28 Applied Materials, Inc. Method for producing a flexible device, flexible electronic device and flexible arrangement of a plurality of electronic devices
US11362229B2 (en) * 2018-04-04 2022-06-14 California Institute Of Technology Epitaxy-free nanowire cell process for the manufacture of photovoltaics
US11127612B2 (en) * 2018-04-25 2021-09-21 Micron Technology, Inc. Testing semiconductor devices based on warpage and associated methods
US10910355B2 (en) 2018-04-30 2021-02-02 X Display Company Technology Limited Bezel-free displays
US10505079B2 (en) 2018-05-09 2019-12-10 X-Celeprint Limited Flexible devices and methods using laser lift-off
US10410905B1 (en) 2018-05-12 2019-09-10 Rohinni, LLC Method and apparatus for direct transfer of multiple semiconductor devices
US10374034B1 (en) 2018-05-21 2019-08-06 International Business Machines Corporation Undercut control in isotropic wet etch processes
US11295972B2 (en) 2018-06-12 2022-04-05 Korea Advanced Institute Of Science And Technology Layout structure between substrate, micro-LED array and micro-vacuum module for micro-LED array transfer using micro-vacuum module, and method for manufacturing micro-LED display using the same
EP3581951A1 (en) 2018-06-12 2019-12-18 Melexis Bulgaria Ltd. Sensor saturation fault detection
CN108511547A (zh) * 2018-06-12 2018-09-07 汉能移动能源控股集团有限公司 一种太阳能组件及其制备方法、太阳能装置
US10832934B2 (en) 2018-06-14 2020-11-10 X Display Company Technology Limited Multi-layer tethers for micro-transfer printing
CN108831893B (zh) 2018-06-14 2021-05-18 京东方科技集团股份有限公司 阵列基板、阵列基板的制造方法和显示面板
US10748957B1 (en) * 2018-06-21 2020-08-18 Hrl Laboratories, Llc Method of manufacturing a curved semiconductor die
CN108807570B (zh) * 2018-06-28 2020-04-14 华南师范大学 嵌入柔性衬底的ZnO微米线阵列紫外探测器的制备方法
US10714001B2 (en) 2018-07-11 2020-07-14 X Display Company Technology Limited Micro-light-emitting-diode displays
CN109136858B (zh) * 2018-07-31 2020-09-25 电子科技大学 一种基于二维材料的氧化物薄膜剥离方法
US11378824B2 (en) * 2018-08-07 2022-07-05 E Ink Corporation Flexible encapsulated electro-optic media
US10796971B2 (en) 2018-08-13 2020-10-06 X Display Company Technology Limited Pressure-activated electrical interconnection with additive repair
WO2020041522A1 (en) 2018-08-21 2020-02-27 California Institute Of Technology Windows implementing effectively transparent conductors and related methods of manufacturing
US11152536B2 (en) * 2018-09-17 2021-10-19 The Board Of Trustees Of The University Of Illinois Photoresist contact patterning of quantum dot films
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
DE102018217087B4 (de) * 2018-10-05 2020-07-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Elektrisches Kabel oder elektrische Litze sowie drahtförmiges elektrisches Verbindungselement mit halbleitendem Funktionsabschnitt
KR102536844B1 (ko) * 2018-10-15 2023-05-30 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
US10796938B2 (en) 2018-10-17 2020-10-06 X Display Company Technology Limited Micro-transfer printing with selective component removal
US10573544B1 (en) 2018-10-17 2020-02-25 X-Celeprint Limited Micro-transfer printing with selective component removal
KR102116728B1 (ko) * 2018-10-25 2020-05-29 엘지전자 주식회사 반도체 발광소자의 자가조립 장치 및 방법
EP3647741B1 (en) 2018-10-29 2022-08-03 Melexis Bulgaria Ltd. Sensor diagnostic device and method
US11923472B2 (en) 2018-11-05 2024-03-05 The United States Of America As Represented By The Secretary Of The Army Deformable array of semiconductor devices
US11062946B2 (en) 2018-11-08 2021-07-13 International Business Machines Corporation Self-aligned contact on a semiconductor device
CN111509088B (zh) * 2018-11-15 2022-08-26 安徽省华腾农业科技有限公司 薄膜电池的制备方法及薄膜电池
US11482979B2 (en) 2018-12-03 2022-10-25 X Display Company Technology Limited Printing components over substrate post edges
US20210002128A1 (en) 2018-12-03 2021-01-07 X-Celeprint Limited Enclosed cavity structures
US11274035B2 (en) 2019-04-24 2022-03-15 X-Celeprint Limited Overhanging device structures and related methods of manufacture
US10790173B2 (en) 2018-12-03 2020-09-29 X Display Company Technology Limited Printed components on substrate posts
US11528808B2 (en) 2018-12-03 2022-12-13 X Display Company Technology Limited Printing components to substrate posts
US11282786B2 (en) 2018-12-12 2022-03-22 X Display Company Technology Limited Laser-formed interconnects for redundant devices
WO2020132559A1 (en) * 2018-12-21 2020-06-25 The Johns Hopkins University Melanin based bio-composites for 3d printing
US11483937B2 (en) 2018-12-28 2022-10-25 X Display Company Technology Limited Methods of making printed structures
EP3682941B1 (en) * 2019-01-18 2021-11-10 Ecole Polytechnique Federale De Lausanne (EPFL) EPFL-TTO Biomedical device comprising a mechanically adaptive member
US11251139B2 (en) 2019-01-22 2022-02-15 X-Celeprint Limited Secure integrated-circuit systems
US11322460B2 (en) 2019-01-22 2022-05-03 X-Celeprint Limited Secure integrated-circuit systems
WO2020159856A1 (en) * 2019-01-28 2020-08-06 Amerasia International Technology, Inc. Semiconductor wafer processing system and method
US11360263B2 (en) 2019-01-31 2022-06-14 Skorpios Technologies. Inc. Self-aligned spot size converter
US11088121B2 (en) 2019-02-13 2021-08-10 X Display Company Technology Limited Printed LED arrays with large-scale uniformity
US10748793B1 (en) 2019-02-13 2020-08-18 X Display Company Technology Limited Printing component arrays with different orientations
US11398399B2 (en) 2019-03-08 2022-07-26 X Display Company Technology Limited Components with backside adhesive layers
US11805597B2 (en) * 2019-03-12 2023-10-31 Carnegie Mellon University Liquid metal circuits and methods of making the same
US11164934B2 (en) 2019-03-12 2021-11-02 X Display Company Technology Limited Tiled displays with black-matrix support screens
US11094870B2 (en) 2019-03-12 2021-08-17 X Display Company Technology Limited Surface-mountable pixel packages and pixel engines
GB201907270D0 (en) 2019-03-14 2019-07-10 Imp College Innovations Ltd Component for a stretchable electronic device
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10714374B1 (en) 2019-05-09 2020-07-14 X Display Company Technology Limited High-precision printed structures
EP3966900A1 (en) 2019-05-10 2022-03-16 3M Innovative Properties Company Removable electrical connectors and devices
US11295982B2 (en) 2019-06-11 2022-04-05 International Business Machines Corporation Forming ultra-thin chips for flexible electronics applications
US11488943B2 (en) 2019-06-14 2022-11-01 X Display Company Technology Limited Modules with integrated circuits and devices
US10944027B2 (en) 2019-06-14 2021-03-09 X Display Company Technology Limited Pixel modules with controllers and light emitters
DE102019118270B4 (de) 2019-07-05 2021-10-07 X-Fab Semiconductor Foundries Gmbh Verfahren zur Herstellung von Halbleiterbauelementen zur Ausbeutesteigerung beim Mikrotransferdruck
US11652082B2 (en) 2019-08-05 2023-05-16 X Display Company Technology Limited Particle capture using transfer stamp
US11101417B2 (en) 2019-08-06 2021-08-24 X Display Company Technology Limited Structures and methods for electrically connecting printed components
EP4022674A2 (en) 2019-08-26 2022-07-06 X-Celeprint Limited Variable stiffness modules
US11637540B2 (en) 2019-10-30 2023-04-25 X-Celeprint Limited Non-linear tethers for suspended devices
US11626856B2 (en) 2019-10-30 2023-04-11 X-Celeprint Limited Non-linear tethers for suspended devices
US11127889B2 (en) 2019-10-30 2021-09-21 X Display Company Technology Limited Displays with unpatterned layers of light-absorbing material
US11869880B2 (en) 2019-11-05 2024-01-09 Samsung Electronics Co., Ltd. Method of transferring micro-light emitting diode for LED display
DE102020107288A1 (de) * 2019-12-10 2021-06-10 X-Fab Semiconductor Foundries Gmbh Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
US11062936B1 (en) 2019-12-19 2021-07-13 X Display Company Technology Limited Transfer stamps with multiple separate pedestals
US11315909B2 (en) 2019-12-20 2022-04-26 X Display Company Technology Limited Displays with embedded light emitters
KR102257552B1 (ko) * 2019-12-24 2021-05-27 한국세라믹기술원 다중 팁 기반 패턴 인쇄 장치의 제조방법
US11037912B1 (en) 2020-01-31 2021-06-15 X Display Company Technology Limited LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel
US11387178B2 (en) 2020-03-06 2022-07-12 X-Celeprint Limited Printable 3D electronic components and structures
US11464451B1 (en) 2020-03-11 2022-10-11 Huxley Medical, Inc. Patch for improved biometric data capture and related processes
US10963607B1 (en) * 2020-03-16 2021-03-30 Ansys, Inc. Determining mechanical reliability of electronic packages assembled with thermal pads
US11850874B2 (en) * 2020-03-30 2023-12-26 X Display Company Technology Limited Micro-transfer printing stamps and components
WO2021219833A2 (en) 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia and piezoelectric power components usable in such documents
WO2021224284A1 (en) 2020-05-05 2021-11-11 X-Celeprint Limited Enclosed cavity structures
US11088007B1 (en) 2020-05-07 2021-08-10 X-Celeprint Limited Component tethers with spacers
US11495561B2 (en) 2020-05-11 2022-11-08 X Display Company Technology Limited Multilayer electrical conductors for transfer printing
US11538849B2 (en) 2020-05-28 2022-12-27 X Display Company Technology Limited Multi-LED structures with reduced circuitry
US11088093B1 (en) 2020-05-28 2021-08-10 X-Celeprint Limited Micro-component anti-stiction structures
US11777065B2 (en) 2020-05-29 2023-10-03 X Display Company Technology Limited White-light-emitting LED structures
US11353381B1 (en) * 2020-06-09 2022-06-07 Applied Materials, Inc. Portable disc to measure chemical gas contaminants within semiconductor equipment and clean room
CN113873757B (zh) * 2020-06-30 2023-04-04 联策科技股份有限公司 可挠性基板水平湿制程方法
US11316086B2 (en) 2020-07-10 2022-04-26 X Display Company Technology Limited Printed structures with electrical contact having reflowable polymer core
US11282439B2 (en) 2020-07-16 2022-03-22 X Display Company Technology Limited Analog pulse-width-modulation control circuits
US11742450B2 (en) 2020-08-31 2023-08-29 X Display Company Technology Limited Hybrid electro-optically controlled matrix-addressed systems
US11952266B2 (en) 2020-10-08 2024-04-09 X-Celeprint Limited Micro-device structures with etch holes
US11488518B2 (en) 2020-10-19 2022-11-01 X Display Company Technology Limited Pixel group and column token display architectures
US11495172B2 (en) 2020-10-19 2022-11-08 X Display Company Technology Limited Pixel group and column token display architectures
US20230407498A1 (en) * 2020-10-27 2023-12-21 The Regents Of The University Of Michigan Water splitting device protection
US11152395B1 (en) 2020-11-12 2021-10-19 X-Celeprint Limited Monolithic multi-FETs
US11588075B2 (en) 2020-11-24 2023-02-21 X Display Company Technology Limited Displays with interpolated pixels
US11490519B2 (en) 2021-01-11 2022-11-01 X-Celeprint Limited Printed stacked micro-devices
US11328744B1 (en) 2021-01-29 2022-05-10 Seagate Technology Llc On-wafer integrated laser for heat-assisted magnetic recording
KR20220122880A (ko) * 2021-02-26 2022-09-05 삼성전자주식회사 연신 센서 및 그 제조 방법과 웨어러블 기기
US11894399B2 (en) 2021-03-02 2024-02-06 Wisconsin Alumni Research Foundation Compact hyperspectral spectrometers based on semiconductor nanomembranes
US11430375B1 (en) 2021-03-19 2022-08-30 X Display Company Technology Limited Pulse-density-modulation pixel control circuits and devices including them
US11660005B1 (en) 2021-06-04 2023-05-30 Huxley Medical, Inc. Processing and analyzing biometric data
US11386826B1 (en) 2021-06-22 2022-07-12 X Display Company Technology Limited Flat-panel pixel arrays with signal regeneration
US11568796B1 (en) 2021-07-29 2023-01-31 X Display Company Technology Limited Displays with current-controlled pixel clusters
WO2023016625A1 (en) 2021-08-09 2023-02-16 X-Celeprint Limited Integrated-circuit module collection and deposition
US11862607B2 (en) 2021-08-16 2024-01-02 Micron Technology, Inc. Composite dielectric structures for semiconductor die assemblies and associated systems and methods
CN113905561B (zh) * 2021-10-11 2023-02-24 Oppo广东移动通信有限公司 具有纹理图案的壳体及其加工方法、电子设备
WO2023115191A1 (en) * 2021-12-20 2023-06-29 University Of Ottawa Polymer capacitive sensors and methods of uses thereof
US11592933B1 (en) 2022-01-07 2023-02-28 X Display Company Technology Limited Displays with integrated touch screens
KR102635505B1 (ko) * 2022-02-16 2024-02-07 연세대학교 산학협력단 3차원 구조 기반의 신축성 디스플레이 및 그 제조 방법
US11906133B2 (en) 2022-03-31 2024-02-20 Alliance Sports Group, L.P. Outdoor lighting apparatus
US11568803B1 (en) 2022-04-27 2023-01-31 X Display Company Technology Limited Multi-row buffering for active-matrix cluster displays
WO2023217637A1 (en) 2022-05-09 2023-11-16 X-Celeprint Limited High-precision printed structures and methods of making
CN115320271B (zh) * 2022-08-04 2023-06-16 中国科学院上海微系统与信息技术研究所 一种半导体薄膜的转移印刷方法

Family Cites Families (472)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3743148A (en) * 1971-03-08 1973-07-03 H Carlson Wafer breaker
US4058418A (en) 1974-04-01 1977-11-15 Solarex Corporation Fabrication of thin film solar cells utilizing epitaxial deposition onto a liquid surface to obtain lateral growth
US3949410A (en) * 1975-01-23 1976-04-06 International Business Machines Corporation Jet nozzle structure for electrohydrodynamic droplet formation and ink jet printing system therewith
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
US4487162A (en) 1980-11-25 1984-12-11 Cann Gordon L Magnetoplasmadynamic apparatus for the separation and deposition of materials
US4392451A (en) 1980-12-31 1983-07-12 The Boeing Company Apparatus for forming thin-film heterojunction solar cells employing materials selected from the class of I-III-VI2 chalcopyrite compounds
US4761335A (en) * 1985-03-07 1988-08-02 National Starch And Chemical Corporation Alpha-particle protection of semiconductor devices
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4663828A (en) * 1985-10-11 1987-05-12 Energy Conversion Devices, Inc. Process and apparatus for continuous production of lightweight arrays of photovoltaic cells
US4766670A (en) * 1987-02-02 1988-08-30 International Business Machines Corporation Full panel electronic packaging structure and method of making same
CA1292572C (en) 1988-10-25 1991-11-26 Fernando C. Lebron Cardiac mapping system simulator
US5178957A (en) 1989-05-02 1993-01-12 Minnesota Mining And Manufacturing Company Noble metal-polymer composites and flexible thin-film conductors prepared therefrom
US5086785A (en) 1989-08-10 1992-02-11 Abrams/Gentille Entertainment Inc. Angular displacement sensors
JPH03220787A (ja) 1990-01-26 1991-09-27 Yazaki Corp フレキシブル回路体とその製造方法
US5118400A (en) 1990-01-29 1992-06-02 Spire Corporation Method of making biocompatible electrodes
US5108819A (en) 1990-02-14 1992-04-28 Eli Lilly And Company Thin film electrical component
EP0455067B1 (de) 1990-05-03 2003-02-26 F. Hoffmann-La Roche Ag Mikrooptischer Sensor
US5206749A (en) * 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5300788A (en) 1991-01-18 1994-04-05 Kopin Corporation Light emitting diode bars and arrays and method of making same
US5475514A (en) * 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5204144A (en) * 1991-05-10 1993-04-20 Celestech, Inc. Method for plasma deposition on apertured substrates
US5246003A (en) 1991-08-28 1993-09-21 Nellcor Incorporated Disposable pulse oximeter sensor
JPH06118441A (ja) * 1991-11-05 1994-04-28 Tadanobu Kato 表示セル
US5313094A (en) * 1992-01-28 1994-05-17 International Business Machines Corportion Thermal dissipation of integrated circuits using diamond paths
US5465009A (en) 1992-04-08 1995-11-07 Georgia Tech Research Corporation Processes and apparatus for lift-off and bonding of materials and devices
US5687737A (en) 1992-10-09 1997-11-18 Washington University Computerized three-dimensional cardiac mapping with interactive visual displays
JPH06163365A (ja) 1992-11-25 1994-06-10 Nec Corp 半導体装置の製造方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
TW253999B (ko) * 1993-06-30 1995-08-11 Hitachi Cable
US6687346B1 (en) * 1993-08-25 2004-02-03 Symbol Technologies, Inc. Cellular telephone for acquiring data encoded in bar code indicia
US5793107A (en) * 1993-10-29 1998-08-11 Vlsi Technology, Inc. Polysilicon pillar heat sinks for semiconductor on insulator circuits
US5822436A (en) * 1996-04-25 1998-10-13 Digimarc Corporation Photographic products and methods employing embedded information
US5427096A (en) * 1993-11-19 1995-06-27 Cmc Assemblers, Inc. Water-degradable electrode
US5824186A (en) * 1993-12-17 1998-10-20 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US6864570B2 (en) 1993-12-17 2005-03-08 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US5904545A (en) * 1993-12-17 1999-05-18 The Regents Of The University Of California Apparatus for fabricating self-assembling microstructures
US5545291A (en) 1993-12-17 1996-08-13 The Regents Of The University Of California Method for fabricating self-assembling microstructures
US5514242A (en) 1993-12-30 1996-05-07 Saint Gobain/Norton Industrial Ceramics Corporation Method of forming a heat-sinked electronic component
EP0676814B1 (en) * 1994-04-06 2006-03-22 Denso Corporation Process of producing trench semiconductor device
US5434751A (en) 1994-04-11 1995-07-18 Martin Marietta Corporation Reworkable high density interconnect structure incorporating a release layer
US5753529A (en) * 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5525815A (en) * 1994-10-03 1996-06-11 General Electric Company Diamond film structure with high thermal conductivity
US5767578A (en) * 1994-10-12 1998-06-16 Siliconix Incorporated Surface mount and flip chip technology with diamond film passivation for total integated circuit isolation
US5625471A (en) 1994-11-02 1997-04-29 Litel Instruments Dual plate holographic imaging technique and masks
US5686697A (en) 1995-01-06 1997-11-11 Metatech Corporation Electrical circuit suspension system
US5917534A (en) 1995-06-29 1999-06-29 Eastman Kodak Company Light-emitting diode arrays with integrated photodetectors formed as a monolithic device and methods and apparatus for using same
US6639578B1 (en) 1995-07-20 2003-10-28 E Ink Corporation Flexible displays
US6459418B1 (en) 1995-07-20 2002-10-01 E Ink Corporation Displays combining active and non-active inks
US6505160B1 (en) * 1995-07-27 2003-01-07 Digimarc Corporation Connected audio and other media objects
GB9611437D0 (en) 1995-08-03 1996-08-07 Secr Defence Biomaterial
JP3372258B2 (ja) 1995-08-04 2003-01-27 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン リソグラフィ・プロセス用のスタンプ
US6518168B1 (en) 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5822432A (en) * 1996-01-17 1998-10-13 The Dice Company Method for human-assisted random key generation and application for digital watermark system
GB9601289D0 (en) 1996-01-23 1996-03-27 Nimbus Manufacturing Uk Limite Manufacture of optical data storage disc
US5790151A (en) 1996-03-27 1998-08-04 Imaging Technology International Corp. Ink jet printhead and method of making
US6784023B2 (en) * 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
US5889868A (en) * 1996-07-02 1999-03-30 The Dice Company Optimization methods for the insertion, protection, and detection of digital watermarks in digitized data
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
JP4619462B2 (ja) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 薄膜素子の転写方法
US6096155A (en) 1996-09-27 2000-08-01 Digital Optics Corporation Method of dicing wafer level integrated multiple optical elements
US6482673B2 (en) 1996-10-17 2002-11-19 Seiko Epson Corporation Semiconductor device, method of making the same, circuit board, flexible substrate, and method of making substrate
DE19643550A1 (de) 1996-10-24 1998-05-14 Leybold Systems Gmbh Lichttransparentes, Wärmestrahlung reflektierendes Schichtensystem
US5691245A (en) 1996-10-28 1997-11-25 He Holdings, Inc. Methods of forming two-sided HDMI interconnect structures
US6386453B1 (en) * 1996-11-25 2002-05-14 Metrologic Instruments, Inc. System and method for carrying out information-related transactions
SG67458A1 (en) * 1996-12-18 1999-09-21 Canon Kk Process for producing semiconductor article
DE69738307T2 (de) * 1996-12-27 2008-10-02 Canon K.K. Herstellungsverfahren eines Halbleiter-Bauelements und Herstellungsverfahren einer Solarzelle
US6980196B1 (en) 1997-03-18 2005-12-27 Massachusetts Institute Of Technology Printable electronic display
US6059812A (en) 1997-03-21 2000-05-09 Schneider (Usa) Inc. Self-expanding medical device for centering radioactive treatment sources in body vessels
US5998291A (en) 1997-04-07 1999-12-07 Raytheon Company Attachment method for assembly of high density multiple interconnect structures
AUPO662497A0 (en) 1997-05-05 1997-05-29 Cardiac Crc Nominees Pty Limited An epicardial electrode array
US6381698B1 (en) * 1997-05-21 2002-04-30 At&T Corp System and method for providing assurance to a host that a piece of software possesses a particular property
US5907189A (en) * 1997-05-29 1999-05-25 Lsi Logic Corporation Conformal diamond coating for thermal improvement of electronic packages
JPH1126344A (ja) 1997-06-30 1999-01-29 Hitachi Ltd パターン形成方法及び装置並びに半導体装置の製造方法
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
DE19829309B4 (de) 1997-07-04 2008-02-07 Fuji Electric Co., Ltd., Kawasaki Verfahren zur Herstellung eines thermischen Oxidfilms auf Siliciumcarbid
US6024702A (en) 1997-09-03 2000-02-15 Pmt Corporation Implantable electrode manufactured with flexible printed circuit
US5928001A (en) 1997-09-08 1999-07-27 Motorola, Inc. Surface mountable flexible interconnect
FR2769640B1 (fr) * 1997-10-15 1999-12-17 Sgs Thomson Microelectronics Amelioration de la resistance mecanique d'une tranche de silicium monocristallin
DE19748173A1 (de) 1997-10-31 1999-05-06 Ahlers Horst Dr Ing Habil Elektronikbauelemente einschließlich Sensoren
US6171730B1 (en) 1997-11-07 2001-01-09 Canon Kabushiki Kaisha Exposure method and exposure apparatus
JP3406207B2 (ja) * 1997-11-12 2003-05-12 シャープ株式会社 表示用トランジスタアレイパネルの形成方法
US6037984A (en) * 1997-12-24 2000-03-14 Sarnoff Corporation Method and apparatus for embedding a watermark into a digital image or image sequence
US6393060B1 (en) * 1997-12-31 2002-05-21 Lg Electronics Inc. Video coding and decoding method and its apparatus
JP3219043B2 (ja) 1998-01-07 2001-10-15 日本電気株式会社 半導体装置のパッケージ方法および半導体装置
US5955781A (en) 1998-01-13 1999-09-21 International Business Machines Corporation Embedded thermal conductors for semiconductor chips
US6513118B1 (en) * 1998-01-27 2003-01-28 Canon Kabushiki Kaisha Electronic watermarking method, electronic information distribution system, image filing apparatus and storage medium therefor
JPH11232286A (ja) * 1998-02-12 1999-08-27 Hitachi Ltd 情報検索システム
GB9805214D0 (en) 1998-03-11 1998-05-06 Univ Glasgow Cell adhesion
US6316283B1 (en) 1998-03-25 2001-11-13 Asulab Sa Batch manufacturing method for photovoltaic cells
JP3176580B2 (ja) 1998-04-09 2001-06-18 太陽誘電株式会社 電子部品の実装方法及び実装装置
US6557103B1 (en) * 1998-04-13 2003-04-29 The United States Of America As Represented By The Secretary Of The Army Spread spectrum image steganography
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
KR100275730B1 (ko) 1998-05-11 2000-12-15 윤종용 트렌치 소자분리 방법
DE69925243T2 (de) * 1998-10-08 2006-02-23 Matsushita Electric Industrial Co., Ltd., Kadoma Datenprozessor und datenspeichermedium
US6209094B1 (en) * 1998-10-14 2001-03-27 Liquid Audio Inc. Robust watermark method and apparatus for digital signals
EP1064652A1 (en) 1998-11-16 2001-01-03 Cambridge Scientific, Inc. Biopolymer-based holographic optical element
US6097984A (en) 1998-11-25 2000-08-01 Medtronic, Inc. System and method of stimulation for treating gastro-esophageal reflux disease
US6563935B1 (en) * 1998-12-02 2003-05-13 Hitachi, Ltd. Method of extracting digital watermark information and method of judging bit value of digital watermark information
US6236883B1 (en) 1999-02-03 2001-05-22 The Trustees Of Columbia University In The City Of New York Methods and systems for localizing reentrant circuits from electrogram features
US6274508B1 (en) 1999-02-05 2001-08-14 Alien Technology Corporation Apparatuses and methods used in forming assemblies
EP1157421A1 (en) * 1999-02-05 2001-11-28 Alien Technology Corporation Apparatuses and methods for forming assemblies
US6683663B1 (en) * 1999-02-05 2004-01-27 Alien Technology Corporation Web fabrication of devices
US6555408B1 (en) 1999-02-05 2003-04-29 Alien Technology Corporation Methods for transferring elements from a template to a substrate
US6281038B1 (en) 1999-02-05 2001-08-28 Alien Technology Corporation Methods for forming assemblies
US6850312B2 (en) * 1999-03-16 2005-02-01 Alien Technology Corporation Apparatuses and methods for flexible displays
US6380729B1 (en) * 1999-02-16 2002-04-30 Alien Technology Corporation Testing integrated circuit dice
US6606079B1 (en) * 1999-02-16 2003-08-12 Alien Technology Corporation Pixel integrated circuit
US6291896B1 (en) * 1999-02-16 2001-09-18 Alien Technology Corporation Functionally symmetric integrated circuit die
US6752505B2 (en) 1999-02-23 2004-06-22 Solid State Opto Limited Light redirecting films and film systems
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6468638B2 (en) * 1999-03-16 2002-10-22 Alien Technology Corporation Web process interconnect in electronic assemblies
US6316278B1 (en) 1999-03-16 2001-11-13 Alien Technology Corporation Methods for fabricating a multiple modular assembly
KR100434537B1 (ko) 1999-03-31 2004-06-05 삼성전자주식회사 다공질 실리콘 혹은 다공질 산화 실리콘을 이용한 두꺼운 희생층을 가진 다층 구조 웨이퍼 및 그 제조방법
US6433401B1 (en) 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
EE04249B1 (et) 1999-04-21 2004-02-16 Asper O� Meetod biopolümeermaatriksi lugemiseks ja fluorestsentsdetektor
US6276775B1 (en) 1999-04-29 2001-08-21 Hewlett-Packard Company Variable drop mass inkjet drop generator
US6225149B1 (en) * 1999-05-03 2001-05-01 Feng Yuan Gan Methods to fabricate thin film transistors and circuits
US6150602A (en) 1999-05-25 2000-11-21 Hughes Electronics Corporation Large area solar cell extended life interconnect
JP3447619B2 (ja) * 1999-06-25 2003-09-16 株式会社東芝 アクティブマトリクス基板の製造方法、中間転写基板
WO2001008242A1 (en) 1999-07-21 2001-02-01 E Ink Corporation Preferred methods for producing electrical circuit elements used to control an electronic display
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
WO2001031082A1 (en) 1999-10-28 2001-05-03 P1 Diamond, Inc. Improved diamond thermal management components
US6479395B1 (en) 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
US6420266B1 (en) * 1999-11-02 2002-07-16 Alien Technology Corporation Methods for creating elements of predetermined shape and apparatuses using these elements
US6527964B1 (en) * 1999-11-02 2003-03-04 Alien Technology Corporation Methods and apparatuses for improved flow in performing fluidic self assembly
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
US6451191B1 (en) 1999-11-18 2002-09-17 3M Innovative Properties Company Film based addressable programmable electronic matrix articles and methods of manufacturing and using the same
JP4104800B2 (ja) * 1999-12-08 2008-06-18 三菱電機株式会社 液晶表示装置およびtftパネル
US6385329B1 (en) * 2000-02-14 2002-05-07 Digimarc Corporation Wavelet domain watermarks
WO2001066833A1 (en) 2000-03-06 2001-09-13 University Of Connecticut Apparatus and method for fabrication of photonic crystals
JP4360015B2 (ja) * 2000-03-17 2009-11-11 セイコーエプソン株式会社 有機el表示体の製造方法、半導体素子の配置方法、半導体装置の製造方法
JP2002057882A (ja) * 2000-04-21 2002-02-22 Sony Corp 情報埋め込み装置及び情報埋め込み方法、情報処理装置及び情報処理方法、コンテンツ処理装置及びコンテンツ処理方法、監視装置及び監視方法、並びに、記憶媒体
KR20010097834A (ko) * 2000-04-26 2001-11-08 이영아 암호화된 알고리즘을 이용하여 실시간으로디지털워터마킹을 하는 시스템 및 그 방법
JP4489247B2 (ja) * 2000-05-18 2010-06-23 沖電気工業株式会社 ディジタルコンテンツの配布システムおよび配布方法。
US6521542B1 (en) * 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
US6787052B1 (en) 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
JP2004501405A (ja) 2000-06-22 2004-01-15 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 光学イメージを形成する方法、この方法に用いるマスク、この方法を用いてデバイスを製造する方法、およびこの方法を遂行するための装置
US6403397B1 (en) * 2000-06-28 2002-06-11 Agere Systems Guardian Corp. Process for fabricating organic semiconductor device involving selective patterning
EP1297387A2 (en) 2000-06-30 2003-04-02 President And Fellows of Harvard College Electric microcontact printing method and apparatus
US6723576B2 (en) * 2000-06-30 2004-04-20 Seiko Epson Corporation Disposing method for semiconductor elements
JP4120184B2 (ja) 2000-06-30 2008-07-16 セイコーエプソン株式会社 実装用微小構造体および光伝送装置
CN1468385A (zh) * 2000-07-21 2004-01-14 �Ƹ��� 表面等离子体偏振子带隙结构
DE10037715A1 (de) 2000-08-02 2002-02-14 Endress Hauser Gmbh Co Vorrichtung zur Messung des Füllstands eines Füllguts in einem Behälter
JP3501218B2 (ja) * 2000-08-11 2004-03-02 日本電気株式会社 フラットパネル表示モジュール及びその製造方法
KR100984585B1 (ko) 2000-08-22 2010-09-30 프레지던트 앤드 펠로우즈 오브 하버드 칼리지 반도체 성장 방법 및 디바이스 제조 방법
US6780696B1 (en) 2000-09-12 2004-08-24 Alien Technology Corporation Method and apparatus for self-assembly of functional blocks on a substrate facilitated by electrode pairs
JP2002092984A (ja) 2000-09-18 2002-03-29 Hitachi Maxell Ltd スタンパ及びその製造方法、並びにプラスチック基板
US6980184B1 (en) 2000-09-27 2005-12-27 Alien Technology Corporation Display devices and integrated circuits
JP4491948B2 (ja) * 2000-10-06 2010-06-30 ソニー株式会社 素子実装方法および画像表示装置の製造方法
US6814898B1 (en) 2000-10-17 2004-11-09 Seagate Technology Llc Imprint lithography utilizing room temperature embossing
GB2385975B (en) 2000-11-21 2004-10-13 Avery Dennison Corp Display device and methods of manufacture and control
AU2002219895A1 (en) * 2000-11-27 2002-06-03 Kopin Corporation Bipolar transistor with lattice matched base layer
US6743982B2 (en) 2000-11-29 2004-06-01 Xerox Corporation Stretchable interconnects using stress gradient films
GB0029312D0 (en) 2000-12-01 2001-01-17 Philips Corp Intellectual Pty Flexible electronic device
US6608360B2 (en) 2000-12-15 2003-08-19 University Of Houston One-chip micro-integrated optoelectronic sensor
US20070031607A1 (en) * 2000-12-19 2007-02-08 Alexander Dubson Method and apparatus for coating medical implants
US6666821B2 (en) 2001-01-08 2003-12-23 Medtronic, Inc. Sensor system
US6277711B1 (en) 2001-01-08 2001-08-21 Jiahn-Chang Wu Semiconductor matrix formation
CA2432438C (en) 2001-01-09 2011-04-26 Microchips, Inc. Flexible microchip devices for ophthalmic and other applications
US6655286B2 (en) 2001-01-19 2003-12-02 Lucent Technologies Inc. Method for preventing distortions in a flexibly transferred feature pattern
JP2002217391A (ja) * 2001-01-23 2002-08-02 Seiko Epson Corp 積層体の製造方法及び半導体装置
US20020110766A1 (en) 2001-02-09 2002-08-15 Industrial Technology Research Institute Process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array
JP3665579B2 (ja) * 2001-02-26 2005-06-29 ソニーケミカル株式会社 電気装置製造方法
CN1282026C (zh) 2001-03-06 2006-10-25 皇家菲利浦电子有限公司 显示器装置
JP2002268585A (ja) 2001-03-08 2002-09-20 Matsushita Electric Ind Co Ltd アクティブマトリクス基板およびその製造方法
CA2451882A1 (en) 2001-03-14 2002-09-19 University Of Massachusetts Nanofabrication
US6417025B1 (en) * 2001-04-02 2002-07-09 Alien Technology Corporation Integrated circuit packages assembled utilizing fluidic self-assembly
US6667548B2 (en) 2001-04-06 2003-12-23 Intel Corporation Diamond heat spreading and cooling technique for integrated circuits
US7232460B2 (en) 2001-04-25 2007-06-19 Xillus, Inc. Nanodevices, microdevices and sensors on in-vivo structures and method for the same
US6864435B2 (en) * 2001-04-25 2005-03-08 Alien Technology Corporation Electrical contacts for flexible displays
EP1386358A1 (en) * 2001-04-26 2004-02-04 Koninklijke Philips Electronics N.V. Organic electroluminescent device and a method of manufacturing thereof
WO2002092778A2 (en) 2001-05-17 2002-11-21 The Board Of Trustees Of The Leland Stanford Junior University Device and method for three-dimensional spatial localization and functional interconnection of different types of cells
US6606247B2 (en) 2001-05-31 2003-08-12 Alien Technology Corporation Multi-feature-size electronic structures
US6988667B2 (en) 2001-05-31 2006-01-24 Alien Technology Corporation Methods and apparatuses to identify devices
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
EP1402051A4 (en) 2001-06-05 2005-08-17 Exelixis Inc LCE AS MODIFIERS OF THE P53 PATHWAY AND METHOD OF USE
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US20030006527A1 (en) * 2001-06-22 2003-01-09 Rabolt John F. Method of fabricating micron-and submicron-scale elastomeric templates for surface patterning
US6984934B2 (en) * 2001-07-10 2006-01-10 The Trustees Of Princeton University Micro-lens arrays for display intensity enhancement
US6657289B1 (en) 2001-07-13 2003-12-02 Alien Technology Corporation Apparatus relating to block configurations and fluidic self-assembly processes
US6590346B1 (en) * 2001-07-16 2003-07-08 Alien Technology Corporation Double-metal background driven displays
US6856830B2 (en) 2001-07-19 2005-02-15 Bin He Method and apparatus of three dimension electrocardiographic imaging
US6917061B2 (en) 2001-07-20 2005-07-12 Microlink Devices, Inc. AlGaAs or InGaP low turn-on voltage GaAs-based heterojunction bipolar transistor
US6661037B2 (en) 2001-07-20 2003-12-09 Microlink Devices, Inc. Low emitter resistance contacts to GaAs high speed HBT
US6784450B2 (en) 2001-07-20 2004-08-31 Microlink Devices, Inc. Graded base GaAsSb for high speed GaAs HBT
WO2003010825A1 (en) * 2001-07-24 2003-02-06 Seiko Epson Corporation Transfer method, method of manufacturing thin film element, method of manufacturing integrated circuit, circuit substrate and method of manufacturing the circuit substrate, electro-optic device and method of manufacturing the electro-optic device, and ic card and electronic equipmen
JP2003142666A (ja) * 2001-07-24 2003-05-16 Seiko Epson Corp 素子の転写方法、素子の製造方法、集積回路、回路基板、電気光学装置、icカード、及び電子機器
US6706402B2 (en) * 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
JP5057619B2 (ja) * 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6949199B1 (en) 2001-08-16 2005-09-27 Seagate Technology Llc Heat-transfer-stamp process for thermal imprint lithography
US6863219B1 (en) 2001-08-17 2005-03-08 Alien Technology Corporation Apparatuses and methods for forming electronic assemblies
US6731353B1 (en) * 2001-08-17 2004-05-04 Alien Technology Corporation Method and apparatus for transferring blocks
JP2003077940A (ja) * 2001-09-06 2003-03-14 Sony Corp 素子の転写方法及びこれを用いた素子の配列方法、画像表示装置の製造方法
AUPR795401A0 (en) 2001-09-28 2001-10-18 University Of Queensland, The Components based on melanin and melanin-like bio-molecules and processes for their production
US7193504B2 (en) 2001-10-09 2007-03-20 Alien Technology Corporation Methods and apparatuses for identification
US6936181B2 (en) 2001-10-11 2005-08-30 Kovio, Inc. Methods for patterning using liquid embossing
US6724914B2 (en) * 2001-10-16 2004-04-20 Digimarc Corporation Progressive watermark decoding on a distributed computing platform
JP3907439B2 (ja) * 2001-10-26 2007-04-18 キヤノン株式会社 携帯端末システム及び携帯端末及び画像処理装置及びその動作方法
TW594947B (en) * 2001-10-30 2004-06-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP2003229548A (ja) * 2001-11-30 2003-08-15 Semiconductor Energy Lab Co Ltd 乗物、表示装置、および半導体装置の作製方法
JP4301950B2 (ja) 2001-12-04 2009-07-22 オリジン エナジー ソーラー ピーティーワイ リミテッド 太陽電池用の薄いシリコンシートを製造する方法
US6844673B1 (en) * 2001-12-06 2005-01-18 Alien Technology Corporation Split-fabrication for light emitting display structures
JP2003197881A (ja) 2001-12-27 2003-07-11 Seiko Epson Corp 半導体集積回路、半導体集積回路の製造方法、半導体素子部材、電気光学装置、電子機器
JP3956697B2 (ja) * 2001-12-28 2007-08-08 セイコーエプソン株式会社 半導体集積回路の製造方法
JP4567941B2 (ja) * 2001-12-28 2010-10-27 株式会社半導体エネルギー研究所 半導体装置の作製方法及び表示装置の作製方法
US6887450B2 (en) * 2002-01-02 2005-05-03 Zyvex Corporation Directional assembly of carbon nanotube strings
CA2474054A1 (en) 2002-01-23 2003-07-31 Alien Technology Corporation Apparatus incorporating small-feature-size and large-feature-size components and method for making same
US6653030B2 (en) 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features
US6608370B1 (en) * 2002-01-28 2003-08-19 Motorola, Inc. Semiconductor wafer having a thin die and tethers and methods of making the same
US20030149456A1 (en) 2002-02-01 2003-08-07 Rottenberg William B. Multi-electrode cardiac lead adapter with multiplexer
US6693384B1 (en) * 2002-02-01 2004-02-17 Alien Technology Corporation Interconnect structure for electronic devices
US20030151118A1 (en) * 2002-02-14 2003-08-14 3M Innovative Properties Company Aperture masks for circuit fabrication
US20030157795A1 (en) * 2002-02-19 2003-08-21 Macronix International Co. Ltd. Self-aligned patterning in dual damascene process
JP3975272B2 (ja) 2002-02-21 2007-09-12 独立行政法人産業技術総合研究所 超微細流体ジェット装置
EP1340622B1 (en) * 2002-03-01 2006-12-13 Dai Nippon Printing Co., Ltd. Thermally transferable image protective sheet, method for protective layer formation, and record produced by said method
EP2015135B1 (en) * 2002-03-07 2012-02-01 Acreo AB Electrochemical device
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
JP3889700B2 (ja) * 2002-03-13 2007-03-07 三井金属鉱業株式会社 Cofフィルムキャリアテープの製造方法
US6950220B2 (en) 2002-03-18 2005-09-27 E Ink Corporation Electro-optic displays, and methods for driving same
JP2003298029A (ja) * 2002-03-28 2003-10-17 Seiko Epson Corp 剥離転写装置、剥離転写方法、半導体装置及びicカード
US7101729B2 (en) * 2002-03-28 2006-09-05 Seiko Epson Corporation Method of manufacturing a semiconductor device having adjoining substrates
JP3980918B2 (ja) 2002-03-28 2007-09-26 株式会社東芝 アクティブマトリクス基板及びその製造方法、表示装置
JP2003298006A (ja) * 2002-03-29 2003-10-17 Seiko Epson Corp 半導体装置および電気光学装置
JP2003297974A (ja) * 2002-03-29 2003-10-17 Seiko Epson Corp 半導体装置、電気光学装置および半導体装置の製造方法
US20040026684A1 (en) 2002-04-02 2004-02-12 Nanosys, Inc. Nanowire heterostructures for encoding information
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
EP1498456A4 (en) 2002-04-22 2009-06-10 Konica Corp ORGANIC SEMICONDUCTOR COMPOSITION, ORGANIC SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD THEREFOR
KR100896167B1 (ko) 2002-04-24 2009-05-11 이 잉크 코포레이션 전자 표시장치
US6946205B2 (en) 2002-04-25 2005-09-20 Matsushita Electric Industrial Co., Ltd. Wiring transfer sheet and method for producing the same, and wiring board and method for producing the same
US7307293B2 (en) 2002-04-29 2007-12-11 Silicon Pipe, Inc. Direct-connect integrated circuit signaling system for bypassing intra-substrate printed circuit signal paths
DE10219120A1 (de) 2002-04-29 2003-11-20 Infineon Technologies Ag Oberflächenfunktionalisierte anorganische Halbleiterpartikel als elektrische Halbleiter für mikroelektronische Anwendungen
JP2003323132A (ja) 2002-04-30 2003-11-14 Sony Corp 薄膜デバイスの製造方法および半導体装置
JP4180833B2 (ja) * 2002-04-30 2008-11-12 株式会社リコー インバータ
JP4052631B2 (ja) 2002-05-17 2008-02-27 株式会社東芝 アクティブマトリクス型表示装置
EP3351376B1 (en) 2002-06-24 2023-04-12 Tufts University Silk biomaterials and methods of use thereof
AU2003253690A1 (en) 2002-06-24 2004-01-06 Massachusetts Institute Of Technology Silk biomaterials and methods of use thereof
US20040136866A1 (en) * 2002-06-27 2004-07-15 Nanosys, Inc. Planar nanowire based sensor elements, devices, systems and methods for using and making same
US7371963B2 (en) 2002-07-31 2008-05-13 Kyocera Corporation Photovoltaic power generation system
US7102524B2 (en) * 2002-08-02 2006-09-05 Symbol Technologies, Inc. Die frame apparatus and method of transferring dies therewith
JP2004071874A (ja) 2002-08-07 2004-03-04 Sharp Corp 半導体装置製造方法および半導体装置
WO2004024407A1 (de) 2002-08-27 2004-03-25 Nanosys Gmbh Verfahren zur hydrophobierung der oberfläche eines porösen substrats unter beibehaltung seiner porosität
EP1537445B1 (en) 2002-09-05 2012-08-01 Nanosys, Inc. Nanocomposites
AU2003298998A1 (en) 2002-09-05 2004-04-08 Nanosys, Inc. Oriented nanostructures and methods of preparing
AU2003279708A1 (en) * 2002-09-05 2004-03-29 Nanosys, Inc. Nanostructure and nanocomposite based compositions and photovoltaic devices
AU2003272275A1 (en) 2002-09-05 2004-03-29 Nanosys, Inc. Organic species that facilitate charge transfer to or from nanostructures
US6887792B2 (en) 2002-09-17 2005-05-03 Hewlett-Packard Development Company, L.P. Embossed mask lithography
EP1563480A4 (en) 2002-09-30 2010-03-03 Nanosys Inc INTEGRATED ADS WITH NANOWIRE TRANSISTORS
JP5336031B2 (ja) * 2002-09-30 2013-11-06 ナノシス・インク. 大面積ナノ可能マクロエレクトロニクス基板およびその使用
US7051945B2 (en) 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
CA2499950A1 (en) 2002-09-30 2004-04-15 Nanosys, Inc. Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
WO2004034025A2 (en) 2002-10-10 2004-04-22 Nanosys, Inc. Nano-chem-fet based biosensors
US20040081384A1 (en) 2002-10-25 2004-04-29 Datesman Aaron M. Multiple-mode planar-waveguide sensor, fabrication materials and techniques
GB0224871D0 (en) 2002-10-25 2002-12-04 Plastic Logic Ltd Self-aligned doping of source-drain contacts
US7067903B2 (en) 2002-11-07 2006-06-27 Kabushiki Kaisha Kobe Seiko Sho Heat spreader and semiconductor device and package using the same
FR2846906B1 (fr) 2002-11-08 2005-08-05 Commissariat Energie Atomique Procede de realisation d'un composant comportant un micro-joint et composant realise par ce procede
US20040200734A1 (en) 2002-12-19 2004-10-14 Co Man Sung Nanotube-based sensors for biomolecules
US7842780B2 (en) 2003-01-07 2010-11-30 Trustees Of Tufts College Silk fibroin materials and use thereof
AU2003900180A0 (en) * 2003-01-16 2003-01-30 Silverbrook Research Pty Ltd Method and apparatus (dam001)
US7190051B2 (en) 2003-01-17 2007-03-13 Second Sight Medical Products, Inc. Chip level hermetic and biocompatible electronics package using SOI wafers
KR101029162B1 (ko) 2003-02-03 2011-04-12 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크를 이용한 패턴 전사 방법
JP4082242B2 (ja) 2003-03-06 2008-04-30 ソニー株式会社 素子転写方法
AU2004269297A1 (en) 2003-03-11 2005-03-10 Nanosys, Inc. Process for producing nanocrystals and nanocrystals produced thereby
US7253735B2 (en) 2003-03-24 2007-08-07 Alien Technology Corporation RFID tags and processes for producing RFID tags
US6855638B2 (en) 2003-03-24 2005-02-15 Union Semiconductor Technology Corporation Process to pattern thick TiW metal layers using uniform and selective etching
US20040189638A1 (en) 2003-03-25 2004-09-30 Frisken Sarah F. Method for converting a two-dimensional distance field to a set of boundary descriptors
US7491892B2 (en) * 2003-03-28 2009-02-17 Princeton University Stretchable and elastic interconnects
US7465678B2 (en) 2003-03-28 2008-12-16 The Trustees Of Princeton University Deformable organic devices
US20050227389A1 (en) 2004-04-13 2005-10-13 Rabin Bhattacharya Deformable organic devices
JP4269748B2 (ja) 2003-04-01 2009-05-27 セイコーエプソン株式会社 表示装置の製造方法
EP1467224A1 (en) 2003-04-07 2004-10-13 CSEM Centre Suisse d'Electronique et de Microtechnique SA Optical proximity detector
JP4698596B2 (ja) 2003-04-10 2011-06-08 タフツ ユニバーシティー 濃縮された水性シルクフィブロイン溶液およびそれらの使用
US7074294B2 (en) 2003-04-17 2006-07-11 Nanosys, Inc. Structures, systems and methods for joining articles and materials and uses therefor
US7056409B2 (en) * 2003-04-17 2006-06-06 Nanosys, Inc. Structures, systems and methods for joining articles and materials and uses therefor
US20050038498A1 (en) 2003-04-17 2005-02-17 Nanosys, Inc. Medical device applications of nanostructured surfaces
JP2004327836A (ja) * 2003-04-25 2004-11-18 Seiko Epson Corp 被転写体の転写方法、被転写体の製造方法、回路基板の製造方法、電気光学装置、及び電子機器
AU2004256392B2 (en) 2003-04-28 2009-10-01 Oned Material Llc Super-hydrophobic surfaces, methods of their construction and uses therefor
US20040211458A1 (en) 2003-04-28 2004-10-28 General Electric Company Tandem photovoltaic cell stacks
TWI427709B (zh) 2003-05-05 2014-02-21 Nanosys Inc 用於增加表面面積之應用的奈米纖維表面
US7803574B2 (en) 2003-05-05 2010-09-28 Nanosys, Inc. Medical device applications of nanostructured surfaces
AU2003902270A0 (en) 2003-05-09 2003-05-29 Origin Energy Solar Pty Ltd Separating and assembling semiconductor strips
US6951173B1 (en) * 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US7244326B2 (en) 2003-05-16 2007-07-17 Alien Technology Corporation Transfer assembly for manufacturing electronic devices
US7265298B2 (en) 2003-05-30 2007-09-04 The Regents Of The University Of California Serpentine and corduroy circuits to enhance the stretchability of a stretchable electronic device
WO2005000483A1 (en) 2003-06-06 2005-01-06 Tufts University Method for forming inorganic coatings
US7494896B2 (en) 2003-06-12 2009-02-24 International Business Machines Corporation Method of forming magnetic random access memory (MRAM) devices on thermally-sensitive substrates using laser transfer
US7033961B1 (en) * 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
TWI273019B (en) 2003-07-25 2007-02-11 Hon Hai Prec Ind Co Ltd A method of transfer pattern
KR101132076B1 (ko) 2003-08-04 2012-04-02 나노시스, 인크. 나노선 복합체 및 나노선 복합체로부터 전자 기판을제조하기 위한 시스템 및 프로세스
EP1665120B1 (en) 2003-08-09 2013-04-17 Alien Technology Corporation Methods and apparatuses to identify devices
US7223609B2 (en) 2003-08-14 2007-05-29 Agilent Technologies, Inc. Arrays for multiplexed surface plasmon resonance detection of biological molecules
JP2005072528A (ja) 2003-08-28 2005-03-17 Shin Etsu Chem Co Ltd 薄層電界効果トランジスター及びその製造方法
KR100541395B1 (ko) * 2003-09-09 2006-01-11 삼성전자주식회사 반도체칩 적층장치, 이것을 이용한 반도체 패키지의제조방법, 그리고 이러한 방법에 의하여 제조된 반도체패키지
US7029951B2 (en) * 2003-09-12 2006-04-18 International Business Machines Corporation Cooling system for a semiconductor device and method of fabricating same
JP2007507101A (ja) 2003-09-24 2007-03-22 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体装置、半導体装置の製造方法、識別ラベル及び情報担体
GB0323286D0 (en) 2003-10-04 2003-11-05 Koninkl Philips Electronics Nv Device and method of making a device having a flexible layer structure
GB0323285D0 (en) 2003-10-04 2003-11-05 Koninkl Philips Electronics Nv Device and method of making a device having a patterned layer on a flexible substrate
US20050082526A1 (en) * 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
DE10349963A1 (de) * 2003-10-24 2005-06-02 Leonhard Kurz Gmbh & Co. Kg Verfahren zur Herstellung einer Folie
WO2005046470A1 (en) * 2003-11-06 2005-05-26 The Regents Of The University Of Colorado, A Body Corporate Shape-memory polymer coated electrodes
DE112004001974T5 (de) 2003-11-11 2006-08-24 Tae Ii Kim Werbefolie mit retroreflektiver Mikroprismenfolie und Verfahren zum Herstellen derselben
WO2005054119A2 (en) 2003-12-01 2005-06-16 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating three-dimensional nanoscale structures
US20050124712A1 (en) 2003-12-05 2005-06-09 3M Innovative Properties Company Process for producing photonic crystals
JP5110766B2 (ja) 2003-12-15 2012-12-26 株式会社半導体エネルギー研究所 薄膜集積回路装置の作製方法及び非接触型薄膜集積回路装置の作製方法
CN1894796B (zh) 2003-12-15 2010-09-01 株式会社半导体能源研究所 薄膜集成电路器件的制造方法和非接触薄膜集成电路器件及其制造方法
US20090198293A1 (en) 2003-12-19 2009-08-06 Lawrence Cauller Microtransponder Array for Implant
KR102005840B1 (ko) 2003-12-19 2019-07-31 더 유니버시티 오브 노쓰 캐롤라이나 엣 채플 힐 소프트 또는 임프린트 리소그래피를 이용하여 분리된 마이크로- 및 나노- 구조를 제작하는 방법
US7632087B2 (en) 2003-12-19 2009-12-15 Wd Media, Inc. Composite stamper for imprint lithography
DE10361940A1 (de) 2003-12-24 2005-07-28 Restate Patent Ag Degradationssteuerung biodegradierbarer Implantate durch Beschichtung
US7132796B2 (en) * 2003-12-30 2006-11-07 Lg.Philips Lcd Co., Ltd Organic electroluminescent device and method of fabricating the same
JP4877870B2 (ja) 2004-01-30 2012-02-15 株式会社半導体エネルギー研究所 半導体装置の製造方法
JP4841807B2 (ja) 2004-02-27 2011-12-21 株式会社半導体エネルギー研究所 薄膜集積回路及び薄型半導体装置
TWI299358B (en) 2004-03-12 2008-08-01 Hon Hai Prec Ind Co Ltd Thermal interface material and method for making same
US7304393B1 (en) * 2004-03-24 2007-12-04 Microtune (Texas), L.P. System and method for coupling internal circuitry of an integrated circuit to the integrated circuit's package pins
US7052924B2 (en) 2004-03-29 2006-05-30 Articulated Technologies, Llc Light active sheet and methods for making the same
KR100880812B1 (ko) 2004-03-29 2009-01-30 아티큘레이티드 테크놀러지스 엘엘씨 롤-투-롤 제조된 광 시트 및 캡슐화된 반도체 회로디바이스들
CN100383213C (zh) 2004-04-02 2008-04-23 清华大学 一种热界面材料及其制造方法
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
EP1742893B1 (en) 2004-04-27 2012-10-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
JP4814873B2 (ja) 2004-04-28 2011-11-16 アイユーシーエフ‐エイチワイユー 可撓性電気光学装置及びその製造方法
JP2005322858A (ja) 2004-05-11 2005-11-17 Shinko Electric Ind Co Ltd 半導体装置の製造方法
US20050261561A1 (en) 2004-05-24 2005-11-24 Christopher W. Jones Blood testing and therapeutic compound delivery system
CN102683391B (zh) 2004-06-04 2015-11-18 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法和设备
US7521292B2 (en) * 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
US7799699B2 (en) * 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7943491B2 (en) 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
WO2005123114A2 (en) 2004-06-11 2005-12-29 Trustees Of Tufts College Silk-based drug delivery system
US7629691B2 (en) 2004-06-16 2009-12-08 Honeywell International Inc. Conductor geometry for electronic circuits fabricated on flexible substrates
US7425523B2 (en) 2004-07-05 2008-09-16 Dai Nippon Printing Co., Ltd. Thermal transfer recording material and thermal transfer recording method
US7320584B1 (en) * 2004-07-07 2008-01-22 Komag, Inc. Die set having sealed compliant member
US7687886B2 (en) * 2004-08-19 2010-03-30 Microlink Devices, Inc. High on-state breakdown heterojunction bipolar transistor
WO2006028996A2 (en) 2004-09-03 2006-03-16 Trustees Of Tufts College Emulsan-alginate microspheres and methods of use thereof
US20060057763A1 (en) * 2004-09-14 2006-03-16 Agency For Science, Technology And Research Method of forming a surface mountable IC and its assembly
WO2006042287A2 (en) 2004-10-12 2006-04-20 Trustees Of Tufts College Method for producing biomaterial scaffolds
US7662545B2 (en) 2004-10-14 2010-02-16 The Board Of Trustees Of The University Of Illinois Decal transfer lithography
US7621044B2 (en) * 2004-10-22 2009-11-24 Formfactor, Inc. Method of manufacturing a resilient contact
WO2006050325A2 (en) 2004-10-29 2006-05-11 Worcester Polytechnic Institute System and method for multi-channel electrophysiologic signal data acquisition
CN101057132B (zh) 2004-11-04 2012-04-18 雷尼绍诊断有限公司 用于增强的拉曼光谱学的金属纳米孔光子晶体
US7695602B2 (en) * 2004-11-12 2010-04-13 Xerox Corporation Systems and methods for transporting particles
JP2006186294A (ja) 2004-12-03 2006-07-13 Toppan Printing Co Ltd 薄膜トランジスタ及びその製造方法
US20060129056A1 (en) 2004-12-10 2006-06-15 Washington University Electrocorticography telemitter
US20060127817A1 (en) * 2004-12-10 2006-06-15 Eastman Kodak Company In-line fabrication of curved surface transistors
US7229901B2 (en) 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
US20060132025A1 (en) 2004-12-22 2006-06-22 Eastman Kodak Company Flexible display designed for minimal mechanical strain
US7960509B2 (en) 2005-01-14 2011-06-14 Trustees Of Tufts College Fibrous protein fusions and use thereof in the formation of advanced organic/inorganic composite materials
US7374968B2 (en) * 2005-01-28 2008-05-20 Hewlett-Packard Development Company, L.P. Method of utilizing a contact printing stamp
US7794742B2 (en) 2005-02-08 2010-09-14 University Of Washington Devices for promoting epithelial cell differentiation and keratinization
WO2006104069A1 (ja) 2005-03-28 2006-10-05 Pioneer Corporation ゲート絶縁膜、有機トランジスタ、有機el表示装置の製造方法、ディスプレイ
US9290579B2 (en) 2005-04-20 2016-03-22 Trustees Of Tufts College Covalently immobilized protein gradients in three-dimensional porous scaffolds
WO2006116030A2 (en) 2005-04-21 2006-11-02 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
EP2089100B1 (en) 2005-04-28 2015-05-27 Second Sight Medical Products, Inc. Flexible circuit electrode array
US8024022B2 (en) 2005-05-25 2011-09-20 Alfred E. Mann Foundation For Scientific Research Hermetically sealed three-dimensional electrode array
WO2006130558A2 (en) 2005-06-01 2006-12-07 The Board Of Trustees Of The University Of Illinois Flexible structures for sensors and electronics
MY152238A (en) 2005-06-02 2014-09-15 Univ Illinois Printable semiconductor structures and related methods of making and assembling
EP1915774B1 (en) 2005-06-02 2015-05-20 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7763353B2 (en) 2005-06-10 2010-07-27 Ut-Battelle, Llc Fabrication of high thermal conductivity arrays of carbon nanotubes and their composites
WO2007000037A1 (en) 2005-06-29 2007-01-04 Mitchell, Richard, J. Bendable high flux led array
US7479404B2 (en) 2005-07-08 2009-01-20 The Board Of Trustees Of The University Of Illinois Photonic crystal biosensor structure and fabrication method
ES2374428T3 (es) 2005-08-02 2012-02-16 Trustees Of Tufts College Métodos para la deposición progresiva de recubrimientos de fibroína de seda.
US20070043416A1 (en) 2005-08-19 2007-02-22 Cardiac Pacemakers, Inc. Implantable electrode array
KR100758699B1 (ko) * 2005-08-29 2007-09-14 재단법인서울대학교산학협력재단 고종횡비 나노구조물 형성방법 및 이를 이용한 미세패턴형성방법
US8005526B2 (en) 2005-08-31 2011-08-23 The Regents Of The University Of Michigan Biologically integrated electrode devices
WO2007056183A1 (en) 2005-11-02 2007-05-18 Second Sight Medical Products, Inc. Implantable microelectronic device and method of manufacture
DE102006008501B3 (de) 2006-02-23 2007-10-25 Albert-Ludwigs-Universität Freiburg Sonde und Verfahren zur Datenübertragung zwischen einem Gehirn und einer Datenverarbeitungsvorrichtung
US7649098B2 (en) 2006-02-24 2010-01-19 Lexicon Pharmaceuticals, Inc. Imidazole-based compounds, compositions comprising them and methods of their use
EP1991723A2 (en) 2006-03-03 2008-11-19 The Board Of Trustees Of The University Of Illinois Methods of making spatially aligned nanotubes and nanotube arrays
WO2007103442A1 (en) 2006-03-06 2007-09-13 Board Of Supervisors Of Louisiana State Universityand Agricultural And Mechanical College Biocompatible scaffolds and adipose-derived stem cells
US20070233208A1 (en) 2006-03-28 2007-10-04 Eastman Kodak Company Light therapy bandage with imbedded emitters
US20070227586A1 (en) 2006-03-31 2007-10-04 Kla-Tencor Technologies Corporation Detection and ablation of localized shunting defects in photovoltaics
WO2008030666A2 (en) 2006-07-25 2008-03-13 The Board Of Trustees Of The University Of Illinois Multispectral plasmonic crystal sensors
DE102006037433B4 (de) 2006-08-09 2010-08-19 Ovd Kinegram Ag Verfahren zur Herstellung eines Mehrschichtkörpers sowie Mehrschichtkörper
TWI378747B (en) 2006-08-18 2012-12-01 Ind Tech Res Inst Flexible electronic assembly
EP3936857B1 (en) 2006-09-01 2023-06-21 Pacific Biosciences Of California, Inc. Substrates, systems and methods for analyzing materials
KR101814683B1 (ko) 2006-09-06 2018-01-05 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 2차원 인장 가능하고 구부릴 수 있는 장치
KR101615255B1 (ko) * 2006-09-20 2016-05-11 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 전사가능한 반도체 구조들, 디바이스들 및 디바이스 컴포넌트들을 만들기 위한 릴리스 방안들
EP1903000B1 (fr) 2006-09-25 2019-09-18 Sorin CRM SAS Composant biocompatible implantable incorporant un élément actif intégré tel qu'un capteur de mesure d'un paramètre physiologique, microsystème électromécanique ou circuit électronique
WO2008118133A2 (en) 2006-09-26 2008-10-02 Trustees Of Tufts College Silk microspheres for encapsulation and controlled release
EP2101975A2 (en) 2006-11-03 2009-09-23 Trustees of Tufts College Biopolymer sensor and method of manufacturing the same
US20100068740A1 (en) 2006-11-03 2010-03-18 Trustees Of Tufts College Microfluidic device with a cylindrical microchannel and a method for fabricating same
JP2010509645A (ja) 2006-11-03 2010-03-25 トラスティーズ オブ タフツ カレッジ ナノパターンが形成されたバイオポリマー光学デバイスおよびその製造方法
WO2008127403A2 (en) 2006-11-03 2008-10-23 Trustees Of Tufts College Biopolymer optofluidic device and method of manufacturing the same
WO2008118211A2 (en) 2006-11-03 2008-10-02 Trustees Of Tufts College Biopolymer photonic crystals and method of manufacturing the same
US7868354B2 (en) 2006-11-08 2011-01-11 Duke University GaN-based nitric oxide sensors and methods of making and using the same
US8975073B2 (en) 2006-11-21 2015-03-10 The Charles Stark Draper Laboratory, Inc. Microfluidic device comprising silk films coupled to form a microchannel
WO2008085904A1 (en) 2007-01-05 2008-07-17 Charles Stark Draper Laboratory, Inc. Biodegradable electronic devices
US7972875B2 (en) 2007-01-17 2011-07-05 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
JP2008202022A (ja) 2007-01-23 2008-09-04 Fujifilm Corp 光ナノインプリントリソグラフィ用硬化性組成物およびそれを用いたパターン形成方法
US8057390B2 (en) 2007-01-26 2011-11-15 The Regents Of The University Of Michigan High-resolution mapping of bio-electric fields
JP2010522583A (ja) 2007-02-27 2010-07-08 トラスティーズ オブ タフツ カレッジ 組織工学的に作製された絹製臓器
WO2008136958A1 (en) 2007-04-30 2008-11-13 Opthera, Inc. Uva1-led phototherapy device and method
SI2211876T1 (sl) 2007-05-29 2015-06-30 Trustees Of Tufts College Postopek za geliranje fibriona svile z uporabo ultrazvoka
US9061494B2 (en) 2007-07-19 2015-06-23 The Board Of Trustees Of The University Of Illinois High resolution electrohydrodynamic jet printing for manufacturing systems
WO2009023615A1 (en) 2007-08-10 2009-02-19 Trustees Of Tufts College Tubular silk compositions and methods of use thereof
EP2206017B1 (en) 2007-11-05 2019-02-20 Trustees Of Tufts College Fabrication of silk fibroin photonic structures by nanocontact imprinting
US20090149930A1 (en) 2007-12-07 2009-06-11 Thermage, Inc. Apparatus and methods for cooling a treatment apparatus configured to non-invasively deliver electromagnetic energy to a patient's tissue
EP2219726B1 (en) 2007-12-10 2013-02-13 Neuronano Ab Medical electrode, electrode bundle and electrode bundle array
US8290557B2 (en) 2007-12-12 2012-10-16 Medtronic, Inc. Implantable optical sensor and method for use
GB0800797D0 (en) 2008-01-16 2008-02-27 Cambridge Entpr Ltd Neural interface
JP2011511668A (ja) 2008-02-07 2011-04-14 トラスティーズ オブ タフツ カレッジ 3次元絹ハイドロキシアパタイト組成物
KR101755207B1 (ko) 2008-03-05 2017-07-19 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 펴고 접을 수 있는 전자장치
WO2009114115A1 (en) 2008-03-10 2009-09-17 S.E.A. Medical Systems, Inc. Intravenous fluid monitoring
JP5535950B2 (ja) 2008-03-12 2014-07-02 ザ トラスティーズ オブ ザ ユニバーシティ オブ ペンシルバニア 生理学的な活動を記録し変調するためのフレキシブルかつ拡張可能なセンサアレイ
US8206774B2 (en) 2008-03-13 2012-06-26 Trustees Of Tufts College Diazonium salt modification of silk polymer
WO2009118678A1 (en) 2008-03-26 2009-10-01 Philips Intellectual Property & Standards Gmbh Light emitting diode device
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
WO2009126689A2 (en) 2008-04-08 2009-10-15 Trustees Of Tufts College System and method for making biomaterial structures
CA2721961A1 (en) 2008-05-15 2009-11-19 Trustees Of Tufts College Silk polymer-based adenosine release: therapeutic potential for epilepsy
US8946683B2 (en) 2008-06-16 2015-02-03 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
JP2011525254A (ja) 2008-06-18 2011-09-15 トラスティーズ オブ タフツ カレッジ 食用のホログラフィック絹製品
WO2010036807A1 (en) 2008-09-24 2010-04-01 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
EP2703017B1 (en) 2008-09-26 2017-03-01 Trustees Of Tufts College Active silk muco-adhesives, silk electrogelation process, and devices
CN102271724B (zh) 2008-10-09 2015-10-14 塔夫茨大学信托人 含有甘油的改性丝膜
US9750592B2 (en) 2008-10-10 2017-09-05 Carsten Nils Gutt Arrangement for implanting and method for implanting
WO2010049881A1 (en) 2008-10-27 2010-05-06 Nxp B.V. Biocompatible electrodes
WO2010057142A2 (en) 2008-11-17 2010-05-20 Trustees Of Tufts College Surface modification of silk fibroin matrices with poly(ethylene glycol) useful as anti adhesion barriers and anti thrombotic materials
WO2010065957A2 (en) 2008-12-05 2010-06-10 Trustees Of Tufts College Vascularized living skin constructs and methods of use thereof
KR100992411B1 (ko) 2009-02-06 2010-11-05 (주)실리콘화일 피사체의 근접여부 판단이 가능한 이미지센서
US8747886B2 (en) 2009-02-12 2014-06-10 Tufts University Nanoimprinting of silk fibroin structures for biomedical and biophotonic applications
CA2791580C (en) 2009-03-04 2017-12-05 Trustees Of Tufts College Silk fibroin systems for antibiotic delivery
EP2430652B1 (en) 2009-05-12 2019-11-20 The Board of Trustees of the University of Illionis Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
WO2011005381A2 (en) 2009-06-01 2011-01-13 Trustees Of Tufts College Vortex-induced silk fibroin gelation for encapsulation and delivery
JP2012532614A (ja) 2009-07-10 2012-12-20 タフツ ユニバーシティー/トラスティーズ オブ タフツ カレッジ バイオ絹糸タンパク質ベース核酸送達システム
CA2812635A1 (en) 2009-07-14 2011-01-20 Trustees Of Tufts College Electrospun silk material systems for wound healing
AU2010307268B2 (en) 2009-07-20 2015-05-14 Tufts University/Trustees Of Tufts College All-protein implantable, resorbable reflectors
US8293486B2 (en) 2009-07-21 2012-10-23 Trustees Of Tufts College Functionalization of silk material by avidin-biotin interaction
EP2474054A4 (en) 2009-08-31 2013-03-13 Tufts University Trustees Of Tufts College SILK-BASED TRANSISTOR DEVICES
JP2013506058A (ja) 2009-09-28 2013-02-21 タフツ ユニバーシティー/トラスティーズ オブ タフツ カレッジ 延伸したシルクegel繊維およびその製造方法
CA2774643A1 (en) 2009-09-29 2011-04-07 Trustees Of Tufts College Silk nanospheres and microspheres and methods of making same
JP6046491B2 (ja) 2009-12-16 2016-12-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ コンフォーマル電子機器を使用した生体内での電気生理学
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US9057994B2 (en) 2010-01-08 2015-06-16 The Board Of Trustees Of The University Of Illinois High resolution printing of charge
WO2011112931A1 (en) 2010-03-12 2011-09-15 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
KR101837481B1 (ko) 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 생체흡수성 기판 상 이식가능한 바이오의료 장치
US8562095B2 (en) 2010-11-01 2013-10-22 The Board Of Trustees Of The University Of Illinois High resolution sensing and control of electrohydrodynamic jet printing
WO2012097163A1 (en) 2011-01-14 2012-07-19 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
US8934965B2 (en) 2011-06-03 2015-01-13 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
JP6231489B2 (ja) 2011-12-01 2017-11-15 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ プログラム可能な変化を被るように設計された遷移デバイス
US9554484B2 (en) 2012-03-30 2017-01-24 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
US10617300B2 (en) 2013-02-13 2020-04-14 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
WO2014138465A1 (en) 2013-03-08 2014-09-12 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
WO2014165686A2 (en) 2013-04-04 2014-10-09 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
AU2014250792B2 (en) 2013-04-12 2018-05-10 The Board Of Trustees Of The University Of Illinois Materials, electronic systems and modes for active and passive transience
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
US9087764B2 (en) * 2013-07-26 2015-07-21 LuxVue Technology Corporation Adhesive wafer bonding with controlled thickness variation
KR20160067152A (ko) 2013-10-02 2016-06-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 장기 장착형 전자 장치
CN106463553A (zh) 2014-01-16 2017-02-22 伊利诺斯州大学信托董事会 基于印刷的多结、多端光伏装置
US10736551B2 (en) 2014-08-11 2020-08-11 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
JP6744019B2 (ja) 2014-08-11 2020-08-19 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 生物流体の表皮特性評価のためのデバイス及び関連する方法
WO2016025438A1 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Epidermal devices for analysis of temperature and thermal transport characteristics
WO2016054348A1 (en) 2014-10-01 2016-04-07 The Board Of Trustees Of The University Of Illinois Thermal transport characteristics of human skin measured in vivo using thermal elements
US11894350B2 (en) * 2014-10-31 2024-02-06 e Lux, Inc. Fluidic assembly enabled mass transfer for microLED displays
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
US20170020402A1 (en) 2015-05-04 2017-01-26 The Board Of Trustees Of The University Of Illinois Implantable and bioresorbable sensors
KR20180033468A (ko) 2015-06-01 2018-04-03 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 무선 전력 및 근거리 통신기능을 갖는 소형화된 전자 시스템
KR20180034342A (ko) 2015-06-01 2018-04-04 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 대안적인 자외선 감지방법
US11160489B2 (en) 2015-07-02 2021-11-02 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
US20180192952A1 (en) 2015-07-02 2018-07-12 The Board Of Trustees Of The University Of Illinois Fully implantable soft medical devices for interfacing with biological tissue
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
WO2017173339A1 (en) 2016-04-01 2017-10-05 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10653342B2 (en) 2016-06-17 2020-05-19 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US11394720B2 (en) 2019-12-30 2022-07-19 Itron, Inc. Time synchronization using trust aggregation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11832494B2 (en) 2018-01-11 2023-11-28 Boe Technology Group Co., Ltd. Display panel and display device for thinning a thickness of a display

Also Published As

Publication number Publication date
JP6049800B2 (ja) 2016-12-21
CN102683391B (zh) 2015-11-18
JP2013118391A (ja) 2013-06-13
JP5703263B2 (ja) 2015-04-15
CN101120433B (zh) 2010-12-08
KR20120135528A (ko) 2012-12-14
KR101504579B1 (ko) 2015-03-23
EP2650907A3 (en) 2014-10-08
US20160293794A1 (en) 2016-10-06
EP2650905A3 (en) 2014-10-01
KR101572992B1 (ko) 2015-12-11
EP2650905B1 (en) 2022-11-09
US20200006540A1 (en) 2020-01-02
MY187019A (en) 2021-08-26
US7622367B1 (en) 2009-11-24
US20110220890A1 (en) 2011-09-15
TW200618321A (en) 2006-06-01
US7982296B2 (en) 2011-07-19
EP2650907A2 (en) 2013-10-16
CN103646848B (zh) 2018-06-05
KR101307481B1 (ko) 2013-09-26
US20160284544A1 (en) 2016-09-29
US9761444B2 (en) 2017-09-12
HK1176742A1 (zh) 2013-08-02
US8440546B2 (en) 2013-05-14
KR101368748B1 (ko) 2014-03-05
JP2015201649A (ja) 2015-11-12
JP6049667B2 (ja) 2016-12-21
US20140191236A1 (en) 2014-07-10
EP2650906A3 (en) 2015-02-18
EP2650906A2 (en) 2013-10-16
KR20120049951A (ko) 2012-05-17
CN103646848A (zh) 2014-03-19
US20060038182A1 (en) 2006-02-23
US20100072577A1 (en) 2010-03-25
US20090294803A1 (en) 2009-12-03
TWI284423B (en) 2007-07-21
US20170309733A1 (en) 2017-10-26
MY161998A (en) 2017-05-31
MY153233A (en) 2015-01-29
CN104716170A (zh) 2015-06-17
CN102097458A (zh) 2011-06-15
HK1211742A1 (en) 2016-05-27
CN103633099B (zh) 2016-09-28
US7557367B2 (en) 2009-07-07
WO2005122285A2 (en) 2005-12-22
KR20130107373A (ko) 2013-10-01
US9450043B2 (en) 2016-09-20
KR20070037484A (ko) 2007-04-04
CN103633099A (zh) 2014-03-12
IL179784A0 (en) 2007-05-15
CN102683391A (zh) 2012-09-19
US11088268B2 (en) 2021-08-10
JP5763690B2 (ja) 2015-08-12
CN102097458B (zh) 2013-10-30
MY189260A (en) 2022-01-31
JP5706369B2 (ja) 2015-04-22
JP2017034261A (ja) 2017-02-09
EP1759422B1 (en) 2022-01-26
KR20140027424A (ko) 2014-03-06
EP1759422A2 (en) 2007-03-07
KR20150083133A (ko) 2015-07-16
US10374072B2 (en) 2019-08-06
WO2005122285A3 (en) 2007-08-30
US20130320503A1 (en) 2013-12-05
KR101260981B1 (ko) 2013-05-10
EP2650905A2 (en) 2013-10-16
KR101429098B1 (ko) 2014-09-22
CN101120433A (zh) 2008-02-06
US8664699B2 (en) 2014-03-04
JP2012235133A (ja) 2012-11-29
EP1759422A4 (en) 2011-04-06
JP2015029131A (ja) 2015-02-12
JP2008502151A (ja) 2008-01-24
JP2012256892A (ja) 2012-12-27
US20210343862A1 (en) 2021-11-04
US9768086B2 (en) 2017-09-19
KR20130107372A (ko) 2013-10-01
MY151968A (en) 2014-07-31
CN104716170B (zh) 2019-07-26

Similar Documents

Publication Publication Date Title
KR101746412B1 (ko) 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant