KR101870690B1 - 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리 - Google Patents

변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리 Download PDF

Info

Publication number
KR101870690B1
KR101870690B1 KR1020177003480A KR20177003480A KR101870690B1 KR 101870690 B1 KR101870690 B1 KR 101870690B1 KR 1020177003480 A KR1020177003480 A KR 1020177003480A KR 20177003480 A KR20177003480 A KR 20177003480A KR 101870690 B1 KR101870690 B1 KR 101870690B1
Authority
KR
South Korea
Prior art keywords
layer
substrate
gan
delete delete
led
Prior art date
Application number
KR1020177003480A
Other languages
English (en)
Other versions
KR20170018112A (ko
Inventor
존 에이. 로저스
랄프 누조
김훈식
에릭 브륵크너
박상일
김락환
Original Assignee
더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 filed Critical 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이
Publication of KR20170018112A publication Critical patent/KR20170018112A/ko
Application granted granted Critical
Publication of KR101870690B1 publication Critical patent/KR101870690B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • H01L33/0079
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/483Containers
    • H01L33/486Containers adapted for surface mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/50Wavelength conversion elements
    • H01L33/507Wavelength conversion elements the elements being in intimate contact with parts other than the semiconductor body or integrated with parts other than the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/52Encapsulations
    • H01L33/54Encapsulations having a particular shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68363Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • H01L2221/68386Separation by peeling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8312Aligning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83868Infrared [IR] curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83871Visible light curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83874Ultraviolet [UV] curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95053Bonding environment
    • H01L2224/95085Bonding environment being a liquid, e.g. for fluidic self-assembly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054313th Group
    • H01L2924/05432Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12033Gunn diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • H01L2924/12036PN diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12043Photo diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13063Metal-Semiconductor Field-Effect Transistor [MESFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13064High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/2064Length ranges larger or equal to 1 micron less than 100 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20641Length ranges larger or equal to 100 microns less than 200 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0033Processes relating to semiconductor body packages
    • H01L2933/0041Processes relating to semiconductor body packages relating to wavelength conversion elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0033Processes relating to semiconductor body packages
    • H01L2933/005Processes relating to semiconductor body packages relating to encapsulations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of group III and group V of the periodic system
    • H01L33/32Materials of the light emitting region containing only elements of group III and group V of the periodic system containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/62Arrangements for conducting electric current to or from the semiconductor body, e.g. lead-frames, wire-bonds or solder balls
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate

Abstract

본 발명은 인쇄가능한 구조물 및 전자소자를 제조, 조립 및 배열(arranging)하는 방법에 관한 것이다. 본 발명의 몇몇 방법들은 하나 또는 그 이상의 소자부품들이 고분자 내로 삽입된 전자소자의 조립에 유용하다. 상기 고분자는 삽입공정 중 소자 부품 사이의 전기적 배선을 위한 트렌치(trench)를 통해 패터닝된다. 본 발명의 몇몇 방법들은 프린팅법에 의한 전자소자 조립에 유용하다. 또한, 예를 들어, 디스플레이 또는 조명 시스템을 위한 GaN 발광 다이오드 및 이의 제조 및 배열하는 방법을 제공한다.

Description

변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리{Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays}
본 명세서는 2009년 5월 12일 출원된 미국 임시출원번호 61/177,458 및 2009년 9월 11일 출원된 미국 임시출원번호 61/241,465 그들의 전체에 의해서 포함되는 것으로써, 이점 및 우선권을 주장한다.
본 발명은 미국 에너지부에서 부여하는 수여번호 DE-FG02-07ER46471 및 DE-FG02-07ER46453 그리고 국립과학재단에서 부여하는 수여번호 DMI-0328162하의 미국정부 지원으로 만들어졌다.
본 발명은 인쇄가능한 전자장치 분야이다. 본 발명은 일반적으로 전자소자 및 인쇄가능한 전자소자를 제조하고 조립하는 방법에 관한 것이다.
소자 기판 및 나노구조, 마이크로구조, 유연전자장치 그리고 다른 다양한 패턴 구조를 포함하는 소자 기판에 의해 지원되는 소자 부품에 구조를 인쇄하기 위해 다양한 플랫폼이 가능하다. 예를 들면, 미국특허번호 7,195,733, 7,557,367, 7,622,367 및 7,521,292, 미국특허공개번호 2009/0199960, 2007/0032089, 2008/0108171, 2008/0157235, 2010/0059863, 2010/0052112 및 2010/0002402, 그리고 미국특허출원번호 11/145,574(2005/06/02 출원된) 및 11/981,380(2007/10/31 출원된)를 포함하는 수 많은 특허 및 특허 명세서들은 구조의 넓은 폭을 제조 및 인쇄하기 위한 다른 방법 및 시스템을 묘사하는데, 이들 모두는 여기서 규모가 일관성이 없지 않도록 여기서 그들의 전체 문헌에 포함된다.
S.-C. Lo, P. L. Burn, Chem. Rev. 107, 1097 (2007). F. So, J. Kido, P. Burrows, MRS Bull. 33, 663 (2008). D. A. Gaul, W. S. Rees Jr., Adv. Mater. 12, 935 (2000). S. Nakamura, G. Fasol, The Blue Laser Diode: GaN Based Light Emitters and Lasers (Springer, New York, 1997). E. Yablonovitch, D. M. Hwang, T. J. Gmitter, L. T. Florez, J. P. Harbison, Appl. Phys. Lett. 56, 2419 (1990). H. X. Jiang, S. X. Jin, J. Li, J. Shakya, J. Y. Lin, Appl. Phys. Lett. 78, 1303 (2001). M. Konagai, M. Sugimoto, K. Takahashi, J. Cryst. Growth 45, 277 (1978). E. Yablonovitch, T. Gmitter, J. P. Harbison, R. Bhat, Appl. Phys. Lett. 51, 2222 (1987). C. Camperi-Ginestet, M. Hargis, N. Jokerst, M. Allen, IEEE Trans. Photon. Tech. Lett. 3, 1123 (1991). C. Carter-Coman, R. Bicknell-Tassius, A. S. Brown, N. M. Jokerst, Appl. Phys. Lett. 70, 1754 (1997). M. A. Meitl et al., Nat. Mater. 5, 33 (2006). D. Y. Khang, H. Jiang, Y. Huang, J. A. Rogers, Science 311, 208 (2006). D.-H. Kim et al., Proc. Natl. Acad. Sci. U.S.A. 105, 18675 (2008). J. Yoon et al., Nat. Mater. 7, 907 (2008). M. Tamura et al., Jpn. J. Appl. Phys. 37, 3576 (1998). E. F. Schubert, Light-Emitting Diodes P. 43, (Cambridge Univ. Press, Cambridge, UK, 2003). C. L. Chen et al., Appl. Phys. Lett. 48, 535 (1986). G. Stareev, Appl. Phys. Lett. 62, 2801 (1993). D. P. Bour et al., IEEE J. Quantum Electron. 30, 593 (1994). F. H. Pollak, Surf. Sci. 37, 863 (1973). M. Chandrasekhar, F. H. Pollak, Phys. Rev. B 15, 2127 (1977). S. H. Pan et al., Phys. Rev. B 38, 3375 (1988). H. Jiang et al., Proc. Natl. Acad. Sci. U.S.A. 104, 15607 (2007). D. S. Gray, J. Tien, C. S. Chen, Adv. Mater. 16, 393 (2004). F. Axisa, F. Bossuyt, T. Vervust, J. Vanfleteren, 2nd Electronics System-integration Technology Conference (ESTC 2008), 1387, Greenwich, UK, 1 to 4 September 2008. D. Khang, H. Jiang, Y. Huang, and J. A. Rogers, Science 311, 208 (2006). D. Kim, J. Ahn, W. Choi, H. Kim, T. Kim, J. Song, Y. Y. Huang, Z. Liu, C. Lu, and J. A. Rogers, Science 320, 507 (2008). T. Kim, W. Choi, D. Kim, M. A. Meitl, E. Menard, H. Jiang, J. A. Carlisle, and J. A. Rogers, Adv. Mater. 20, 2171 (2008). H. Ko, M. P. Stoykovich, J. Song, V. Malyarchuk, W. Choi, C. Yu, J. B. Geddes, J. Xiao, S. Wang, Y. Huang, and J. A. Rogers, Nature 454, 748(2008). H. O. Jacobs, A. R. Tao, A. Schwartz, D. H. Gracias, and G. M. Whitesides, SCIENCE 296, 323 (2002). S. A. Stauth and Parviz BA, Proc. Natl. Acad. Sci. USA 103, 13922 (2006). J. Ahn, H. Kim, K. Lee, S. Jeon, S. Kang, Y. Sun, R. G. Nuzzo, and J. A. Rogers, Science 314, 1754 (2006). S. Kang, C. Kocabas, T. Ozel, M. Shim, N. Pimparkar, M. A. Alam, S. V. Rotkin, and J. A. Rogers, Nat. Nanotechnol. 2, 230 (2007). A. Javey, S. Nam, R. S. Friedman, H. Yan, and C. M. Lieber, Nano Lett. 7, 773 (2007). Z. Fan, H. Razavi, J. Do, A. Moriwaki, O. Ergen, Y. Chueh, P. W. Leu, J. C. Ho, T. Takahashi, L. A. Reichertz, S. Neale, K. Yu, M. Wu, J. W. Ager, and A. Javey, Nat. Mater. 8, 648 (2009). Y. G. Sun and J. A. Rogers, Nano Lett. 4, 1953 (2004). M. A. Meitl, Z. T. Zhu, V. Kumar, L. J. Lee, X. Feng, Y. Y. Huang, I. Adesida, R. G. Nuzzo, and J. A. Rogers, Nat. Mater. 5, 33 (2006). Z. Fan, J. C. Ho, Z. A. Jacobson ZA, R. Yerushalmi, R. L. Alley, H. Razavi H, and A. Javey, Nano Lett. 8, 20 (2008). K. Lee, M.J. Motala, M.A. Meitl, W.R. Childs, E. Menard, J.A. Rogers, R.G. Nuzzo and A. Shim, Adv. Mater. 17, 2332 (2005). W. Zheng, J. Chung, H. O. Jacobs, J. Microelectromech. Syst. 15, 864 (2006). S. A. Stauth, B. A. Parviz, Proc. Natl. Acad. Sci. USA 103, 13922 (2006). G. M. Whitesides and M. Boncheva, Proc. Natl. Acad. Sci. USA 99, 4769 (2002). J. B. Pan, G. L. Tonkay, and A. Quintero, J. Electronics Manufacturing 9, 203 (1999). W. Chang, T. Fang, H. Lin, Y. Shen, and Y. Lin, J. Display Technol. 5, 178 (2009). E. Tekin, P. J. Smith, and U. S. Schubert, Soft Matter 4, 703 (2008). E. Menard, M. A. Meitl, Y. Sun, J. Park, D. J. L. Shir, Y. Nam, S. Jeon, and J. A. Rogers, Chem. Rev. 107, 1117 (2007). J. Park, M. Hardy, S. Kang, K. Barton, K. Adair, D. K. Mukhopadhyay, C. Y. Lee, M. S. Strano, A. G. Alleyne, J. G. Georgiadis, P. M. Ferreira, and J. A. Rogers, Nature Mater. 6, 782 (2007). T. Sekitani, Y. Noguchi, U. Zschieschang, H. Klauk H, and T. Someya, Proc. Natl. Acad. Sci. USA 105, 4976 (2008). B.Y. Ahn, E. B. Duoss, M. J. Motala, X. Guo, S. Park, Y. Xiong, J. Yoon, R. G. Nuzzo, J. A. Rogers, and J. A. Lewis, Science 323, 1590 (2009). Y. Xia, J.A. Rogers, K.E. Paul and G.M. Whitesides, Chem. Rev. 99, 1823 (1999). S. Park, Y. Xiong, R. Kim, P. Elvikis, M. Meitl, D. Kim, J. Wu, J. Yoon, C. Yu, A. Liu, Y. Huang, K. Hwang, P. Ferreira, X. Li, K. Choquette, and J.A. Rogers, Science 325, 977 (2009). J. Yoon, A. J. Baca, S.-I. Park, P. Elvikis, J. B. Geddes, L. Li, R. H. Kim, J. Xiao, S. Wang, T. H. Kim, M. J. Motala, B. Y. Ahn, E. B. Duoss, J. A. Lewis, R. G. Nuzzo, P. M. Ferreira, Y. Huang, A. Rockett, and J. A. Rogers, Nature Mater. 7, 907 (2008). F. Hua, A. Gaur, Y. Sun, M. Word, N. Jin, I. Adesida, M. Shim, A. Shim, and J. A. Rogers, IEEE Trans. Nanotechnol. 5, 301 (2006). K. Murata, J. Matsumoto, A. Tezuka, Y. Matsuba, and H. Yokoyama, Microsyst. Techchnol. 12, 2 (2005). D. Kim, S. Jeong, S. Lee, B. Park, and J. Moon, Thin Solid Films 515, 7692 (2007). E. Menard, L. Bilhaut, J. Zaumseil, and J. A. Rogers, Langmuir 20, 6871 (2004). H. Schmid, H. Wolf, R. Allenspach, H. Riel, S. Karg, B. Michel, and E. Delamarche, Adv. Funct. Mater. 13, 145 (2003). J.-U. Park et al, Nature Materials, 6, 782 (2007). B. Y. Ahn et al, Science, 323, 1590 (2009).
여기서 제공하는 것은 유연장치 및 발광다이오드(LEDs)의 어레이를 포함하는 전자소자를 제조하는 방법이다. 방법은 또한 소자 부품을 고분자 및 함몰부의 고분자 몰딩으로 동시에 삽입(embedding)하는 것을 포함하는 전자소자 조립을 제공한다.
일측면에서, 여기서 제공되는 것은 전자소자 제조 방법이다. 이 측면의 방법은 단계들을 포함한다:
수용 표면을 가지는 성장기판을 제공하는 단계;
상기 수용 표면 상에 에피텍셜 성장(epitaxial growth)을 통해 반도체 에피층(epilayer)을 형성하는 단계; 제1접촉면을 갖는 반도체 에피층을 형성하는 단계;
반도체 에피층의 제1접촉면을 핸들 기판(handle substrate)에 접착함으로써, 반도체 에피층의 제2접촉면을 노출시키는 단계;
상기 핸들기판으로 결합되어 잔류하는 상기 반도체 에피층에 있어서, 성장기판으로부터 상기 반도체 에피층을 박리시켜, 상기 반도체 에피층의 제2접촉면을 노출시키는 단계;
마스크를 통해 상기 반도체 에피층의 제2접촉면을 패터닝하고, 이에 따라 노출 영역(exposed regions) 및 상기 제2접촉면의 하나 또는 그 이상의 마스킹 영역(masked regions)을 생성시키는 단계;
상기 노출 영역을 식각하여 상기 노출 영역으로부터 물질을 제거하고, 이에 따라 상기 핸들 기판에 의해 지지되는 하나 또는 그 이상의 반도체 구조물을 생성시키는 단계;
상기 핸들 기판으로부터 하나 또는 그 이상의 반도체 구조물을 적어도 일부를 박리시키는 단계; 및
적어도 하나 또는 그 이상의 반도체 구조물을 건식 운반 접촉 프린팅(dry transfer contact printing)을 통해 상기 핸들 기판으로부터 소자 기판으로 운반하고, 이에 따라 상기 전자소자의 제조를 위한 상기 소자기판으로 상기 반도체 구조물을 조립하는 단계.
일 구체예에 있어서, 상기 성장 기판은 반도체 에피층에 예를 들어, ±3.4% 또는 ±1.9% 이내로 격자 정합된다(lattice matched). 일 구체예에 있어서, 상기 성장 기판은 반도체 에피층에 ±13.8% 이내로 격자 정합된다. 격자 정합된 성장 기판 및 에피층은, 예를 들어 에피텍셜 성장을 통해 고품질의 단일 결정층 생성에 유용하다. 구체예에 있어서, 유용한 반도체 에피층은 GaN층, InGaN층, GaAsN층, AlGaN층, AlGaAsN층, GaAs층, InGaAs층, AlGaAs층, AlGaAsP층, GaAsSbN층 및 InN층을 포함하되, 이에 제한하지 않는다. 유용한 성장 기판은 사파이어, (111)방위를 갖는 실리콘, SiC, ZnO, Si(100), MGAl2O4 (100), MgAl2O4 (111), A-면 사파이어, M-면 사파이어, AlN, MnO, ZrB2, LiGaO2, (La,Sr)(Al,Ta)O3, LaAlO3, LaTaO3, SrAlO3 SrTaO3, LiAlO2, GaAs 및 InP를 포함하되, 이에 제한하지 않는다.
유용한 반도체 에피층은 5 nm 내지 20 ㎛ 또는 1 ㎛ 내지 5 ㎛의 범위로부터 선택되는 두께를 갖는 것을 포함한다. 일 구체예에 있어서, 상기 반도체 에피층은 다층구조이다. 유용한 다층구조는 다른 조성물, 다른 도핑 수준, 다른 도판트(dopants) 또는 이들의 조합을 갖는 반도체 층을 포함하는 것들을 포함한다. 한 측면의 일구체예에 있어서, 다층구조는 적어도 하나의 n-형 반도체층과 전기적 통신되는 적어도 하나의 p-형 반도체층을 포함한다. 일구체예에 있어서, 상기 다층구조는 복수의 발광다이오드(LED) 소자층을 포함한다. 유용한 LED 소자층은 접촉층, 스프레더층(spreader layers), 클래딩층(cladding layers) 및 배리어층(barrier layers)을 포함한다.
일 구체예에 있어서, 상기 반도체 에피층은 GaN 및 선택적으로 GaN 다층구조를 포함한다. 유용한 GaN층은 다른 도핑 수준, 다른 도판트, 다른 두께 또는 모두를 갖는 것을 포함한다. 예를 들어, 일구체예에 있어서, GaN 다층구조는 적어도 하나의 n-형 GaN층과 전기적 통신하는 적어도 하나의 p-형 GaN층을 포함한다. 일구체예에 있어서, GaN 다층구조는 GaN, InGaN, AlGaN, GaN:Mg, GaN:Si, GaN:AlN, GaN:ZnO 또는 이들의 어떤 조합을 포함하는 재료를 포함한다.
특정한 구체예에 있어서, 상기 핸들 기판은 실리콘을 포함하고 반도체 에피층의 제1접촉면을 핸들 기판에 결합시키는 단계는 반도체 에피층 및 핸들 기판 사이에 반데르발스 결합(Van der Waals bonding)을 확립시키기 위해 핸들 기판의 회부 표면에 제1접촉면을 접촉시키는 것을 포함한다. 유용한 핸들 기판은 접착층을 포함하는 것들을 포함한다. 유용한 접착층은 Au, Al, Pd, In, Ni 및 폴리우레탄, 포토레지스트, 폴리이미드, 실리콘 및 이들의 어떤 조합과 같은 고분자를 포함하는 것들을 포함하되, 이에 제한하지 않는다.
어떤 구체예에 있어서, 희생층은 성장 기판과 반도체 에피층 사이에 제공된다. 이러한 구체예들을 위해서, 반도체 에피층의 부분적인 박리 단계는 선택적으로 적어도 희생층의 부분적 제거를 포함하는데, 예를 들면 희생층을 식각 또는 용해시킨다. 유용한 식각공정은 전기화학 및 광전기화학 식각공정을 포함한다. 유용한 희생층은 InGaN, SiO2, AlAs, Si3N4, ZnO, AlN, HfN, AlInN 및 이들의 어떤 조합을 포함하되, 이들에 제한하지 않는다.
일 구체예에 있어서, 상기 반도체 에피층 및 성장 기판은 계면에서 만나고 성장 기판으로부터 반도체층을 박리하는 단계는 계면을 전자기 방사선(electromagnetic radiation)에 노출하는 것을 포함한다. 구체예에 있어서, 상기 전자기 방사선은 성장 기판을 통과한다. 선택적으로, 상기 전자기 방사선은 레이져 방사선을 포함한다. 유용한 전자기 방사선은 100 내지 800 nm 범위로부터 선택되는 파장, 400 내지 600 mJ/cm2 범위에서 선택되는 플루엔스(fluence) 및 이들의 어떤 조합을 갖는 전자기 방사선을 포함한다. 전자기 방사선의 특정한 예들로는, 사파이어 상에 에피층 성장을 위해 248 nm, 600 mJ/cm2에서 38 ns 펄스 시간의 KrF 펄스식 엑시머 레이져; 사파이어 상에 에피층 성장을 위해 355 nm, 400 mJ/cm2에서 5 ns 펄스 시간의 펄스식 Q-switched Nd:YAG 레이져의 제3고조파; 사파이어 상에 에피층 성장을 위해 532 nm, 12mJ/cm2보다 큰 에너지에서 10 ns 펄스 시간의 펄스식 제2고조파 Nd:YAG를 포함하되, 이에 제한하지 않는다. 이러한 측면의 또 다른 구체예에 있어서, 흡수층은 성장 기판과 반도체 에피층 사이의 계면에 제공되고 상기 전자기 방사선은 반도체 에피층을 노출하기 위해 적어도 부분적으로 흡수층에 의해 흡수된다.
일 구체예에 있어서, 에피층의 제2접촉면에서 제공되는 상기 마스크는 Si3N4를 포함하는 제1마스크층을 포함한다. 선택적으로 상기 마스크는 제1마스크층 위에 제공되는 금속을 포함하는 제2마스크를 포함한다. 유용한 마스크층은 Si3N4 및 SiO2와 같은 유전체; Al, Au 및 Cu와 같은 금속; 및 이들의 어떤 조합을 포함하는 것들을 포함한다.
구체예에 있어서, 마스크된 반도체 에피층의 노출 영역에서의 재료를 제거하는 단계는 노출된 영역의 식각을 포함한다. 유용한 식각공정은 반응성 이온 식각(reactive ion etching), 심도 반응성 이온 식각(deep reactive ion etching) 및 유도 결합 플라즈마 반응성 이온 식각(inductive coupled plasma reactive ion etching)을 포함한다. 어떤 구체예에 있어서, 마스크된 반도체 에피층의 노출 영역의 재료를 제거하는 것은 반도체 구조에 남아있는 측면을 노출시킨다. 어떤 구체예에 있어서, 식각 블럭층(etch block layer), 마스크층(mask layer) 또는 이들 모두는 예를 들어, 그 다음의 박리 단계 동안 식각되는 것으로부터 측면을 보호하기 위하여, 노출된 측면의 적어도 일부에 놓여져 있다.
어떤 구체예에 있어서, 핸들 기판으로부터 부분적으로 박리된 반도체 에피층은 예를 들면 적어도 하나의 동종 앵커(anchor) 또는 적어도 하나의 이종 앵커에 의해, 핸들 기판에 고정된(anchored) 반도체 구조물이 된다. 다른 구체예에 있어서, 한 가지 방법은 예를 들어, 적어도 하나의 동종 앵커 또는 적어도 하나의 이종 앵커에 의해 핸들 기판에 반도체 구조물이 앵커링(anchoring)하는 단계를 더 포함한다.
구체예에 있어서, 상기 운반 단계는 예를 들면, 기술분야에 알려진 것처럼 건식 운반 접촉 프린팅(dry transfer contact printing) 방법을 포함한다. 여기서 묘사된 방법을 위해 유용한 특정한 건식 운반 접촉 프린팅(dry transfer contact printing) 기술은 PDMS 스탬프(PDMS stamp)와 같은 컨포멀(confomal) 운반 소자를 이용한 접촉 프린팅을 통해 적어도 하나의 반도체 구조물을 핸들 기판으로부터 소자 기판으로 운반하는 것을 포함한다.
유용한 소자 기판은 유리기판, 고분자기판, 유연기판, 대면적 기판, 금속전처리된 기판(pre-metalized substrates), 하나 이상의 소자 부품으로 프리패터닝된(pre-patterned) 기판, 및 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다.
이러한 측면의 다른 방법은 하기 단계들을 포함한다: 수용 표면을 가지는 성장기판을 제공하는 단계;
상기 수용 표면 상에 에피텍셜 성장(epitaxial growth)을 통해 반도체 에피층(epilayer)을 형성시켜, 상기 반도체 에피층이 제1접촉면(first contact surface)을 갖도록 하는 단계;
상기 반도체 에피층의 제1접촉면을 핸들 기판(handle substrate)으로 결합시키는 단계;
상기 핸들기판으로 결합되어 잔류하는 상기 반도체 에피층의 적어도 일부에 있어서, 성장기판으로부터 상기 반도체 에피층을 박리시켜, 상기 반도체 에피층의 제2접촉면을 노출시키는 단계;
상기 핸들 기판 상의 반도체 에피층을 처리하고, 이에 따라 상기 핸들 기판에 의해 지지되는 하나 또는 그 이상의 반도체 구조물을 생성시키는 단계;
적어도 하나 또는 그 이상의 반도체 구조물을 건식 운반 접촉 프린팅(dry transfer 접촉 프린팅)을 통해 상기 핸들 기판으로부터 소자 기판으로 운반하고, 이에 따라 상기 전자소자의 제조를 위한 상기 소자기판으로 상기 반도체 구조물을 조립하는 단계.
이러한 측면의 다른 방법은 하기 단계들을 포함한다:
상기 제1수용 표면 상에 에피텍셜 성장(epitaxial growth)을 통해 제1반도체 에피층(epilayer)을 형성시켜, 상기 제1반도체 에피층이 제1접촉면(first contact surface)을 갖도록 하는 단계;
상기 제1반도체 에피층의 제1접촉면을 핸들 기판으로 결합시키는 단계;
상기 핸들기판에 결합되어 잔류하는 제1반도체 에피층의 적어도 일부에 있어서, 상기 제1성장기판으로부터 제1반도체 에피층을 박리하고, 이에 따라 제1반도체 에피층의 제2접촉면을 노출하는 단계;
제2수용표면을 가지는 제2성장기판을 제공하는 단계;
상기 제2수용표면 상에 에피텍셜 성장을 통해 제2반도체 에피층을 형성시켜, 상기 제2반도체 에피층이 제3접촉면을 갖도록 하는 단계;
상기 제2반도체 에피층의 제3접촉면을 핸들기판, 상기 제1반도체 에피층 또는 양쪽 모두에 결합시키는 단계;
상기 핸들 기판, 상기 제1반도체 에피층 또는 양쪽 모두에 결합되어 잔류하는 제2반도체 에피층의 적어도 일부에 있어서, 상기 제2성장 기판으로부터 제2반도체 에피층을 박리하고, 이에 따라 상기 제2반도체 에피층의 제4접촉면을 노출시키는 단계;
상기 핸들 기판 상의 제1반도체 에피층, 제2반도체 에피층 또는 상기 제1 및 제2반도체 에피층 양쪽 모두를 처리하고, 이에 따라 상기 핸들 기판에 의해 지지되는 하나 또는 그 이상의 반도체 구조물을 생성시키는 단계;
적어도 하나 또는 그 이상의 반도체 구조물을 건식 운반 접촉 프린팅을 통해 상기 핸들 기판으로부터 소자 기판으로 운반하고, 이에 따라 상기 전자소자의 제조를 위한 상기 소자 기판으로 반도체 구조물을 조립하는 단계.
특정한 구체예에 있어서, 제2반도체 에피층의 제3접촉면을 핸들 기판, 제1반도체 에피층 또는 모두에 결합하는 단계는 제2반도체 에피층의 제3접촉면의 적어도 일부를 제1 반도체 에피층의 제2접촉면에 결합하는 것을 포함한다.
어떤 구체예는 예를 들어, 제1성장 기판 상의 제1반도체 에피층 가공, 제2성장 기판 상의 제2 반도체 에피층 가공 또는 제1성장 기판 상의 제1반도체 에피층 및 제2성장 기판 상의 제2반도체 에피층 모두를 가공하는 것처럼, 성장 기판 상의 반도체 에피층을 가공하는 단계를 더 포함한다. 구체예에 있어서, 핸들 기판 또는 성장 기판 상의 반도체 에피층 가공 단계는 패터닝 공정, 리소그래피 공정, 성장공정, 연마공정, 증착공정, 주입공정, 식각공정, 어닐링 공정, 몰딩공정, 경화공정, 코팅공정, 전자기 방사선 노출 또는 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다. 특정한 구체예에 있어서, 핸들 기판 상의 반도체 에피층 가공 단계는 반도체 에피층 상에 하나 이상의 오믹접촉(ohmic contacts) 형성, 반도체 에피층 상에 하나 이상의 열 관리 구조물(thermal management structures) 형성 또는 하나 이상의 오믹접촉 형성 및 하나 이상의 열 관리 구조물 형성을 포함한다.
어떤 구체예에 있어서, 상기 핸들 기판은 도핑되거나 도핑되지 않은 반도체; 단결정 물질; 다결정 물질; SiC, Si3N4, 용융 실리카(fused silica), 알루미나(Al2O3), ZrO2, MgO, 열분해 질화붕소(pyrolytic Boron nitride, PBN), 질화 알루미늄, 알루미늄 실리케이트 및 티타니아와 같은 세라믹; 고분자; 유리; 석영; 열산화막을 갖추거나 갖추지 않은 반도체; 및 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다. 유용한 핸들 기판은 또한 애드층(adlayer) 또는 상술한 재료 또는 고분자, 졸-겔, 고분자 전구체, 완전하지 않은 경화 졸-겔을 포함하되 이에 제한하지 않는 다른 재료의 필름이 코팅되거나 놓여진 기판을 갖는 기판을 포함한다.
상기 핸들 기판을 위한 어떤 재료의 사용은 핸들 기판 상의 소자 또는 소자 부품은 또한 경화, 강화 또는 재료의 다른 가공 또는 핸들 웨이퍼 상에 코팅할 수 있는 다음 가공에 유리할 수 있다. 예를 들면, 가공과정이 고온의 단계를 포함할 때 열경화성 고분자 또는 비경화 또는 완전하지 않은 경화 졸-겔을 함유하는 필름을 포함하는 핸들 기판은 경화될 수 있다. 어떤 구체예에 있어서, 핸들 기판의 조성물은 핸들 기판 상의 장치 소자의 다음 가공을 위한 요구에 의해 구동된다. 예를 들면, 구체예에서 핸들 기판 상의 가공이 고온 가공(예를 들어, 강화 또는 오믹접촉 형성 가공)을 포함할 때, 상기 핸들 기판은 관련된 고온(예를 들어, 세라믹, 반도체)과 호환되기 위하여 선택된다. 구체예에서 핸들 기판 상의 가공이 반응성 화학물질(예를 들어, 산, 염기, 화학적 부식제)에 노출될 때, 상기 핸들 기판은 노출 조건(예를 들어, 화학적으로 비활성)과 양립할 수 있기 위하여 선택될 수 있다.
이러한 측면의 방법들은 예를 들면, LED 어레이(array)를 제조하기에 유용하다. LED의 어레이를 제작하기 위한 특정 방법은 단계들을 포함한다: 수용 표면을 가지는 사파이어 성장 기판을 제공하는 단계;
에피텍셜 성장을 통해 상기 수용 표면 상에 GaN 에피층을 형성시키되, 상기 GaN 에피층은 적어도 하나의 n-형 GaN 반도체층과 전기적 접촉하는 p-형 GaN 반도체층을 포함하는 다층구조이고, 상기 GaN 다층구조는 제1접촉면을 가지도록 GaN 에피층을 형성시키는 단계;
상기 GaN 다층구조의 제1접촉면을 핸들 기판으로 결합시키는 단계;
상기 핸들 기판에 결합되어 잔류하는 상기 GaN 다층구조를 사파이어 성장 기판으로부터 박리하고, 이에 따라 상기 GaN 다층구조의 제2접촉면을 노출시키는 단계;
마스크를 이용하여 상기 GaN 다층구조의 제2접촉면을 패터닝하고, 이에 따라 노출영역 및 하나 또는 그 이상인 제2접촉면의 마스킹 영역을 생성시키는 단계;
상기 노출 영역을 식각하여 상기 노출영역으로부터 물질을 제거하고, 이에 따라 상기 핸들 기판에 의해 지지되는 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
상기 핸들 기판으로부터 하나 또는 그 이상의 LED 소자 구조물을 적어도 일부를 박리시키는 단계; 및
상기 하나 또는 그 이상의 LED 소자 구조물의 적어도 일부를 건식 운반 접촉 프린팅을 통해 상기 핸들 기판으로부터 소자기판으로 운반하고, 이에 따라 상기 LED의 어레이(array)(array)을 제조하는 단계.
LED의 어레이 제작을 위한 다른 특정 방법은 단계들을 포함한다: (111) 방위(orientation) 및 수용 표면을 가지는 실리콘 성장 기판을 제공하는 단계;
상기 성장 기판의 수용 표면 상에 에피텍셜 성장을 통해 GaN 다층구조를 생성시키되, 상기 GaN 다층구조는 적어도 하나의 n-형 GaN층과 전기적 접촉하는 적어도 하나의 p-형 GaN 층을 포함하며, 상기 GaN 다층구조는 접촉면을 가지도록 GaN 다층구조를 생성시키는 단계;
마스크를 통해 상기 GaN 다층구조의 접촉면을 패터닝하고, 이에 따라 노출영역 및 GaN 다층구조의 하나 또는 그 이상인 마스킹 영역을 생성시키는 단계;
상기 노출 영역 및 상기 성장 기판의 내부를 식각함으로써 노출 영역으로부터 물질을 제거하고, 이에 따라 상기 성장 기판의 일부를 노출시키고, 또한 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
상기 성장 기판의 노출 영역을 이방성 식각함으로써 상기 성장기판으로부터 하나 또는 그 이상의 LED 소자 구조물을 적어도 일부를 박리시키는 단계; 및
상기 성장 기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 적어도 일부분을 건식 운반 접촉 프린팅을 통해 소자 기판으로 운반하고, 이에 따라 LED의 어레이를 제조하는 단계.
LED의 어레이 제작을 위한 방법의 어떤 구체예를 위해서, 상기 물질 제거단계는 성장 또는 호스트 기판 내부를 5 nm 이상의 깊이 또는 5 nm 내지 10 ㎛ 범위로 선택하여 식각한다. 구체예에 있어서, 실리콘(111)기판의 이방성 식각은 바람직하게 <110> 방향을 따른다. 유용한 이방성 식각 방법은 KOH 또는 테트라메틸암모늄 하이드록사이드(TMAH)와 같은 이방성 식각액(anisotropic 식각액)을 이용하는 방향성 습식 식각(directional wet etching)을 포함한다.
LED의 어레이 제작을 위한 다른 특정 방법은 단계들을 포함한다: 수용 표면을 가지는 사파이어 성장 기판을 제공하는 단계;
상기 사파이어 성장 기판의 수용 표면 상에 희생층을 제공하는 단계;
상기 희생층 상에 에피텍셜 성장을 통해 GaN 다층구조를 생성시키되, 상기 GaN 다층구조는 적어도 하나의 n-형 GaN층과 전기적 첩촉을 하는 적어도 하나의 p-형 GaN층을 포함하고, 상기 GaN 다층구조는 접촉면을 가지도록 GaN 다층구조를 생성시키는 단계;
마스크를 통해 상기 GaN 다층구조의 접촉면을 패터닝하고, 이에 따라 노출 영역 및 상기 GaN 다층구조의 하나 또는 그 이상의 마스킹 영역을 생성시키는 단계;
상기 노출된 영역을 식각함으로써 상기 노출영역으로부터 물질을 제거하고, 이에 따라 상기 희생층의 의 일부를 노출시키며, 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
방향성 식각, 전기화학적 식각 또는 광전기화학적 식각을 이용하여 상기 희생층의 적어도 일부를 제거함으로써 상기 성장기판으로부터 하나 또는 그 이상의 LED 소자 구조물을 적어도 일부 박리시키는 단계; 및
하나 또는 그 이상의 LED 소자 구조물의 적어도 일부를 상기 성장기판으로부터 건식 운반 접촉 프린팅을 통해 소자 기판으로 운반하고, 이에 따라 LED의 어레이를 제조하는 단계.
유용한 희생층은 InGaN, SiO2, AlAs, Si3N4, ZnO, AlN, HfN, AlInN 및 이들의 어떤 조합을 포함한다. 어떤 구체예에 있어서, 버퍼층 또는 식각 블럭층(etch block layer)은 성장 기판과 희생층 사이에 제공되는데, 예를 들어 버퍼층 또는 식각 블럭층은 GaN을 포함한다. 버퍼층 및 식각블럭층은 유용한데, 예를 들어 다음의 식각 또는 박리 단계 동안 GaN 소자층의 식각을 방지한다.
특정 구체예에 있어서, 이러한 측면의 방법은 단계들을 포함한다: 수용 표면을 가지는 사파이어 성장 기판을 제공하는 단계;
상기 사파이어 성장 기판의 수용 표면 상에 희생층을 제공하는 단계;
예를 들어 에피텍셜 성장을 통해 상기 희생층 상에 식각 블럭층을 제공하는 단계;
에피텍셜 성장을 통해 상기 식각 블럭층 상에 GaN 다층구조를 형성하는 단계;
GaN 다층구조의 접촉면을 마스크로 패터닝한 다음, 노출 영역 및 GaN 다층구조의 하나 이상의 마스킹 영역(masked region)을 형성하는 단계;
상기 노출 영역을 식각하여 노출 영역으로부터 재료를 제거한 다음, 희생층의 일부를 노출시키고 하나 이상의 LED 소자 구조물을 형성하는 단계;
방향성 식각, 전기화학적 식각 또는 광전기화학적 식각을 이용하여 희생층의 적어도 일부를 제거함으로써 성장 기판으로부터 상기 하나 이상의 LED 소자 구조물을 적어도 일부 박리하는 단계; 및
건식 운반 접촉 프린팅을 통해 사파이어 성장 기판으로부터 소자 기판으로 하나 이상의 LED 소자 구조물의 적어도 일부를 운반하는 단계.
일 구체예에 있어서, 이러한 측면의 방법은 예를 들어, 적어도 일부 박리의 단계 동안 상기 노출 영역의 추가적인 식각을 방지하기 위해서, 노출 영역의 적어도 일부에 식각 블럭층을 형성하는 것을 더 포함한다.
어떤 구체예에 있어서, 희생층은 예를 들어, HCl, HF, H3PO4, KOH, NH4Cl, 킬레이트 아민, 1,2-디아미노에탄 (DAE), NaOH 및 이들의 어떤 조합과 같은 선택적 식각액에 희생층을 노출시켜 박리 단계 동안 제거된다. 특정 구체예를 위해서, 상기 박리 단계는 예를 들어, 100 nm 내지 800 nm의 범위에서 선택되는 파장을 갖는 전자기 방사선 또는 제논 램프로부터의 전자기 방사선과 같은 전자기 방사선에 희생층을 노출시키는 것을 포함한다. 일 구체예에 있어서, 상기 전자기 방사선은 희생층 노출 전에 도핑되지 않은 GaN 필름을 먼저 통과하는데, 예를 들어 도핑되지 않은 GaN 필름에 의해 흡수되는 전자기 방사선의 적어도 일부를 제거하기 위해서 광학적으로 전자기 방사선을 걸러낸다. 어떤 구체예를 위해서, 상기 박리 단계는 예를 들어, 버퍼층, 성장 기판 또는 식각액의 포텐셜 보다 큰 600 mV 내지 800 mV 전위에서 희생층을 제공하는 것을 포함한다. 어떤 구체예를 위해서, 상기 희생층은 식각액과 다른 포텐셜을 가지고 있는 동안 동시에 식각액에 노출된다. 어떤 구체예를 위해서, 상기 희생층은 식각액과 다른 포텐셜을 가지고 있는 동안 동시에 식각액에 노출되고 전자기 방사선에 노출된다. 특정 구체예에 있어서, 상기 GaN 다층구조는 ZnO를 함유하는 희생층 상에 성장하고 적어도 일부 박리의 단계는 NH4Cl 식각액으로 희생층을 식각하는 것을 포함한다.
LED의 어레이 제작을 위한 다른 특정 방법은 단계들을 포함한다: 수용 표면을 가지는 사파이어성장 기판을 제공하는 단계;
상기 사파이어 성장 기판 상에 에피텍셜 성장을 통해 GaN 다층구조를 생성시키되, 상기 GaN 다층구조는 적어도 하나의 n-형 GaN층과 전기적 첩촉을 하는 적어도 하나의 p-형 GaN층을 포함하고, 상기 GaN 다층구조는 제1접촉면을 가지며, 상기 GaN 다층구조 및 상기 사파이어 성장기판은 계면에서 만나도록 GaN 다층구조를 생성시키는 단계;
상기 GaN 다층구조의 상기 제1접촉면을 핸들 기판으로 결합시키는 단계;
상기 GaN 다층구조 및 상기 사파이어 성장기판 사이의 계면을 전자기 방사선으로 노출시키는 단계;
상기 핸들 기판으로 결합되어 잔류하는 상기 GaN 다층구조에 있어서, 성장기판으로부터 GaN 다층구조를 박리시키고, 이에 따라 상기 GaN 다층구조의 제2접촉면을 노출시키는 단계;
마스크를 통해 상기 GaN 다층구조의 제2접촉면을 패터닝하고, 이에 따라 노출영역 및 상기 GaN 다층구조의 하나 또는 그 이상의 마스킹 영역을 생성시키는 단계;
상기 노출 영역을 식각함으로써 상기 노출 영역으로부터 물질을 제거하고, 이에 따라 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
상기 핸들 기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 적어도 일부를 박리시키는 단계; 및
하나 또는 그 이상의 LED 소자구조물의 적어도 일부를 건식 운반 접촉 프린트를 통해 상기 핸들 기판으로부터 소자 기판으로 운반하고, 이에 따라 LED의 어레이를 제조하는 단계.
특정 구체예에 있어서, 상기 계면은 전자기 방사선, 선택적으로 레이져 방사선에 노출된다. 선택적으로, 상기 전자기 방사선은 상기 사파이어 성장 기판을 통과한다. 일 구체예에 있어서, 상기 핸들 기판은 외부 금속 필름을 포함하고 상기 GaN 다층구조의 제1접촉면은 상기 외부 금속 필름에 접촉될 때 핸들 기판에 접착된다. 외부 금속 필름과 계면이 전자기 방사선에 노출되는 구체예에 있어서, 상기 외부 금속 필름은 전자기 방사선의 적어도 일부를 반사시키고, 이에 따라 계면을 전자기 방사선에 노출시킨다.
LED의 어레이 제작을 위한 모범적인 구체예에 있어서, 상기 GaN 다층구조는 적어도 하나의 p-형 GaN층, 적어도 하나의 n-형 GaN층 및 p-형 GaN층과 n-형 GaN층 사이에 위치하는 InGaN을 함유하는 양자 우물 영역(quantum well region)을 포함한다. 이러한 측면의 특정 방법은 p-형 GaN층, n-형 GaN층 또는 이들 모두의 노출 영역 상에 금속 필름을 침착하는 것과 p-형 GaN층, n-형 GaN층 또는 이들 모두에 전기적 접촉을 형성하기 위하여 금속 필름을 선택적으로 어닐링하는 것을 더 포함한다.
이러한 측면의 특정 방법의 구체예는 하나 이상의 LED 소자 구조물의 일부와 전기적 접촉하는 하나 이상의 금속 접촉부를 제공하는 단계;
하나 이상의 LED 소자 구조물과 하나 이상의 금속접촉부를 감광성 고분자층으로 코팅하는 단계; 및
상기 감광성 고분자 층의 일부를 전자기 방사선에 노출시키되, 상기 전자기 방사선은 상기 소자 기판을 통해 적어도 부분적으로 투과되고, 상기 하나 또는 그 이상의 금속접촉부는 상기 감광성 고분자 층의 적어도 일부분으로 적어도 일부분의 상기 전자기 방사선이 도달하는 것을 막으며, 이에 따른 상기 하나 또는 그 이상의 금속접촉부는 하나 또는 그 이상의 자기 정렬 마스크 엘리먼트(self-aligned mask elements)로써 작용하는 단계를 더 포함한다. 선택적인 단계는 자기 정렬 마스크 엘리먼트로써 작용하는 하나 이상의 금속접촉부에 의해 마스킹된 상기 감광성 고분자 층의 일부를 제거하는 것을 포함한다. 선택적으로, 자기 정렬 마스크 엘리먼트로써 작용하는 하나 이상의 금속접촉부에 의해 마스킹된 상기 감광성 고분자층의 일부를 제거하는 단계는 감광성 고분자층을 현상하는 것을 포함하고, 여기서 전자기 방사선에 노출되지 않은 상기 감광성 고분자 층의 구역은 용매에 노출됨으로써 용해된다.
어떤 구체예에 있어서, 상기 LED 소자 구조물은 수직형 LED와 일치한다. 구체예에 있어서, 상기 GaN 다층구조는 복수의 GaN층을 포함하는데, 예를 들어 GaN층은 GaN 접촉층, GaN 스프레더층(spreader layers), GaN클래딩층, GaN 배리어층, GaN 식각 블럭층, GaN 버퍼층 및 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다.
구체예에 있어서, 상기 GaN 다층구조 상에 제공되는 마스크는 Si3N4의 제1층 및 선택적 금속 제2층을 포함한다. 선택적으로, 이러한 측면의 방법은 마스크의 적어도 일부를 제거하는 것을 포함한다. 구체예에 있어서, 재료를 제거하는 단계는 ICP-RIE(inductively coupled plasma reactive ion etching), 반응성 이온 식각 또는 심도 반응성 이온 식각과 같은 식각 방법을 통해 마스킹된 GaN 다층구조의 노출 영역을 식각하는 것을 포함한다. 상기 제거하는 단계 및/또는 박리 단계 후에, 어떤 LED 소자 구조물은 선택적으로 적어도 하나의 동종의 앵커 또는 적어도 하나의 이종의 앵커에 의해 핸들 또는 성장 기판에 고정된다. 어떤 구체예는 선택적으로 적어도 하나의 동종의 앵커 또는 적어도 하나의 이종의 앵커에 의해 하나 이상의 LED 소자 구조물을 앵커링(anchoring)하는 단계를 포함한다. 어떤 구체예에 있어서, 적어도 일부 박리하는 단계는 상기 GaN 다층구조의 적어도 일부를 광전기화학적 또는 전기화학적 식각하는 것을 포함한다.
구체예에 있어서, 상기 소자 기판은 유리기판, 고분자기판, 유연기판, 대면적 기판, 금속전처리된 기판(pre-metalized substrate), 하나 이상의 소자 부품으로 프리-패터닝된(pre-patterned) 기판 또는 이들의 어떤 조합이다. 상기 LED 소자 구조물을 상기 소자 기판으로 운반하는 것은 선택적으로 컨포멀(conformal) 운반 장치, 예를 들어 PDMS 스탬프(PDMS stamp)를 이용하여 접촉 프린팅을 통해 이뤄진다. 이러한 측면의 어떤 방법에 있어서, 상기 소자 기판은 하나 이상의 추가적인 LED 소자 구조물을 포함하고 하나 이상의 LED 소자 구조물의 적어도 일부를 운반하는 것은, 예를 들어 적층된 LED 소자 구조를 제조하기 위해서 상기 하나 이상의 추가적인 LED 소자 구조물의 상부로 적어도 하나 이상의 LED 소자 구조물을 프린트하는 것을 포함한다. 이러한 측면의 다른 방법은 예를 들어, 적층된 LED 어레이를 제조하기 위해서 소자 기판 상의 하나 이성의 LED 소자 구조물 상부의 하나 이상의 추가적인 LED 소자 구조물을 프린팅하는 단계를 포함한다.
어떤 구체예에 있어서, 적층된 LED는 어느 하나가 다른 하나의 상부에 다층 적층되는 LED를 포함하는데, 각각은 전자기 방사선의 선택된 파장을 출력할 수 있다. 예를 들어, 각각 전자기 방사선의 파장을 다르게 출력할 수 있다. 특정 구체예에 있어서, 적층된 LED는 총 전자기파 스펙트럼이 백색광처럼 가시광선인 다층의 LED를 포함한다.
선택적으로, 각각의 LED를 통해 동일한 전류 흐름일 경우에, 다층의 LED는 연속하여 연결된다. 선택적으로, 다층의 LED는 각각의 LED가 동일한 전압을 경험하는 경우에, 평행하게 연결된다. 연속하여 연결되는 다층의 LED는 각각의 LED로부터 전자기 방사선의 유사한 출력의 이점을 제공한다.
어떤 구체예에 있어서, LED의 어레이는 인광물질 또는 인광물질의 어레이를 포함한다. 구체예의 특정 방법은 LED 어레이의 적어도 일부에 인광물질을 예를 들어, 접촉프린팅 방법을 통해 프린팅하는 단계를 포함한다. 구체예의 다른 방법은 인광물질의 어레이를 제작하는 것, LED의 어레이를 제작하는 것 및 LED의 어레이 위에 인광물질의 어레이를 라미네이팅하는 것을 포함한다.
다른 측면에서, 인광물질의 어레이를 제작하는 방법이 제공된다. 이러한 측면의 한 가지 방법은 리세스된 영역(recessed regions)의 어레이로 엘라스토머층(elastomer layer)을 몰딩하는 단계; 상기 엘라스토머층의 상부로, 상기 리세스된 영역의 어레이 내부에 적어도 부분적으로 채워지는 인광물질 입자를 제공하는 단계; 및 상기 엘라스토머층의 상부로 봉지막을 제공하되, 상기 인광물질 입자는 상기 리세스된 영역의 어레이 내부로 봉지되고, 이에 따라 인광물질 어레이는 제조하는 단계를 포함한다. 모범적인 구체예에 있어서, 상기 리세스된 영역은 5 nm 내지 10 ㎛ 범위로부터 선택되는 깊이를 가진다.
다른 측면에서, 반도체 소자를 제작하는 방법이 제공된다. 이러한 측면의 방법은 투명 기판을 제공하는 단계;
건식 운반 접촉 프린팅을 통해 상기 투명기판의 표면 상에 반도체 소자를 조립하는 단계;
상기 반도체 소자와 전기적 접촉하는 하나 또는 그 이상의 금속접촉부를 제공하는 단계;
상기 반도체 소자 및 하나 또는 그 이상의 금속접촉부를 감광성 고분자 층으로 코팅하는 단계;
상기 감광성 고분자 층의 일부분을 전자기 방사선으로 노출시키되, 상기 전자기 방사선은 상기 투명기판을 통해 적어도 부분으로 투과되고, 상기 하나 또는 그 이상의 금속접촉부는 상기 감광성 고분자 층의 적어도 일부분으로 적어도 일부분의 상기 전자기 방사선이 도달하는 것을 막으며, 이에 따른 상기 하나 또는 그 이상의 금속접촉부는 하나 또는 그 이상의 자기 정렬 마스크 엘리먼트(self-aligned mask elements)로써 작용하는 단계를 포함한다. 어떤 구체예는 자기 정렬 마스크 엘리먼트로써 작용하는 하나 이상의 금속접촉부에 의해 마스킹된 상기 감광성 고분자 층의 일부를 제거하는 단계를 더 포함한다. 일구체예에 있어서, 마스킹된 감광성 고분자층의 일부를 제거하는 단계는 감광성 고분자층을 현상하고 전자기 방사선에 노출되지 않은 감광성 고분자층의 영역은 용제에 노출시켜 용해시키는 것을 포함한다.
이러한 측면의 방법을 위한 유용한 투명기판은 석영, 유리, 사파이어 및 이들의 어떤 조합을 포함하는 기판을 포함한다. 어떤 구체예에 있어서, 상기 투명기판, 반도체 소자 또는 이들 모두는 전자기 방사선의 적어도 50%를 투과한다. 어떤 구체예에 있어서, 전자기 방사선의 적어도 일부는 하나 이상의 금속 접촉부에 의해서 반사되거나 흡수되고 또는 반사/흡수 둘다 일어난다. 특정 구체예에 있어서, 금속 접촉부에 의해 받아지는 전자기 방사선의 적어도 50%, 적어도 75% 또는 적어도 95%는 금속접촉부에 의해서 반사되거나, 분산되거나 및/또는 흡수된다. 유용한 금속접촉부는 금, 구리, 니켈, 알루미늄, 백금 및 이들의 어떤 조합을 함유하는 것들을 포함한다. 특정 구체예에 있어서, 상기 금속접촉부 각각은 5 nm 내지 10 ㎛ 범위에서 선택되는 두께를 가진다.
특정 구체예에 있어서, 상기 감광성 고분자는 5 nm 내지 1 mm 범위에서 선택되는 두께를 가진다. 유용한 감광성 고분자는 네거티브 톤 광 고분자(negative tone photopolymer), 전자기 방사선에 노출되어 적어도 일부가 가교된 고분자, BCB(Benzo Cyclo Butene), WL-5351, SU-8, 폴리우레탄, 실리콘 및 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다.
다른 측면에 있어서, 전자소자를 조립하는 방법이 여기서 제공된다. 이러한 측면의 방법은 단계들을 포함한다: 하나 또는 그 이상의 전자소자부품을 제공하는 단계;
하나 또는 그 이상의 전자소자부품을 컨포멀(conformal) 운반 및 몰딩 소자와 접촉시키고, 이에 따라 상기 하나 또는 그 이상의 전자소자부품을 상기 컨포멀(conformal) 운반 및 몰딩 소자의 상부로 운반하는 단계;
상부로 하나 또는 그 이상의 전자소자부품이 구비된 컨포멀(conformal) 운반 소자(transfer device)로 호스트 기판 상부에 노출된 프리폴리머층을 접촉시키고, 이에 따라 상기 프리폴리머층 내부로 하나 또는 그 이상의 전자소자부품을 적어도 부분적으로 삽입시키고, 하나 또는 그 이상의 함몰부(recessed features)으로 상기 프리폴리머층을 패터닝하는 단계;
상기 프리폴리머층을 경화하고, 이에 따라 하나 또는 그 이상의 함몰부을 가지는 고분자층을 형성시키는 단계; 및
충진재로 상기 하나 이상의 함몰부의 적어도 일부를 충진시키는 단계.
선택적으로, 이러한 측면의 방법은 상기 고분자의 표면에 충진재를 제공하고, 상기 하나 이상의 함몰부의 적어도 일부분 내로 상기 충진재를 충진시키기 위해, 상기 고분자 표면을 따라 절삭공구를 드래깅(dragging) 또는 무빙(moving)하는 단계를 포함한다.
유용한 충진재는 전도성 물질, 광학 물질, 열전달 물질 및 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다. 유용한 호스트 기판은 고분자, 유리, 플라스틱, 반도체, 사파이어, 세라믹 및 이들의 어떤 조합을 함유하는 기판을 포함한다. 유용한 프리폴리머층은 광경화성 고분자, 열경화성 고분자, 광경화성 폴리우레탄 및 이들의 어떤 조합을 함유하는 층들을 포함하되, 이에 제한하지 않는다. 선택적으로, 이러한 측면의 방법은 상기 프리폴리머층을 전자기방사선으로 노출시키거나, 상기 프리폴리머층을 가열하거나 또는 이들 방법을 모두 사용하여 프리폴리머층을 경화하는 단계를 포함한다. 일구체예에 있어서, 이러한 측면의 방법은 예를 들어, 충진재를 가열하거나, 충진재를 전자기 방사선에 노출시키거나 또는 이들 방벙을 모두 사용하여 상기 충진재를 경화시키는 단계를 포함한다.
일 구체예에 있어서, 하나 이상의 전자소자부품의 적어도 하나는 하나 이상의 전극 접촉부를 포함한다. 선택적으로, 추가적인 단계에서 하나 이상의 전극접촉부의 적어도 하나를 노출시키기 위하여 상기 고분자의 적어도 일부를 식각한다. 특정 구체예에 있어서, 상기 충진재는 예를 들어, 하나 이상의 전극 접촉부와 전기 통신하는 전도성 물질을 포함한다. 예를 들어, 전자소자부품의 적어도 하나에 하나 이상의 전기적 배선을 제공하는 전도성 충진재는 유용하다. 유용한 전도성 물질은 1 × 10-10 내지 1 × 10-2 Ω·cm 또는 1 × 10-10 내지 1 × 10-5 Ω·cm 범위에서 선택되는 저항을 갖는 재료를 포함한다. 예를 들어, 실버 에폭시, 골드 에폭시, 구리 에폭시, 알루미늄 에폭시와 같은 금속입자; 카본 블랙, 카본 나노튜브, 그라파이트 또는 그래핀과 같은 전도성 카본 재료; 및 이들의 어떤 조합을 함유하는 에폭시와 같은 전도성 페이스트(paste).
특정 구체예에 있어서, 상기 충진재는 광학물질을 포함한다. 선택적으로, 상기 광학물질은 집광체(collecting optic), 집속체(concentrating optic), 반사 광학체(reflective optic), 광확산체(diffusing optic), 광분산체(dispersive optic), 렌즈, 인광물질, 도파관, 광섬유, 광학 코팅, 광투명체, 광학 필터, 편광광학체 및 이들의 어떤 조합과 같은 광학소자를 형성한다. 유용한 광학 물질은 고분자, 플라스틱, 유리 및 이들의 어떤 조합을 포함한다.
유용한 전자소자부품은 P-N 접촉, 박막 트랜지스터, 단일 접합 태양전지, 다중 접합 태양전지, 포토다이오드, 발광다이오드, 레이져, 센서, 포토다이오드, 전기-광학 소자, CMOS 소자, MOSFET 소자, MESFET 소자, 태양전지(photovoltaic cell), 미세전자기계적 소자, HEMT 소자, 발광 트랜지스터 및 이들의 어떤 조합을 포함하되, 이에 제한하지 않는다. 특정 구체예에 있어서, 상기 전자소자 부품은 10 nm 내지 10 mm 또는 10 nm 내지 10 ㎛ 범위에서 선택되는 예를 들어, 높이, 너비, 직경 및/또는 깊이 치수를 갖는다. 특정 구체예에 있어서, 상기 전자소자부품은 10 nm 내지 10 ㎛ 범위에서 선택되는 높이, 1 ㎛ 내지 10 mm 범위에서 선택되는 너비, 1 ㎛ 내지 10 mm 범위에서 선택되는 깊이 및/또는 1 ㎛ 내지 10 mm 범위에서 선택되는 직경을 갖는다.
이러한 측면의 다른 방법은 단계를 포함한다: 하나 또는 그 이상의 운반표면 및 하나 또는 그 이상의 양각된 몰딩 형상을 포함하는 접촉면을 가지는 컨포멀(conformal) 운반 및 몰딩 소자를 제공하는 단계;
상기 컨포멀(conformal) 운반 및 몰딩 소자로 하나 또는 그 이상의 전자소자부품을 접촉시키고, 이에 따라 상기 컨포멀 운반 및 몰딩 소자의 하나 또는 그 이상인 운반표면 상에 하나 또는 그 이상의 전자소자부품을 위치시키는 단계;
상부로 하나 또는 그 이상의 전자소자부품이 구비된 컨포멀 운반 및 몰딩 소자와 호스트 기판 상에 노출된 프리폴리머층을 접촉시키고, 상기 하나 또는 그 이상의 전자소자부품 및 상기 하나 도는 그 이상의 양각된 몰딩 형상을 상기 프리폴리머층 내부로 적어도 일부분을 삽입하는 단계; 프리폴리머층을 경화시키고, 이에 따라 고분자층을 형성시키며, 상기 컨포멀 운반 소자(transfer device)의 하나 또는 그 이상의 양각된 몰딩 형상을 상기 고분자층의 하나 또는 그 이상의 함몰부으로써 복제하는 단계;
상기 고분자층으로부터 상기 컨포멀 운반 소자(transfer device)를 분리하되, 상기 하나 또는 그 이상의 전자소자부품은 상기 고분자층으로 유지되는 단계;
상기 고분자층의 표면으로 충진재를 적용시키는 단계; 및
상기 하나 또는 그 이상의 함몰부의 적어도 일부분 내로 상기 충진재를 충진시키기 위해, 상기 고분자 표면을 따라 절삭공구를 드래깅(dragging)하는 단계.
이 부분의 다른 방법은;
컨포멀 운반층(conformal transfer), 및 하나 또는 그 이상의 운반표면과 하나 또는 그 이상의 양각된 몰딩 형상을 포함하는 접촉면을 가지는 몰딩 소자를 제공하고;
컨포멀 운반층을 포함하는 하나 또는 그 이상의 전자소자부품과 몰딩 소자를 접촉함으로써 상기 컨포멀 운반층의 하나 또는 그 이상의 운반표면 위에 상기 하나 또는 그 이상의 전자소자부품과 몰딩 소자를 포지셔닝하고;
패터닝된 컨포멀 운반층을 가지는 호스트 기판 상에 처리된 프리폴리머층과 상기에서 언급한 포지셔닝 된 하나 또는 그 이상의 전자소자부품을 가지는 몰딩 소자를 접촉함으로써, 적어도 부분적으로 상기 하나 또는 그 이상의 전자소자부품과 상기 하나 또는 그 이상의 양각된 몰딩 형상을 프리폴리머층 내에 삽입하고;
프리폴리머층을 경화시킴으로써, 고분자층을 형성하고, 고분자층 내에서 컨포멀 운반 소자의 하나 또는 그 이상의 양각된 몰딩 형상들이 고분자층 내부의 하나 또는 그 이상의 함몰부으로서 복제되고;
상기 고분자층으로부터 컨포멀 운반 소자가 분리되어, 고분자층 내부의 하나 또는 그 이상의 전자소자부품이 상기 고분자층에 포함되고;
중합체층의 표면에 충진재가 적용되고; 및
하나 또는 그 이상의 함몰부의 최소한의 일부분에 상기 충진재를 충진시키기 위하여 상기 중합체의 표면을 따라 절삭 공구로 드래깅하는 단계를 포함한다.
이 부분의 다른 방법은;
앞에서 언급된 프리폴리머가 처리된 호스트 기판을 제공하고;
적어도 부분적으로 하나 또는 그 이상의 전자소자부품이 프리폴리머층에 삽입되어, 프리폴리머층에서 하나 또는 그 이상의 함몰부이 상기 삽입 단계 동안 프리폴리머층에서 패턴화되고;
프리폴리머층이 경화됨으로써, 하나 또는 그 이상의 함몰부을 가지는 고분자층이 형성되고 상기 고분자층 내에 상기 하나 또는 그 이상의 전자소자부품을 고정시키고; 및
적어도 하나 또는 그 이상의 리세스된 특성을 가지는 전도성 물질의 일부분을 충진시키고, 그 곳에서 상기 전도성 물질은 하나 또는 그 이상의 전기적 배선을 적어도 한 가지 전자소자 부품에 제공하는 단계를 포함한다.
다른 측면에서, 소자 기판에 인쇄 가능한 전자 소자를 마스킹하는 방법을 제공한다. 이 측면의 방법은;
접촉영역을 가지는 인쇄가능한 전자소자를 제공하고, 접촉영역에서 인쇄가능한 전자소자는 하나 또는 그 이상의 동종 또는 여러 이종 앵커(anchor)를 통해 호스트 기판에 고정되고;
상기 적합한 운반 소자(transfer device)의 접촉면을 갖는 상기 인쇄가능한 전자소자의 상기 접촉영역에 접촉하고, 그 곳에서 상기 적합한 운반 소자(transfer device)의 접촉면은 상기 인쇄가능한 전자소자의 접촉영역보다 더 작은 면적을 가지고, 그 곳에서 상기 접촉영역과 접촉면은 서로 중심을 조정하고, 그 곳에서 상기 접촉면과 접촉영역 사이의 접촉은 상기 접촉면에 인쇄가능한 전자소자를 결합하고;
상기 인쇄가능한 전자소자와 호스트 기판이 분리됨으로써, 상기 하나 또는 그 이상의 동종 또는 여러 이종 앵커(anchor)가 박리되고;
상기 소자 기판의 수용 표면을 가지는 접촉면 상에 처리된 상기 인쇄가능한 전자소자와 접촉하고; 및
상기 적합한 운반 소자(transfer device)의 접촉면과 상기 인쇄가능한 전자소자가 분리되고, 그 곳에서 인쇄가능한 전자소자는 수용 표면 쪽으로 운반됨으로써, 상기 소자 기판의 수용 표면상에 인쇄가능한 전자소자를 조립하는 단계를 포함한다.
일 구체예에 있어서, 상기 컨포멀 운반 소자의 접촉면은 상기 인쇄가능한 전자소자의 접촉영역의 백분율로, 예를 들면, 25 %, 30 %, 40 %, 50 %, 50 % 미만 또는 25 ~ 75 %의 범위에서 선택된다. 특정한 구체예에서, 상기 컨포멀 운반 소자의 접촉면과 상기 전자소자의 접촉영역은 서로 중심을 조정하는데, 예를 들면, 1 ㎛, 2 ㎛, 10 ㎛, 1 ㎛초과, 10 ㎛초과, 또는 1 ~ 100 ㎛의 범위에서 선택된다. 선택적으로 상기 컨포멀 운반 소자의 접촉면은 상기 컨포멀 운반 소자의 양각 형상 상에 제공된다. 한 구체예에서, 상기 컨포멀 운반 소자는 PDMS 스탬프(PDMS stamp)이다.
일부 구체예에서, 상기 컨포멀 운반 소자는 다수의 접촉영역을 제공하는 다수의 양각 형상을 포함한다.
선택적으로, 한 구체예에서 상기 컨포멀 운반 소자는 다수의 접촉 영역을 제공하는 다수의 양각 형상을 포함하고, 상기 방법은 각각의 접촉 영역을 가지는 다수의 인쇄가능한 전자소자를 제공하는 것을 포함하고, 그 곳에서 상기 각각의 인쇄가능한 전자 소자는 하나 또는 그 이상의 동종 또는 여러 이종 앵커(anchor)를 통해 호스트 기판에 고정되고;
상기 컨포멀 운반 소자의 접촉영역을 포함하는 상기 인쇄가능한 전자소자의 접촉영역에서 상기 컨포멀 운반 소자의 각각의 접촉 영역은 상기 인쇄 가능한 전자소자의 각각의 접촉 영역보다 작은 면적을 가지고, 그 곳에서 상기 접촉 영역과 접촉 부분은 서로 중심을 조정하고, 그 곳에서 상기 접촉 부분과 접촉 영역 사이의 접촉은 상기 접촉부분에 인쇄가능한 전자소자를 결합하고;
상기 인쇄가능한 전자소자와 호스트 기판을 분리함으로써, 동종 또는 여러 이종 앵커(anchor)를 박리하고;
상기 소자 기판의 수용 표면을 가지는 접촉부분 위에 처리된 상기 인쇄가능한 전자소자와 접촉하고; 및
상기 적합한 운반 소자(transfer device)의 접촉부분과 상기 인쇄가능한 전자소자가 분리되고, 그 곳에서 인쇄가능한 전자소자는 수용 표면쪽으로 전달됨으로써, 상기 소자 기판의 수용 표면상에 인쇄가능한 전자소자를 조립하는 단계를 포함한다.
유용한 인쇄 가능한 전자소자는 P-N 접촉, 박막트랜지스터, 단일 접합 태양전지, 다중 접합 태양전지, 포토다이오드, 발광다이오드, 레이저, CMOS 소자, MOSFET 소자, MESFET 소자, 태양전지, 미세전자기계적 소자, HEMT 소자 또는 이들의 조합을 포함하나 상기의 것들에 제한되지 않는다. 구체예에서, 상기 소자 기판은 유연기판, 대면적 기판, 금속전처리된 기판(pre-metalized substrate), 하나 또는 그 이상의 소자 부품이 포함된 프리 패터닝된(pre-metalized substrate) 기판, 또는 이들의 조합이다.
본 발명에 따른 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리는 하나 또는 그 이상의 소자부품들이 고분자 내로 삽입된 전자소자의 조립에 유용하다.또한, 예를 들어, 디스플레이 또는 조명 시스템을 위한 GaN 발광 다이오드 및 이의 제조하는 데 유용하다.
도 1 (A)는 GaAs 웨이퍼 상부에 성장하여 적층된 에피택셜 다층구조를 통해 식각이 패터닝된, 수직형으로 만들어진 AlInGaP 발광다이오드(LED) 구조체(50 ㎛씩)의 사각 어레이(square array)의 주사전자현미경(SEM) 사진이고,
(B)는 AlAs의 희생 에피층 상에 상기 발광다이오드(LED) 반도체층(피복층, 확산층 및 접촉층 뿐만 아니라 양자샘(quantum wells))을 나타내는, 상기 구조체 중 하나의 횡단면 주사전자현미경(SEM) 사진이며,
(C)는 GaAs 웨이퍼에서 타겟 기판(여기에서는 연성 시트(flexible sheet)로서 도시됨)으로 방출된 발광다이오드(LEDs)(회색)의 집합체를 전달하기 위한 인쇄 기반의 조립 방법의 개략도이고,
(D)는 스탬프를 포함하는 발광다이오드(LEDs)(백색 화살표로 표시됨)의 세트가 제거된 후 GaAs 웨이퍼의 주사전자현미경(SEM) 사진이며,
(E)는 상기 스탬프를 이용하여 인쇄된 상기 타겟 기판 부분의 주사전자현미경(SEM)사진이고,
(F)는 (D)의 어레이(array)로부터 각각의 발광다이오드(LED)(예를 들면 무기발광다이오드(ILED))를 확대한 주사전자현미경(SEM) 사진이며, 이때, 상기 소자의 맨 끝 코너 두 곳에 있는 한 쌍의 "박리된" 포토레지스트(PR) 앵커(anchors)는 다이빙 보드(diving board)의 분산된 형태에서 GaAs 웨이퍼의 상부에 소자를 고정하여 스탬프로 제거를 용이하게 하고, 흰색 화살표는 AlAs가 제거된 부분을 가리킨다.
(G)는 GaAs 웨이퍼의 상부에서 상기 소자의 고밀도 집합체에 대한 전자주사현미경(SEM)의 사진이고, 이때, 상기 검정색 화살표와 흰색 점은 대략 (F)의 이미지에 해당하는 칩 부분을 가리킨다.
(H)는 (G)에 나타난 상기 칩으로부터 파생된, 다른 간격으로 소자의 희소 어레이(sparse arrays)가 인쇄된 타겟 기판의 광학 사진이고,
(I)는 여기에 표시된, 원통형의 유리기판(메인 패널) 상에 둘러쌓여진 얇고, 연성인 플라스틱 시트에 인쇄된 무기발광다이오드(무기발광다이오드, 1.4 mm의 피치를 가지며 사각 어레이 내에, 1600 개의 소자)의 대규모 집합체이며, 이때, 삽입도는 유리 판상에 인쇄된 무기발광다이오드(무기발광다이오드, 1.4 mm의 피치를 가지며 사각 어레이 내에, 1600 개의 소자)의 유사한 집합체를 나타낸다. 이러한 경우에, 상대적으로 큰 무기발광다이오드(inorganic light emitting diodes)는 보는 것을 용이하게 하기 위해 선택된다. (E)의 단위를 가지는 소자는 이 배율에서 보이지 않는다.
도 2 (A)는 금속 메쉬(하단 ; n형 접합)와 금속 필름(상단 ; p형 접합)에 의해 접합된 무기발광다이오드(inorganic light emitting diodes)의 분해 개략도이고, 이때, 얇은 PDMS의 접합 층은 유리기판 상의 인쇄를 용이하게 한다. 상기 소자의 상부의 에폭시로 광패터닝된 층은 상기 바닥 입자의 상부 필름의 단락(shorting)을 막는다.
(B)는 전면 조명을 가진 오프상태(왼쪽)와 조명이 없는 온(on) 상태(오른쪽)에서 무기발광다이오드(inorganic light emitting diodes)(상단 : 25 ㎛ 씩, 사각형 형상; 하단 : "LED" 문자) 어레이의 광학 현미경 사진이고,
(C)는 집적화된 오믹접촉(ohminc contacts)을 가지는 무기발광다이오드(ILED)의 개략도(왼쪽)와 동작 소자(오른쪽)의 광학 이미지로, 상기 접촉 또는 프로브 팁(prove tip)에 의해 직접적으로 가려져 있지 않아 모든 부분에서 균일한 방출 특성을 나타낸다. 상기 영역은 각각의 접촉 전극과 상기 소자 주변에 대응하여 노란색과 흰색의 점선 박스들로 그려져 있다. 소자의 동작을 평가하기 위하여 사용된 상기 프로브 팁(probe tip)에 따라 상기 영역은 "PT"로 표시하였다.
(D)는 상기 GaAs 웨이퍼 상의 언더컷(undercut) 식각을 하기 전과 폴리우레탄이 코팅된 유리 슬라이드 상부로 인쇄를 운반한 후의 대표적인 소자의 전류-전압-방출 특성을 나타내고, 상기 삽입도는 소자의 집합체에서 0.1 mA의 전류를 생산하기 위해 필요로 되는 바이어스 전압의 도수분포 그래프를 제공한다.
(E)는 웨이퍼 상에서 대표적인 소자에 대한 방출 스펙트럼 특성과 인쇄가 운반된 후의 방출 스펙트럼이다.
도 3 (A)는 수동형 레이아웃(passive matrix layout)의 무기발광다이오드(inorganic light emitting diodes)에 인쇄된 어레이가 서로 연결된 평면 개략도이고, 이때, 가로 열과 열 전극(column electrodes)에 적용되는 전압의 계통제어(coordinated control)는 수동형 표시 형식(passive matrix display mode)으로 동작하도록 만든다.
(B)는 (A)에 나타난 레이아웃, 플라스틱(PET) 시트 위, 마네킹 손(메인 패널; 사람의 체격을 기준으로 함; 반경 ~8 mm)의 엄지손가락의 주변에 둘러 싸여진 및 원통형의 유리관(삽입된 것; 반경 ~12 mm)에서 무기발광다이오드(inorganic light emitting diodes)의 16 어레이씩을 포함하는 유연 디스플레이(flexible display)의 사진이고, 이때, 전자장치를 제어하기 위한 외부 계면은 컬럼에 결합된 리본 케이블(ribbon cables)을 통해 발생하고, 행전극(row electrodes)은 상기 디스플레이의 주변부로부터 나타난다.
(C)는 유리 기판 상에 무기발광다이오드(inorganic light emitting diodes)의 희소 어레이를 포함하나 유사한 레이아웃을 사용하는 비교적 큰 반투명 디스플레이 사진이고, 이때, 카메라는 배경으로 종이에 초점을 맞추고 있다. 흰색의 점선 박스는 상기 디스플레이의 활성 영역의 경계선을 나타낸다.
(D)는 양방향 방출 특성을 나타내기 위한, 거울(왼쪽 상단)의 앞에서 다른 패턴을 나타내는 유사한 소자(오른쪽 하단)의 사진이고, 이 시스템에서, 상기 무기발광다이오드(inorganic light emitting diodes)는 전체 면적의 0.8 %만을 나타낸다. 삽입도는 상기 소자의 작은 면적 범위를 보여주기 위해, 오프(off) 상태일 때의 디스플레이의 영역을 확대한 사진을 나타낸다. 검정색 화살표는 이 배율에서 가까스로 볼 수 있는 상기 무기발광다이오드(inorganic light emitting diodes) 중 하나를 나타낸다.
도 4 (A)는 양자 우물 영역(quantum well region)에서 변형률 분포(백분율)의 색상 플롯과 상기에 해당하는 시뮬레이션 동안 사용되는 한정 소자 메쉬(상)와, 변형되지 않은 상태 및 변형된 상태에서 연신가능한(stretchable) 고무기판상의 무기발광다이오드(inorganic light emitting diodes)의 광학 현미경 사진(하)이며, 하단 패널은 외부 조명이 있을 때와 없을 때 각각에 대하여, 오프 (상)와 온 (하) 상태에서 광학 현미경 사진을 나타낸다.
(B)는 고무 기판 상의 수동형, 연신가능한(stretchable) 무기발광다이오드(ILED) 디스플레이는 동일평면상에 있지 않은 메쉬(mesh) 구조를 사용한다. 여기에, 인접한 소자들 간의 배선(interconnect lines)은 적용된 변형에 대응하여 변형이 가능한 아크 모양의 다리 구조에 의하여 지지된다. 상기 메인 패널(main panel)과 삽입도 모두는 선명한, 합성 사진을 제공하기 위해 다른 초점 심도(focal depths)에서 촬영된 사진을 결합한 자동 카메라 시스템으로 수집한 것이다.
(C)는 (B)에 나타난 상기 디스플레이의 4 픽셀 세트의 광학 현미경 사진. 상기 위와 아래의 사진은 외부 조명이 있을 때와 없을 때 각각에 대하여, 오프 (상)와 온 (하) 상태에서의 광학 현미경 사진을 나타낸다. 좌측 구조의 경우에 여러 개의 적색 점들은 상호 연결된 다리로부터의 반사에서 나온 결과이다.
(D)는 다르게 적용된 변형에서, 상기 디스플레이의 대표적인 무기발광다이오드(ILED)의 전류(I)-전압(V)을 측정한 것을 나타내고,
(E)는 22 %의 변형(strain)을 적용하여 500회의 변형 사이클 후에 측정된 전류 20 ㎂를 발생시키기 위하여 필요로 되는 전압(V). 삽입도는 상기 사이클 시험 후의 전류-전압 거동을 나타낸다. 상기 소자는 비오믹접촉의 사용으로 상대적으로 높은 턴-온 전압(turn-on voltages)을 갖는다.
도 5는 갈륨 비소 웨이퍼(오른쪽)상에 적층된 에피택셜 반도체 다층 구조의 횡단면의 개략도(왼쪽) 및 주사전자현미경(SEM) 사진(가운데)이다. 측면으로 기술된, GaAs 웨이퍼 상의 사각 무기발광다이오드(inorganic light emitting diodes), 사각 어레이의 주사전자현미경(SEM) 사진. 에피-스택층의 세부 사항을 표로 정리한 것이다(하단).
도 6은 GaAs 소스 웨이퍼로부터 무기발광다이오드(inorganic light emitting diodes)를 회수하는 제조 단계에 대한 개략도 및 광학 현미경 사진/주사전자현미경(SEM) 사진이다.
도 7은 핵심 부품들이 장착된, 자동화된 인쇄 기기의 사진이다.
도 8 (A)는 복합 스탬프를 이용하여 선택된 일련의 무기발광다이오드(inorganic light emitting diodes)를 회수하고 인쇄한 개략도이고,
(B) 세번의 인쇄 사이클 후 인쇄 소스 웨이퍼의 광학 현미경 사진.
(C) 영역 확장 개념을 보여주는, (B)의 상기 소스 웨이퍼로부터 얻어진 드문드문 인쇄된 무기발광다이오드(inorganic light emitting diodes) 기판의 광학 현미경 사진이다.
도 9는 도 2 (A)의 무기발광다이오드(inorganic light emitting diodes)의 제조 단계 개략도이다.
도 10 (A)는 L1 = 10 ㎛, L2 = 20 ㎛, L3 = 30 ㎛, L4 = 40 ㎛, L5 = 50 ㎛, L6 = 60 ㎛, L7 = 70 ㎛의 간격을 둔 전송 선로 모델(transmission line model, TLM) 패턴의 광학 현미경 이미지이고,
(B)는 어닐링 온도의 함수로서 p 접촉(Pt/Ti/Pt/Au = 10/40/10/70 nm)과 관련된 I(전류)-V(전압) 곡선이며,
(C)는 다른 어닐링 온도에서 평가되는, p 접촉 금속전극증착(metallization)에대한, 길이 간격 함수로서의 저항이고,
(D)는 어닐링 온도의 함수로서 n 접촉(Pd/Ge/Au = 5/35/70 nm)과 관련된 전류-전압 곡선이며,
(E) 다른 어닐링 온도에서 평가되는, n 접촉 금속전극증착(metallization)에 대한, 길이 간격 함수로서의 저항이다.
도 11 (A)는 언더컷(undercut) 식각이 수행되는 동안, 상기 측면을 보호하기 위해 패시베이션(passivation) 방식으로 수행될 때와 그렇지 않을 때의 오믹접촉(ohmic contacts)을 가지는 무기발광다이오드(ILED) 소자의 전류-전압 곡선을 나타낸 것이고,
(B)는 운반(transfer) 이전과 이후의, 오믹접촉(ohmic contacts)과 패시베이션(passivation) 방식을 가지는 무기발광다이오드(ILED) 소자(50 ×50 ㎛ 및 100 ×100 ㎛)의 전류-전압 곡선을 나타낸 것이다.
도 12 (A)는 수동형 어레이(passive matrix array)를 완성하기 위하여 전기적 배선(electrical interconnections)을 제작하는 제조 단계의 개략도이고,
(B)는 습식 식각에 의한 n-GaAs 노출 후 무기발광다이오드(inorganic light emitting diodes) 어레이의 배열을 나타낸 광학 현미경 사진이며,
(C)는 습식 식각에 의한 n-GaAs 노출 후 무기발광다이오드(ILED)의 횡단면을 타나낸 주사전자현미경의(SEM) 사진이고,
(D)는 전기적 배선(electrical interconnections)을 가지는 무기발광다이오드(inorganic light emitting diodes) 어레이의 광학 현미경 사진이다.
도 13은 마네킹의 손목(A)과 손가락(B, C)에 둘러 싸여진 플라스틱 기판 상의 16 × 16 무기발광다이오드(ILED)(210 ㎛의 피치를 가지는 100 ㎛ ×100 ㎛)디스플레이의 광학 사진 (오른쪽 하단). 비작업(non-working) 픽셀의 배치도 ('X' 기호로 표시)이다.
도 14 (A)는 ACF 리본 케이블(ribbon cables) 접속(ACF ribbon cable connection)이 갖추어진 유리 기판 상의 16 × 16 무기발광다이오드(ILED)(70 ㎛의 피치를 가지는 50 ㎛ ×50 ㎛)디스플레이의 광학 사진이고,.
(B)는 상기 작동 동안의 디스플레이의 광학 사진 (왼쪽 상단)으로, 비작업(non-working) 픽셀의 배치도를 나타낸다('X' 기호로 표시).
도 15는 플라스틱 기판 상의 16 × 16 무기발광다이오드(ILED)(210 ㎛의 피치를 가지는 100 ㎛ ×100 ㎛) 디스플레이의 전기적 물성. (A) 20 ㎂에서의 전압 플롯 및 (B) R = ∞, 17.3, 12.6, 8.8, 7.3 mm에서의 전류-전압 곡선. (C) 20 ㎂에서의 전압 플롯 및 (D) R = 8.8 mm로 500 회까지 벤딩 사이클(bending cycle)의 함수로서의 전류-전압 곡선을 나타낸다. 비오믹접촉의 사용으로 상대적으로 높은 턴-온 전압(turn-on voltages)을 갖는다.
도 16은 (A, B) 동작 동안 유리기판 상의 16 × 16 무기발광다이오드(ILED)(1.20 mm의 피치를 가지는 100 ㎛ ×100 ㎛)디스플레이의 광학 사진이고, (C) 비작업(non-working) 픽셀의 배치도이다('X' 기호로 표시).
도 17 (A)는 물결 형상의 무기발광다이오드(inorganic light emitting diodes) 리본 제조 단계의 분해 개략도이고,
(B)는 주사 초점 방식으로 수집된 50 ㎛와 100㎛너비를 가지는 물결 형상의 무기발광다이오드(inorganic light emitting diodes) 리본의 광학 현미경 사진 및 다른 변형 상태에서 물결 형상의 무기발광다이오드(inorganic light emitting diodes)의 광학 현미경 사진(물결 형상에서 평판 형상), (C) 조명으로 비방출, (D) 조명으로 방출, (E) 조명없이 방출된 물결 형상의 무기발광다이오드(inorganic light emitting diodes)의 광학 현미경 사진이고,
(F)는 다른 변형 상태에서의 전류-전압 곡선으로, 비 오믹접촉의 사용으로 상대적으로 높은 턴-온 전압(turn-on voltages)을 갖는다.
도 18 (A)는 물결 형상(위)과 평판 형상(아래)내의 물결 형상 무기발광다이오드(inorganic light emitting diodes) 리본으로부터, 조명없이 수집된 방출 광학 현미경 사진으로, 픽셀의 색상 분석은 (A) 상용 소프트웨어 패키지 (포토샵, 어도비 시스템)에서 이용 가능한 유틸리티를 사용하여 흰색의 사각형 박스에 나타내었다: 리본 길이(0 = 흰색, 255 = 풀 레드(full red))에 따른 위치의 함수로서 상기 물결 형상(B) 및 평판 형상(C) 에서 방출된 적색의 범위이고, (D)는 (B) 및 (C)로부터 상기 리본 너비를 가로지르는 적색 발광의 평균 범위이다.
도 19 (A)는 연신가능한(stretchable) 무기발광다이오드(inorganic light emitting diodes) 디스플레이의 제조 단계 개략도이고,
(B)는 비작업(non-working) 픽셀의 배치도('X' 기호로 표시)이다.
도 20은 수동형(passivation matrix)의 광학 현미경 사진으로, 연신가능한(stretchable) 무기발광다이오드(inorganic light emitting diodes) 디스플레이는 평평한 고무 기판 상에, 동일평면상에 있지 않은 메쉬(mesh) 구조를 사용한다.
도 21은 수동형의 광학 현미경 사진 및 주사전자현미경(SEM) 사진으로, 연신가능한(stretchable) 무기발광다이오드(inorganic light emitting diodes) 디스플레이는 굽어있거나 휘어진 고무 기판상에, 동일 평면상에 있지 않은 메쉬(mesh) 구조를 사용한다.
도 22 (A)는 압축된 구조(왼쪽)과 늘어난 구조(오른쪽)에서 고무 기판 상의 연신가능한(stretchable) 무기발광다이오드(inorganic light emitting diodes) 개략도이고,
상기 소자에서의 변형률 분포 : (B) 상단 표면, (C) 중단 표면 (양자 우물 영역(quantum well region), (D) 압축된 상태에서 하단 표면 및 (E) 늘어난 상태에서 중단 표면이다.
도 23은 연신가능한(stretchable) 무기발광다이오드(inorganic light emitting diodes) 디스플레이의 변형률 분포: (A) 상단 표면, (B) 중단 표면 (양자 우물 영역(quantum well region)) 및 (C) 무기기발광다이오드(ILED)의 하단 표면이다.
도 24는 인쇄와 미세규모의 소자 부품을 서로 연결하기 위한 절차 개략도로,
(a) 첫 번째 단계는 소스 기판에 소자(사각형, 어두운 회색 블록들, 금 전극)의 제조를 수반한다.
(b) 탄성을 가진 스탬프 (하늘색)는 전극 부분이 접촉하는 양각 특성에 대한 반데르발스 접착을 통해 이러한 소자의 집합체를 회수한다.
(c) 스탬프를 이동하여, 이 방식으로 소자에 '잉크가 발라진', 액상의 프리폴리머(prepolymer)(황갈색) 층을 접촉하여, 고체 형태로 경화시킴으로써 집적된 몰드 구조를 생산함에 따라, 소자를 고정시킨다.
(d) 이 구조 위에 전도성 페이스트 (밝은 회색)를 스크랩핑(scraping) 한 것을 몰딩된 특성으로 충진된 소자와 그것들을 상호 연결하는 배선에 전기적인 접촉을 형성시킨다.
도 25 (a)는 PET 기판 상에 PU층을 몰딩함으로써 형성된 전도 특성을 가지는 집합체의 광학 사진, 및 상기 결과로 초래된 실버 에폭시 홈이 충진된 사진을 나타내며, 상기 결과는 쉽게 형성될 수 있는 크기, 형태 및 면적 특성의 범위를 보여준다.
(b)는 20 ㎛의 깊이와 20 ㎛의 폭(왼쪽) 및 200 ㎛의 폭(오른쪽)으로 충진된 배선들의 주사전자현미경(SEM) 횡단면 사진으로, 가로와 세로의 비율을 높이고 특성을 좁힘으로써 전도성 물질을 적합하게 수정하여 달성될 수 있다.
(c)는 교차된 금속 패드(Cr/Au, 100/1000 nm; 500 ×500 ㎛; 1.5 mm 피치)의 상호 연결된 어레이, 그러나 PET 기판상에 전기적으로 독립된 전도성 배선들을 나타내며, 상기 결과는 각각 배선을 형성하고 금속 패드에 접촉하기 위하여, 스탬프/몰드를 가진 배선(100 ㎛ 폭 및 20 ㎛ 깊이)과 직사각형 형상(100 × 300 ㎛ 측면 치수 및 40 ㎛ 깊이)을 사용하였다. 왼쪽 하단과 오른쪽 프레임은 대표적인 패드 근처의 구조를 각각 개략화한 그림 및 상단에서 본 광학 현미경 사진을 제공한다.
(d)는 열(r1, r2 등)과 컬럼(c1, c2 등)을 서로 연결하는 배선이 다르게 조합된 접촉 패드를 관찰하기 위하여 수집된 전류/전압 자료는 컬럼과 배선을 따라 전기적인 연속성과 컬럼과 열 사이의 전기적인 분리를 증명한다.
도 26 (a)는 초박막 레이아웃(2.5 ㎛ 두께)과 서로 연결된 몰딩된 배선들에 형성된 6세트의 AlInGaP 발광다이오드(LEDs; 250 ×250 ㎛)의 광학 사진으로, 상기 어레이에 형성된 선택적 인쇄; 실버 에폭시 배선을 채우는 것에 따라 정렬된 몰딩이다. 상부의 삽입도는 상단에서 본 광학 현미경 사진을 제공한다. 중간에 있는 세개의 소자는 발광을 일으킬수 있도록 전원을 공급 장치에 연결되었다.
(b)는 상기 발광다이오드(LEDs)의 전류 /전압 특성(비 오믹접촉)이다.
도 27 (a)는 집적된 접촉을 가진 다섯 개의 실리콘 단결정 막대들로 구성된 광전지 미니모듈(minimodule)의 개략도이고, 왼쪽과 오른쪽 프레임은 각각 실버 에폭시를 가진 몰드 홈을 충진하기 전, 후의 구조를 보여준다. 상기 몰딩된 중합체(PU)는 황갈색을 나타낸다. 상기 실리콘 전지는 검정색이고, 금 접촉을 갖는다. 몰드 홈을 실버 에폭시(밝은 회색)로 충진하면 오른쪽에 있는 배선 구조를 생성한다. p 및 n 도핑 영역에 금속(Cr/Au, p 접촉에 대하여 100/1000 nm; 50 ㎛의 폭 및 100 ㎛의 길이 ; n 접촉에 대하여 50 ㎛의 폭 및 1.4 mm의 길이)의 오믹접촉을 가진 각 막대는 50 ㎛의 폭, 1.55 mm의 길이 및 20 ㎛의 두께를 가진다.
(b) 시편의 광학 사진이며 삽입도는 구조의 한부분에 대한 횡단면도를 나타낸다.
(c) 전류/전압 특성을 상온의 밝고 어두운 곳에서 실시하였다. 태양전지의 효율 (Eff) 및 충진율(FF)은 각각 6.5 %와 0.61 %이었다.
도 28은 GaAs 발광다이오드(LED) 웨이퍼의 에피택셜 층을 나타낸다.
도 29는 μ- GaAs 발광다이오드(LED)에 대한 제조 공정도를 나타낸다.
도 30 (A) 호스트 웨이퍼 상에 하나의 독립된 GaAs 발광다이오드에 대한 주사전자현미경(SEM) 사진이고,
(B)는 이종(heterogeneous)으로 이루어진 앵커(anchors)가 사진석판술(photolithographically)로 정의된 후의 GaAs 발광다이오드(LED) 주사전자현미경(SEM)사진이며,
(C)는 PET 기판상에 운반 인쇄된 GaAs 발광다이오드의 주사전자현미경(SEM) 사진이고,
(D)는 운반 인쇄 공정 전, 후의 GaAs 발광다이오드의 광학 현미경(OM) 사진이다.
도 31 (A)는 상기 인쇄된 μ- GaAs 발광다이오드(LED)를 보여주는 개략도이고,
(B)는 휘도(luminance) 대비 전류(current)-전압(voltage) 특성 그래프이다.
도 32는 실리콘 기판 상의 대표적인 GaN 소자의 에피택셜 층 구조이다.
도 33은 각각 인쇄 가능한 μ-GaN 발광다이오드(LEDs)의 제조 공정도이다.
도 34는 수산화칼륨(KOH) 언더컷(undercut) 공정 전, 후의 μ-GaN 발광다이오드(LED) 전지 앵커의 주사전자현미경(SEM) 사진이다.
도 35는 몇 차례의 스텝앤드리피트(sten-and-repeat) 운반 인쇄 공정이 수행된 후의 공여 기판의 광학 사진이다.
도 36은 μ-GaN 발광다이오드(LEDs) 상에서의 스텝앤드리피트(sten-and-repeat) 공정을 나타낸다.
도 37 (a)는 광학 사진 (b) 전류-전압 특성 및 (c) 작동하에서 각각의 μ-GaN 발광다이오드(LED) 전지의 방출스펙트럼이다.
도 38은 수산화칼륨(KOH) 언더컷(undercut) 전, 후의 GaN 발광다이오드(LED) 소자의 전자주사현미경(SEM) 사진으로, 수산화칼륨(KOH)에 확장된 노출은 거칠어진 GaN 측면을 완화시킨다.
도 39는 인쇄가능한 GaN 소자의 측면 부동태화(sidewall passivation) 개략도이다.
도 40은 레이저 박리(lift-off)를 이용한 인쇄가능한 GaN이다.
도 41은 InGaN 희생층에 PEC 식각을 사용하여 자립형(Freestanding) GaN 소자를 전달한다. 자립형(Freestanding) GaN 소자와 AlGaN에 대한 PEC 식각의 전자주사현미경(SEM) 사진*이 삽입되었다.(*좌측 사진: E. Habereret al. Appl. Phys. Lett. 85, 5179 (2005), 우측 사진: R. Sharma et al Appl. Phys. Lett. 87, 051107 (2005))
도 42는 EC(electrochemical)에 의한 희생층의 부분적인 식각이다.
도 43은 특정 식각액(NH4Cl)을 사용한 희생층(예: ZnO)의 부분적인 식각이다.
도 44는 이종(heterogeneous)들로 이루어진 앵커(anchors)의 광학 현미경(OM) 사진이다.
도 45는 이종(heterogeneous)으로 이루어진 앵커 구조의 다양한 형상이다.
도 46 (A)는 동종(homogeneous) 앵커의 광학 현미경(OM) 사진이고; (B)는 동종(homogeneous) 앵커의 주사전자현미경(SEM) 사진이다.
도 47은 상업적으로 이용가능한 와이어가 결합된 발광다이오드(LED)의 주사전자현미경(SEM) 사진이다.
도 48 (a)는 전지 설계 개략도. (b) 후면(back-side) 노출을 통한 캡슐화(encapsulation) 공정도이다.
도 49는 후면(back-side) 노출을 통해 캡슐화(encapsulation)하여 보호막을 입힌 μ- GaN 발광다이오드(LED)의 (a) 전자주사현미경(SEM) 사진 (b) 광학 현미경(OM) 사진이다.
도 50은 후면(back-side) 노출 공정을 통해 캡슐화(encapsulation)한 후 μ- GaN 발광다이오드(LED)를 사용하여 조사된 개요도이다.
도 51은 직렬로 연결된 5개의 μ- GaN 발광다이오드(LEDs)이다.
도 52는 직렬로 연결된 5개의 μ- GaN 발광다이오드(LEDs) 두 줄이다.
도 53은 몰딩된 배선(interconnection)에 대한 제조도이다.
도 54는 몰딩된 배선(interconnection)에 대한 제조도이다.
도 55 (a)는 1 GaAs 발광다이오드(LED) 전지의 광학 이미지이고, 250 ×250 ㎛2 인 9 GaAs 발광다이오드는 독립적으로 전도성 은페이스트를 사용하여 금속화된다.
(b)는 1 GaAs 발광다이오드(LED)의 전류(I)-전압(V) 특성이다.
도 56은 부분적인 경화에 대한 반사 레이어를 추가한 몰딩된 배선의 접촉이다.
도 57은 몰딩된 배선 접촉의 분리이다.
도 58은 GaAs 발광다이오드(LED)의 수직 구조이다.
도 59는 메쉬(mesh) 배선 접촉에 대한 제조 공정도이고, 또한 금속 메쉬(mesh)(하단; n 접촉)에 의해 접촉된 무기발광다이오드(inorganic light emitting diodes)의 어레이와 금속 필름(상단; p 접촉)을 나타낸다.
도 60은 직렬로 연결된 μ- LED와 병렬로 연결된 μ-LED의 의 줄(string)을 비교한 것이다.
도 61은 플라스틱 기판에 인쇄된 μ-LEDs가 직렬로 연결된 광학 사진이다.
도 62는 μ-LEDs가 인쇄된 수동형 디스플레이(passive-matrix display)에 대한 평면 배선의 제조 개략도이다.
도 63은 연신가능한(stretchable) 기계적인 배선을 가지는 5개의 μ- GaN LEDs 디스플레이에 피팅된(fitted) 공간적으로 독립적인 마이크로 렌즈 어레이(micro lens array)다.
도 64는 연신가능한(stretchable) μ-LEDs이다.
도 65 (a)는 거울 같은 면은 내부 반사를 증가시킨다, 그러나 b) 거칠어진 표면은 내부 반사를 줄여준다.
도 66은 피라미드 구조의 형성은 자외선의 존재 하에서 완전하게 제조되고/언더컷(undercut)한 소자의 PEC KOH 식각에 의하여 수행된다.
도 67은 외광(outcoupling)을 가지는 μ-GaN 유기발광다이오드(LED)의 광 향상: GaN 원뿔 구조이다.
도 68은 중합체 마이크로-렌즈 내의 GaN 발광다이오드(LED)의 캡슐화는 빛 방출 효율을 증가시킨다.
도 69는 마이크로-발광다이오드(LED) 디스플레이에 적합한 마이크로-렌즈 어레이를 형성하기 위한 제조 공정도이다.
도 70은 마이크로-발광다이오드(LED) 디스플레이에 적합한 공간적으로 독립적인 마이크로-렌즈 어레이를 형성하기 위한 제조 공정도이다.
도 71은 중합체 패턴(polymeric pattern)을 가진 빛의 증진을 위한 개략도이다.
도 72는 투명기판 상에 인쇄된 μ- LED로부터의 빛 방출에 대한 양방향 특성이다.
도 73은 방사장치(reflector) 및 μ- LED상에 인쇄된 방열판(thermal heat sink)의 집적화이다.
도 74는 μ- LED의 다중층이다.
도 75는 AlInGaP μ- LED의 다양한 구동 조건에서의 열감지 사진이다.
도 76은 플라스틱 기판상에서 인쇄된 μ- LED의 열관리이다.
도 77은 방열판(heat sink)으로서 인쇄된 μ- 다이아몬드이다.
도 78은 방사장치(reflector)와 μ- LEDs상에 인쇄된 방열판(thermal heat sink)의 집적화이다.
도 79는 전자장치가 인쇄된 μ- LEDs의 여러 다른 종류들의 집적화이다.
도 80은 빛 방출의 in-situ 자기 보정에 대한, μ- LEDs를 가진 포토다이오드의 이종집적화(heterogeneous integration)이다.
도 81은 균일한 형광체(phosphors) 어레이의 제작 개략도이다.
도 82는 엘라스토머(elastomer) 홈 내의 형광물질(phosphors)이다.
도 83은 인쇄 및 패키징된 LED 위에 얇은 판이 적층된 형광체 - 캡슐화된 엘라스토머이다.
도 84는 반도체 소자를 만드는 대표적인 방법이다.
도 85는 GaN 발광다이오드(LED) 소자를 만드는 대표적인 방법이다.
도 86은 GaN 발광다이오드(LED) 소자를 만드는 대표적인 방법이다.
도 87은 GaN 발광다이오드(LED) 소자를 만드는 대표적인 방법이다.
도 88은 GaN 발광다이오드(LED) 소자를 만드는 대표적인 방법이다.
도 89는 핸들 기판(handle substrate)상에 전자 소자를 제조하는 개략도이다.
도 90 (A)는 성장 기판 상에 성장한 대표적인 반도체 구조의 횡단면도이고,
(B)는 2개의 분리된 핸들 기판(handle substrate)에 반도체 구조체의 일부를 운반하기 위한 운반 방식 개략도이다.
도 91은 일반적인 핸들 기판(handle substrate)의 별도의 성장 기판에 성장한 전자 소자의 조립(assembly)을 위한 개략도이다.
도 92 (A)는 일반적인 핸들 기판(handle substrate)의 별도의 성장 기판에 성장한 전자 소자의 조립(assembly)을 위한 개략도이고,
(B)는 핸들 기판(handle substrate)상에 조립된 전자 소자의 횡단면도이다.
도 93은 핸들 기판(handle substrate)상에 전자 소자를 제조하기 위한 개략도이다.
도 94는 핸들 기판(handle substrate)상에 전자 소자를 제조하기 위한 개략도이다.
일반적으로, 여기에서 사용된 상기 용어와 문구는 그들의 기술이 인정되는 의미를 가지며, 상기 기술에 숙련된 사람들에게 알려진 표준 문서, 참조 저널 및 문장들에서 참조하여 찾을 수 있다. 다음과 같은 정의는 본 발명의 전, 후 맥락에서 그들의 구체적인 사용을 명확히 하기 위해 제공된다.
"운반가능한" 또는 "인쇄가능한"은 상호교환적으로 사용되고 기판 위쪽 또는 안쪽으로 전달, 조립, 패터닝, 조직화(organizing) 및/또는 집적화할 수 있는 물질, 구조체, 소자 부품 및/또는 집적된 기능을 가지는 소자들에 관련된다. 구체예에서, 전송 또는 인쇄는 다층구조체를 소자기판으로 직접 전송하거나 소자 기판에 의해 지지되는 소자 또는 부품과 같이, 한 기판에서 다른 기판으로 구조체 또는 소자를 직접 전송 하는 것을 의미한다. 상기와 같이 사용되지 않을 경우, 전송가능한은 스탬프가 상기 구조체 또는 소자를 제거하고, 그 후 충분히 상기 소자 기판의 구조체 또는 소자, 또는 소자 기판 상에 있는 부품을 전달하는 것과 같이, 중간 기판을 통해 인쇄된 구조체 또는 소자를 의미한다. 구체예에서, 상기 인쇄는 고온(예: 400 ℃ 이하)에서 상기 기판의 노출 없이 일어난다. 일 구체예에서, 인쇄가능하거나 운반가능한 물질, 소자, 소자 부품 및 소자들은 기판 위쪽 또는 안쪽에서 용액 인쇄 또는 건식 운반 접촉 프린팅을 통해 전달, 조립, 패터닝, 조직화(organizing) 및/또는 집적화할 수 있다. 유사하게, "인쇄"는 기판이 스탬프 또는 그것 자체로 목적 기판(예: 소자)이 되어 기판의 기능을 하는 것과 같이, 상기 기판 위쪽 또는 안쪽에서의 전달, 조립, 패터닝, 조직화(organizing) 및/또는 집적화를 의미하는 것에 광범위하게 사용된다. 상기 직접 운반 인쇄는 저비용 및 상대적으로 간단히 반복되는 소자 기판에 다층구조체의 기능성 상단층의 전송을 제공한다. 이것은, 예를 들면, 별도의 스탬프 기판이 필요 없이, 타겟 기판의 웨이퍼로부터 총괄적인 운반을 달성한다.
"기판"은 부품을 지지할 수 있는 표면을 가진 물질을 의미하고, 소자, 부품 또는 배선을 포함한다. 상기 기판에 "결합"되어 있는 배선은 물리적인 접촉으로 서로 연결된 부분을 의미하고, 또한 상기 배선은 상대적으로 상기 기판 표면에 결합되어 충분하게 이동할 수 없다.
대조적으로, 결합되지 않은 부분은, 상대적으로 충분한 상기 기판의 이동을 가능하게 한다. 상기 배선의 결합되지 않은 부분은 주로 변형이 유도된 배선 벤딩(strain-induced interconnect bending)에 의한 것과 같은 "굽힙 구조"을 가지는 부분에 대응된다.
"호스트 기판" 및 "핸들 기판(handle substrate)"은 상호교환적으로 전자 소자가 조립되고, 제조되거나 그 외에 조작되는 기판을 의미한다.
특정 구체예에서, 핸들 기판(handle substrate)은 일시적인 기판, 예를 들면 인쇄 운반와 같은, 다른 기판으로 충분히 전송하기 위하여 구조체를 유지하는, 유용한 기판이다. 일부 구체예에서, 핸들 기판(handle substrate)은 처리 기판으로서 유용하고, 상기 처리 기판에서 핸들 기판(handle substrate)상에 나타나는 구조체는 추가적인 처리 단계를 겪는다.
"성장 기판" 은, 예를 들면 에피텍셜 성장을 통한, 물질을 성장시키는데 유용한 기판을 의미한다. 구체예에서, 성장 기판은 상기 동일한 물질이 성장되고 있는 것을 포함한다. 구체예에서, 성장 기판은 그것이 성장되는 다른 물질을 포함한다. 유용한 성장 기판은 격자 정합(lattice matched) 또는 효과적으로 격자 정합(lattice matched)이 성장되는 상기 물질이 포함되는 기판을 포함한다. 일부 구체예에서 성장 기판은 호스트 기판이다. "소자 기판"은 소자 부품 조립을 위한 유용한 기판을 의미한다. 일부 구체예에서, 소자 기판은 기능적인 소자 부품을 포함한다. 일부 구체예에서, 소자 기판은 하나 또는 그 이상의 소자 부품을 가지거나 또는 이들이 조합된 유연기판, 대면적 기판, 금속전처리된 기판(pre-metalized substrate) 및 프리 패터닝된(pre-patterned) 기판이다. 일부 구체예에서, 소자 기판은 호스트 기판이다.
여기에서 사용되는, 상기 용어 "표면"은 그것의 일반적인 의미와 일치하는 물질의 외부 경계를 의미한다. 구체예에서, 표면은 아마도 "수용 표면", "접촉 표면", "외부 표면"과 같은 구체적인 명칭으로 주어져 있다. 일부 구체예에서, 명명된 표면들은 사용하려는 대상 및/또는 표면의 소구역을 식별하는 것을 의미할 수 있다. 일부 구체예에서, 명명된 표면들은 그들의 방향, 예를 들면 상대적으로 다른 부품의 근처 또는 인접한 부품, 을 의미할 수 있다.
"기능성 층" 또는 "소자층"은 소자 또는 소자 부품에 결합 수 있는 층을 의미하고, 해당 장치 또는 장치 부품에 최소한 부분적으로 기능성을 제공한다. 특정 소자 또는 소자 부품에 따라, 기능성 층은 넓은 범위의 구성을 포함할 수 있다.
예를 들면, 소자는 태양열을 이용한 어레이이고, 상기 태양열을 이용한 어레이는 그 자체가 여기에서 제공되는 각각의 층들이 복수 개를 형성한 기능성 층을 포함한, III - V 마이크로 태양 전지의 기능성 층의 개시로부터 제조될 수 있다. 특정 구체예에서, 상기 층의 방출 및 그 다음의 인쇄는 광전 소자 또는 소자 부품을 구축하기 위한 기초를 제공한다.
대조적으로, 전자공학 (MESFETs), LEDs, 또는 광학 시스템에 결합하기 위한 기능성 층은 다른 층 배열 및/또는 구성을 가질 수 있다. 따라서, 상기 특정한 기능성 층은 기능성 층이 결합되는 결정적인 소자 또는 소자 부품에 따라 상기 다층구조체에 결합한다.
"박리층(release layer)" (때때로 "희생층"으로서 언급되는)은 적어도 부분적으로 하나 이상의 기능성 층을 분리하는 층을 의미한다. 박리층은 상기 다층구조체의 다른 층들로부터, 예를 들면, 물리적, 열적, 화학적 및/또는 전자기적 자극에 대한 응답으로써 물리적인 분리에 의한 것과 같이, 상기 기능성 층의 분리를 용이하게 하기 위하여 제거되거나 또는 다른 방법을 제공할 수 있다. 따라서, 실제 박리층 구성은 분리가 제공될 수 있는 최적의 방법에 맞춰 선택된다. 분리에 대한 방법은 상기 기술로 알려진 하나 또는 그 이상의 방법으로, 계면 장애 또는 박리층 희생에 의한 것이다. 박리층은 기능성 층이 다층구조체의 나머지 부분에 부착되어 남아있거나 다층구조체의 나머지 부분으로부터 분리된 것과 같이, 그것 자체가 기능성 층에 연결되어 남아있을 수 있다. 상기 박리층은 그 후, 상기 기능성 층으로부터 선택적으로 분리되고 및/또는 제거된다.
"버퍼층"은 소자 또는 소자 부품층을 의미하는데, 상기 소자 부품의 다른 층들을 보호하는데 유용하다. .
한 구체예에서, 버퍼층은 식각으로부터 다른 소자층을 보호한다.
한 구체예에서, 버퍼층은 상기 소자의 기능에 충격을 주지 않거나 최소한의 충격만을 준다. 한 구체예에서, 식각 블럭층(etch block layer)은 버퍼층이다.
"방출" 및 "박리"는 적어도 부분적으로 소자 또는 소자 부품이 서로 두 층으로 분리되는 것을 의미하는데, 예를 들면 기계적 또는 물리적 분리, 또는 최소한, 소자 또는 소자 부품의 한 층의 부분적 제거에 의해 분리된다 . 일부 구체예에서, 희생층의 제거는 소자 또는 소자 부품, 층의 분리를 야기한다. 일부 실시예에서 층, 소자 또는 소자 부품은 상기 층, 소자 또는 소자 부품의 일부분을 식각함으로써 방출된다. 특정 실시예에서, 방출된 부품은 그 이후에, 하나 또는 그 이상의 앵커로부터 방출된 물체에 부착된 채로 남아있는다. 일부 구체예에서, 방출된 부품은 그 이후에, 하나 또는 그 이상의 앵커로부터 방출된 물체에 부착된다.
"식각하다" 및 "식각"은 층의 일부분, 소자, 또는 소자 부품이 반응하여 떨어져 나가거나, 용해되거나, 또는 다른 방법으로 제거되는 것을 의미한다. 구체예에서, 이방성 식각이나 방향성 식각은 특정 방향을 따라 우선적으로 물질이 제거되는 식각 공정을 의미한다. 구체예에서 습식 식각은 물질을 용액에 노출시킴으로써 제거하는 것을 의미한다. 구체예에서 부분적인 식각은 특정한 물질 또는 물질의 종류를 제거하는 것을 의미한다. 구체예에서, 반응성 이온 식각(reactive ion etch) 또는 유도 결합 플라즈마 이온 식각(inductively coupled plasma reactive ion etch)은 플라즈마를 활용하기 위하여 물질을 분리하여, 예를들면 플라즈마 이온과의 반응에 의해 식각하는 방법을 의미한다. 상기 용어 "식각액"은 대략적으로 식각으로 물질을 제거하기 위해 사용하는 물질을 의미하는 용어를 설명하는데 현재 사용된다. 상기 용어 "전기화학적 식각"은 적용되는 전위, 전기장 또는 전류를 이용하는 식각공정을 의미한다. 상기 용어 "광전기화학적 식각"은 적용되는 전위, 전기장, 또는 전류 및 전자기 방사선(electromagnetic radiation)의 노출을 이용하는 시각공정을 의미한다.
"식각 마스크"는 상기 식각 마스크 밑에 있는 물질이 식각되는 것을 방지하기 위해 사용하는 물질이다. 구체예에서, 두꺼운 식각 마스크는 식각공정 후 상기 마스크의 대부분이 남아 있도록 하는 충분한 두께의 식각 마스크를 의미한다. 구체예에서 두꺼운 식각 마스크는 100 nm에서 5 ㎛의 범위 이상의 선택된 두께를 가진다. 구체예에서 금속 식각 마스크는 식각 블럭층(etch block layer)을 의미한다.
식각 마스크(etch mask)는 밑에 놓인(underlying) 물질이 식각되지 않게 하는 물질을 의미한다. 일부 구체예에서, 두꺼운 식각 마스크는 식각 공정 후에도 대부분의 마스크가 남는 충분한 두께의 식각 마스크를 의미한다. 구체예에서, 두꺼운 식각 마스크는 100 nm 내지 5 ㎛ 의 범위에서 선택되는 두께를 갖는다. 일부 구체예에서 금속 식각 마스크는 식각 블록 층을 의미한다.
상기 용어 "마스크"는 밑에 놓인 물질의 일부분을 덮거나(cover) 또는 차단(block) 하는 물질을 의미한다. 상기 용어 마스크의 사용은 미세제조 기술분야에서 사용되는 용어와 일치하도록 하기 위한 것이다. 구체예에서, 상기 용어 마스크는 식각 마스크, 광 마스크, 증착 마스크 또는 이들의 조합을 의미한다.
상기 용어 "마스킹 영역" 및 "노출 영역"은 각각 마스크에 의해 밑에 놓인 물질이 차단 및 차단되지 않은 부분을 의미한다.
"에피텍셜 재성장(Epitaxial regrowth)" 및 "에피텍셜 성장(epitaxial growth)"은 물질의 증착에 의해 결정층을 성장시키는 방법을 의미하며, 예를 들면 가스 또는 액상 증착이 있다. 상기 용어 "에피층(epilayer)"은 에피텍셜 성장에 의해 성장된 층을 의미한다.
상기 용어 "패터닝"은 미세제조 기술분야에서 광범위하게 사용되며 층의 일부를 처리하는 공정으로, 특정 구조를 생성하기 위해 소자 또는 소자 부품이 선택적으로 제거되거나 증착되는 것을 의미한다.
"기판에 의해 지지된"은 적어도 기판 표면 상부에 부분적으로 존재하거나 구조물과 기판 표면 사이에 위치한 하나 또는 그 이상의 중간 구조물에 적어도 부분적으로 존재하는 것을 의미한다. 상기 용어 "기판에 의해 지지된"은 또한 기판에 부분적으로 또한 완전히 포함된 구조를 의미한다.
"인쇄가능한 전자소자" 또는 "인쇄가능한 전자소자 부품"은 예를 들면, 건식 운반 접촉 프린팅(dry transfer contact printing) 및/또는 솔루션 프린팅 방법을 사용함함으로써, 기판 표면 위에 조립 및/또는 통합되는 장치와 구조물을 의미한다. 구체예에서, 인쇄가능한 전자소자 부품은 인쇄가능한 반도체 엘리먼트(element)이다. 구체예에서, 인쇄가능한 반도체 엘리먼트는 하나의 단일 결정, 다결정 또는 미세결정의 무기 반도체 구조물을 의미한다. 다양한 구체예에서, 인쇄가능한 반도체 엘리먼트는 하나 또는 그 이상의 다리 또는 앵커 엘리먼트를 통해 마더 웨이퍼(mother wafer)와 같은 기판에 연결되어 있다. 본 발명의 상세한 설명에서, 하나의 구조물(unitary structure)은 기계적으로 연결되는 형상을 가지는 모놀리식 엘리먼트이다.
다양한 구체예의 반도체 엘리먼트는 도핑되거나 도핑되지 않을 수 있으며, 도판트(dopant)의 공간 분포(spatial distribution)에서 선택될 수 있고, p-형과 n-형의 도판트(dopant)를 포함하는 다수의 다른 도판트 물질로 도핑될 수 있다. 특정 미세구조의 인쇄가능한 반도체 엘리먼트는 적어도 하나의 약 1 ㎛ 보다 크거나 같은 교차단면적(cross sectional dimension)을 가지며 나노구조의 인쇄가능한 반도체 엘리먼트는 적어도 하나의 약 1 ㎛ 보다 작거나 같은 단면치수를 가진다.
다양한 어플리케이션에서 사용되는 인쇄가능한 반도체 엘리먼트는 종래의 고온처리 기술을 사용하여 생성된 고순도 결정 반도체 웨이퍼와 같은 고순도 벌크 물질의 탑 다운(top down) 처리로부터 유래된 엘리먼트를 포함한다. 일 구체예에서, 인쇄가능한 반도체 엘리먼트는 반도체와 선택적으로 연결되거나 전도층, 유전체층, 전극, 추가적인 반도체 구조물 또는 이의 조합과 같은 적어도 하나의 추가적인 소자 부품 또는 구조물과 통합된 복합 이종 구조를 포함한다. 본 발명의 일부 방법 및 시스템에서, 상기 인쇄가능한 반도체 엘리먼트는 또 다른 반도체 구조물; 유전체 구조물; 전도성 구조물, 및 광학 구조물로 이루어진 군으로부터 선택되는 적어도 하나의 추가적인 구조와 통합된 반도체 구조물을 포함한다(예를 들면, 광학 코팅, 반사경, 창문, 광학 필터, 회수, 분산 또는 광 농도 등.). 일부 구체예에서, 인쇄가능한 반도체 엘리먼트는 전극, 유전체 층, 광학 코팅, 금속 접촉 패드, 반도체 채널로 이루어진 군으로부터 적어도 하나와 통합되는 반도체 구조물을 포함한다. 일부 구체예에서, 인쇄가능한 반도체 엘리먼트는 연신가능한 반도체 엘리먼트(stretchable semiconductor element), 휘어질 수 있는 반도체 엘리먼트(bendable semiconductor element) 및 이종의 반도체 엘리먼트(heterogeneous semiconductor element)를 포함한다(예를 들면, 반도체 구조물은 유전체, 다른 반도체, 컨덕터(conductor), 세라믹 등과 같은 하나 또는 그 이상의 추가적인 물질과 통합된다.).
인쇄가능한 반도체 엘리먼트는 인쇄가능한 반도체 소자 및 부품을 포함하며, 상기 인쇄가능한 반도체 소자 및 부품은 LED, 레이저, 태양전지, P-N 접합, 광전지, 포토다이오드, 다이오드, 트랜지스터, 직접회로 및 센서를 포함하나 이에 제한하지 않는다.
"전자소자 부품(electronic device component)"은 인쇄가능한(printable) 반도체 또는 전기 소자를 의미한다. 전형적인 전자 소자 부품 구체예는 기능을 수행하기 위해 구성되며, 예를 들면, 전자기 방사선 방출하는 기능 또는 전자기 방사선을 전력으로 변환하는 기능을 수행하기 위해 구성된다. 특정 구체예에서, 복합 전자소자 부품(multiple electronic device component)은 전기적으로 연결되어있고 개별 소자 부품 하나가 수행하는 것보다 더 복잡한 작업 또는 기능을 수행한다.
유용한 전자 소자 부품은 P-N 접합, 박막 트랜지스터, 단일 접합 태양전지, 다중 접합 태양전지, 포토 다이오드, 발광 다이오드, 레이저, CMOS 소자, MOSFET 소자, MESFET 소자, 태양전지(photovoltaic cells), 미세전자기계적 소자(microelectricalmechanical device) 및 HEMT 소자를 포함하지만 이에 제한하지 않는다.
"수직형 LED(Vertical type LED)"는 발광다이오드 소자를 말하며, 적층된 구성과 전기 접촉면(electrical contacts)에 배치된 소자의 기능 부품 또는 기능층은 적층체의 상단과 하단에 만들어진다.
"솔루션 프린팅(solution printing)"은 캐리어 매체(carrier medium)에 분산되고 기판 표면의 선택 영역에 동일한 방식으로 전달되는 운반가능한 엘리먼트 또는 인쇄가능한 엘리먼트와 같은 하나 또는 그 이상의 프로세스 구조물에 대한 공정을 의미하기 위한 것이다. 전형적인 솔루션 프린팅 방법에서, 기판 표면의 선택 영역으로의 구조물 전달은 모폴로지(morphology)) 및/또는 패터닝시 기판 표면의 물리적특성과 무관한 방법으로 이루어진다. 솔루션 프린팅 방법은 잉크젯 프린팅, 열전달 프린팅, 및 모세관 현상 프린팅(capillary action printing)을 포함하지만 이에 제한하지 않는다.
"접촉 프린팅(contact printing)"은 넓게는 스탬프 표면에서 기판 표면으로의 기능 전송을 용이하게 하는 스탬프 같은 건식 운반 접촉 프린팅(dry transfer contact printing) 방법을 의미한다. 일 구체예에서, 상기 스탬프는 탄성(elastomeric) 스탬프이다. 대안으로, 상기 운반은 대상(예를 들면, 소자) 기판 또는 호스트 기판에 직접 이루어 질 수 있다. 하기의 참고문헌들은 본 발명의 운반 방법으로 사용되는 자기 조립 기술(self assembly techniques)과 관련되며, 접촉 프린팅 및/또는 솔루션 프린팅 기술을 통해 조립 및 상호 운반되는 반도체 엘리먼트는 다음의 참고문헌들을 참고한다.
(1) "Guided molecular self-assembly: a review of recent efforts", Jiyun C Huie Smart Mater. Struct. (2003) 12, 264-271;
(2) "Large-Scale Hierarchical Organization of Nanowire Arrays for Integrated Nanosystems", Whang, D.; Jin, S.; Wu, Y.; Lieber, C. M. Nano Lett. (2003) 3(9), 1255-1259;
(3) "Directed Assembly of One-Dimensional Nanostructures into Functional Networks", Yu Huang, Xiangfeng Duan, Qingqiao Wei, and Charles M. Lieber, Science (2001) 291, 630-633; 및
(4) "Electric-field assisted assembly and alignment of metallic nanowires", Peter A. Smith et al., Appl. Phys. Lett. (2000) 77(9), 1399-1401.
운반가능한 엘리먼트의 조립, 구성 및/또는 통합을 위한 유용한 접촉 프린팅 방법은 건식 운반 접촉 프린팅, 미세접촉 또는 나노접촉 프린팅, 미세운반, 또는 나노운반 프린팅 및 자가 조립 어시스티드 프린팅(self assembly assisted printing)을 포함한다. 접촉 프린팅의 사용은 서로 상대적인 배향(orientations)과 위치가 선택되는 다수의 운반가능한 반도체를 조립 및 통합할 수 있어 도움이 된다. 접촉 프린팅은 다양한 종류의 물질 및 구조물을 효율적으로 운반, 조립 및 통합할 수 있다. 상기 물질과 구조물은 반도체(예를 들면, 무기 반도체, 단일 결정 반도체, 유기 반도체, 탄소 나노 물질 등.), 유전체, 및 컨덕터를 포함한다. 접촉 프린팅 방법은 하나 또는 그 이상의 기판 상부에 프리 패터닝된(prepatterned) 소자 부품에 따라 미리 선택된 위치 및 공간배향에서의 고 정밀화된 운반(high precision registered transfer), 및 운반가능한 반도체 엘리먼트의 조립을 선택적으로 제공한다.
접촉 프린팅은 또한 유리와 같은 종래의 강성 기판 또는 반강성 기판, 세라믹과 금속, 그리고 유연 기판, 휘어질 수 있는 기판, 성형 가능한 기판, 컨포머블(conformable) 기판 및/또는 연신가능한(stretchable) 기판과 같은 특정 어플리케이션을 위한 물리적 기계적 특성을 나타내는 다양한 종류의 기판과 호환된다. 운반가능한 기판의 접촉 프린팅 조립은 예를 들면 저온 처리(예를 들면, 298K 이하 또는 같다)에서 호환된다. 이러한 속성은 고분자 및 플라스틱 기판과 같이 높은 온도에서 분해되거나 저하되는 기판 물질들을 사용하여 현재의 광학 시스템이 구현될 수 있게 한다. 접촉 프리팅 운반, 소자 엘리먼트의 조립 및 통합은 또한, 롤-투-롤(roll to roll) 프린팅 및 플렉소그래픽 프린팅 법(flexographic printing methods)과 시스템과 같은 저렴한 비용 및 높은 처리량의 프린팅 기술과 시스템을 통하여 구현될 수 있기 때문에 유용하다.
"연신가능한(stretchable)"은 물질, 구조, 소자 또는 소자 부품이 파괴가 일어남이 없이 변형(strain)되는 능력을 의미한다. 바람직한 구체예에서, 연신가능한 물질, 구조, 소자 또는 소자 부품은 파괴없이 약 0.5% 보다 큰 변형이 일어날 수 있다. 상기 변형에 있어서, 일부 어플리케이션에서 변형은 약 1 % 보다 큰 것이 바람직하며, 일부 어플리케이션에서는 약 3 % 보다 큰 것이 더 바람직하다.
상기 용어 "유연(flexible)" 및 "휘어질 수 있는(bendable)"은 본 발명의 상세한 설명에서 동일하게 사용되었으며 물질, 구조, 소자 또는 소자 부품의 파괴점(failure point)이 특성화된 변형과 같은 상당한 변형의 도입으로 인한 형태변형이 없이 곡선 형태로 변형되는 물질, 구조, 소자 또는 소자 부품의 능력을 의미한다. 전형적인 구체예에서, 유연 물질, 구조, 소자 또는 소자 부품은 약 5 % 보다 크거나 동일한 변형의 도입없이 곡선형태로 변형될 수 있으며, 상기 변형의 도입은, 일부 어플리케이션에서는 약 1 % 보다 크거나 같은 것이 바람직하며, 일부 어플리케이션에서는 약 0.5 % 보다 크거나 같은 것이 더 바람직하다.
"반도체(semiconductor)"는 저온에서 절연체인 물질을 의미하지만, 상기 물질은 약 300 K의 온도에서 상당한 전기전도도를 가지는 물질을 의미한다. 본 발명의 상세한 설명에서, 상기 용어 "반도체"의 사용은 미세전자공학(microelectronics) 및 전기 장치 기술분야에서의 반도체의 사용과 일치시키기 위함이다. 유용한 반도체는 SiC 및 SiGe 같은 그룹 IV 복합반도체와 같은 복합반도체, 실리콘, 게르마늄, 다이아몬드과 같은 엘리먼트 복합체를 포함하고, AlSb, AlAs, Aln, AlP, BN, GaSb, GaAs, GaN, GaP, InSb, InAs, InN 및 InP과 같은 그룹 III-V 반도체, AlxGa1-xAs과 같은 그룹 III-V 삼원 반도체 합금, CsSe, CdS, CdTe, ZnO, ZnSe, ZnS 및 ZnTe과 같은 그룹 II-VI 반도체, 그룹 I-VII 반도체 CuCl, PbS, PbTe and SnS과 같은 그룹 IV VI 반도체, PbI2, MoS2 and GaSe 과 같은 반도체, CuO 및 Cu2O와 같은 산화 반도체를 포함한다.
상기 용어 "반도체"는 진성반도체(intrinsic semiconductors), 및 하나 또는 그 이상의 선택된 물질로 도핑된 불순물반도체(extrinsic semiconductors)를 포함하며, 상기 불순물 반도체는 어플리케이션 또는 소자에 유용한 전기적 성질을 제공하기 위하여 p-형 도핑 물질(또한, P-형 또는, p-doped 반도체로도 알려져있다)과 n-형 도핑 물질(또한, n-형 또는, n-doped 반도체로도 알려져있다)을 가지는 반도체를 포함한다. 상기 용어 반도체는 반도체 혼합물 및/또는 도판트를 포함하는 복합 물질을 포함한다. 유용한 특정 반도체 물질은 Si, Ge, SiC, AlP, AlAs, AlSb, GaN, GaP, GaAs, GaSb, InP, InAs, GaSb, InP, InAs, InSb, ZnO, ZnSe, ZnTe, CdS, CdSe, ZnSe, ZnTe, CdS, CdSe, CdTe, HgS, PbS, PbSe, PbTe, AlGaAs, AlInAs, AlInP, GaAsP, GaInAs, GaInP, AlGaAsSb, AlGaInP 및 GaInAsP을 포함하나 이에 제한하지 않는다. 다공성 실리콘 반도체 물질은 센서 및 발광 다이오드(LED) 및 고체 레이저와 같은 발광 물질 분야에서 유용하다. 반도체 물질의 불순물로는 원자, 엘리먼트, 이온 및 또는 반도체 물질 자체가 아닌 분자 또는 반도체 물질에 제공되는 도판트가 있다. 불순물은 반도체 물질의 전기적 성질에 부정적인 영향을 주는 원하지 않는 물질로, 산소, 탄소 및 중금속을 포함하는 금속을 포함하며 이에 제한하지 않는다. 중금속 불순물은 구리, 주기율표 상의 납, 칼슘, 나트륨 및 모든 이온, 복합체 및/또는 상기의 혼합체를 포함하나 이에 제한되지 않는다.
특정 구체예에서, 용어 "배향(orientation)"은 결정구조, 예를 들면 반도체 결정의 특정 면을 의미한다. 특정 구체예에서, 용어 "방향(direction)"은 결정 구조의 특정 축 또는 등방 축을 의미한다. 구체예에서, 배향과 방향을 특정 숫자 표식으로 사용하는 것은 결정학과 미세제조 기술 분야에서의 사용과 동일하게 하기 위함이다.
"양자 우물(Quantum well)"은 발광 다이오드 소자의 활성층을 의미하며, 양자 우물은 상대적으로 넓은 밴드갭을 가지는 층에 의해 양측이 둘러싸여 있는 상대적으로 좁은 밴드갭을 가지는 LED 소자의 층을 말한다. "배리어 층(Barrier layer)"은 양자우물 층에 인접한 위치에 있는 발광다이오드의 층을 의미하며, 상기 배리어층은 양자우물 물질보다 넓은 밴드갭을 가지고 있다. 일 구체예에서, 양자우물 층은 두 개의 배리어층 사이에 끼워져있다. 또 다른 구체예에서, 복합 양자 우물 층은 복합 배리어 층 사이에 끼워져있다.
"접촉층(contact layer)"은 발광 다이오드 소자의 층을 의미하며, 예를 들면 전기적 연결과 같은 외부 회로 부품과 전기적 접촉을 만드는데 사용된다. "확산층(Spreader layer)"은 발광 다이오드 소자의 층을 의미하며, 예를 들면 발광 다이오드 소자의 영역에 걸쳐 접촉층으로부터 전압 또는 전류를 제공하는데 유용하다. "클래딩층(cladding layer)"은 발광 다이오드 소자의 층을 의미하며, 예를 들면 배리어층과 양자우물층에 둘러싸인 층을 말한다.
"우수한 전자 성능(good electronic performance) 및 높은 성능(high performance)"은 본 발명의 상세한 설명에서 동일한 뜻으로 사용되었으며, 소자 및 소자 부품이 가지는 전계 효과 이동도(field effect mobilities), 역치 전압(threshold voltages) 및 온-오프 비율(on-off ratio)과 같은 전기적 특성이 전자 신호 스위칭 및/또는 증폭이 원하는 기능으로 제공되는 것을 말한다. 우수한 전자 성능을 나타내는 전형적인 인쇄가능한 엘리먼트는 100 cm2 V-1 s- 1 보다 크거나 동일한 진성 전계 효과 이동도를 가질 수 있으며, 일부 어플리케이션에서는 약 300 cm2 V- 1 보다 크거나 같은 모빌리티를 갖는다. 우수한 전자 성능을 나타내는 전형적인 트랜지스터는 약 100 cm2 V-1 s- 1 보다 크거나 같은 소자 전계 효과 이동도를 가지며, 상기 전계 효과 이동도의 경우, 일부 어플리케이션에서는 약 300 cm2 V-1 s-1보다 크거나 같고, 다른 어플리케이션에서는 약 800 cm2 V-1 s- 1 보다 크거나 같다. 우수한 전자 성능을 나타내는 전형적인 트랜지스터는 약 5 V 이하의 역치전압 및/또는 약 1104 보다 큰 on-off 비율을 갖는다.
"플라스틱(plastic)"은 합성 또는 자연적으로 형성되는 물질이거나 몰딩 또는 성형될 수 있는 물질의 조합을 말한다. 유용한 플라스틱은 고분자, 레진 및 셀룰로오스 유도체를 포함하나 이에 제한하지 않는다. 본 발명의 상세한 설명에서, 용어 "플라스틱"은 구조물 강화제, 필터, 섬유, 가소제, 안정화제 또는 원하는 화학적 또는 물리적 성질을 제공할 수 있는 첨가제와 같은 하나 또는 그 이상의 첨가제와 함께 하나 또는 그 이상의 플라스틱을 포함하는 복합 플라스틱 물질을 포함하기 위한 것이다.
"프리폴리머(Prepolymer)"는 고분자 전구체 및/또는 경화되는 고분자 물질을 의미한다. 액상 프리폴리머는 하나 또는 그 이상의 액상의 성질 예를 들면, 흐름 특성을 나타내는 프리폴리머를 의미한다. 특정 프리폴리머는 광경화성 고분자, 열경화성 고분자 및 광경화성 폴리우레탄을 포함하나 이에 제한되지 않는다.
"경화(curing)"는 본래의 비 변형된 물질과 다른 하나 또는 그 이상의 성질을 나타내는 물질로 변형되는 공정을 의미한다. 일부 구체예에서, 경화공정은 물질이 고체가 되거나, 강성을 지니게 한다. 일 구체예에서, 경화는 프리폴리머 물질을 고분자 물질로 변형시킨다. 유용한 경화공정은 전자기 방사선(광경화공정) 노출, 예를 들면 특정 파장 또는 파장 범위(예를 들면, 자외전 또는 적외선 전자기 방사선)에서의 전자기 방사선 노출; 열경화 공정, 예를 들면, 특정 온도 또는 특정 온도 범위(예를 들면, 150 ℃ 또는 125 내지 175 ℃)에서 열처리시키는 열경화 공정; 템포랄 경화공정(temporal curing), 예를 들면, 특정 시간 또는 특정 시간범위 (예를 들면, 5분 또는 10 시간 내지 20 시간) 동안 기다리는 템포랄 경화공정; 건조 공정, 예를 들면, 물 또는 용제 분자를 모두 또는 일정 비율 제거하는 건조 공정; 및 상기의 공정의 조합을 포함하나 이에 제한되지 않는다. 예를 들면, 실버 에폭시를 경화하는 구체예로는 실버 에폭시를 5 분 동안 150 에서 열처리하는 것을 포함한다.
"고분자(polymer)"는 다수의 반복되는 작용성 그룹을 포함하는 분자를 의미하며, 일반적으로 단량체를 말한다. 고분자는 종종 큰 분자 질량에 의해 특성화된다. 유용한 고분자는 유기고분자, 무기고분자를 포함하며, 비결정성, 반결정성, 결정성 또는 부분적인 결정성 고분자 모두를 포함한다. 고분자는 같은 작용성 복합체를 가지는 단량체를 포함할 수 있고, 또는 공중합체와 같이 다른 작용성 복합체를 가지는 다수의 단량체를 포함할 수 있다. 일부 구체예에서, 단량체 체인이 연결된 가교된 고분자는 또한 유용하다. 유용한 고분자는 플라스틱, 탄성체, 열가소성 탄성체, 탄성플라스틱, 서모스텟(thermostats), 열가소성 및 아크릴레이트를 포함하나 이에 제한되지 않는다. 전형적인 고분자는 아세탈 고분자, 생분해성 고분자, 셀룰로오스 함유 고분자, 플루오르화 고분자, 나일론, 폴리아크릴로나이트릴 고분자, 폴리아미드-이미드 고분자, 폴리이미드, 폴리아크릴레이트, 폴리벤지미다졸(polybenzimidazole), 폴리부틸렌, 폴리카보네이트, 폴리에스터, 폴리에틸렌, 폴리케톤, 폴리(메타크릴산 메틸), 폴리메틸펜텐, 폴리페닐렌 산화물, 폴리페닐렌 황화물, 폴리프탈아미드(polyphthalamide), 폴리프로필렌, 폴리우레탄, 스티렌계 수지, 술폰계 수지, 비닐계 수지 또는 이들의 조합을 포함하나 이에 제한되지 않는다.
"엘라스토머(elastomer)"는 인장되거나 변형될 수 있으며, 실질적인 영구 변형없이 원래상태로 돌아갈 수 있는 물질을 의미한다. 엘라스토머는 일반적으로 상당한 탄성변형을 받는다. 유용한 엘라스토머는 고분자, 공중합체 복합 물질 또는 고분자와 공중합체의 혼합물을 포함할 수 있다. 엘라스토머층은 적어도 하나의 탄성체를 포함하는 층을 의미한다. 엘라스토머층은 또한 도판트 및 다른 비탄성 물질을 포함할 수 있다. 유용한 엘라스토머의 구체예로는 열가소성 탄성체, 스티렌계 물질, 올레핀계 물질, 폴리올레핀, 폴리우레탄 열가소성 탄성체, 폴리아미드, 합성고무, PDMS, 폴리부타디엔, 폴리이소부틸렌, 폴리(스티렌-부타디엔-스티렌), 폴리우레탄, 폴리클로로프렌 및 실리콘을 포함하나 이에 제한되지 않는다.
"운반 소자(transfer device)" 또는 "운반 기판(transfer substrate)"은 기판, 소자 또는 소자 부품 수신 능력, 및/또는 수신용으로 구성된 및/또는 엘리먼트의 재배치 또는 인쇄가능한 엘리먼트와 같은 엘리먼트의 어레이를 의미한다. 유용한 운반소자는 운반이 진행되는 엘리먼트와 함께 컨포멀 접촉을 수행하는 하나 또는 그 이상의 접촉 표면을 갖는 소자와 같은 컨포멀 운반소자를 포함한다. 탄성 스탬프 및/또는 운반 소자는 본 발명에서 설명되는 다양한 방법 및 소자에 유용하다. 유용한 탄성 운반 소자는 탄성 스탬프, 복합 탄성 스탬프, 엘라스토머층, 다수의 엘라스토머층 및 유리, 세라믹, 금속 또는 고분자 기판 같은 기판과 연결되는 엘라스토머층을 포함하나 이에 제한되지 않는다.
"타겟 기판(targer substrate)"은 운반되는 구조물을 지지하게 될 원하는 최종기판으로 광범위하게 사용된다. 일구체예에서, 상기 타겟기판은 소자기판이다. 일 구체예에서 타겟기판은 소자부품 또는 기판에 의해 지지되는 그 자체인 엘리먼트이다.
"넓은 영역(large area)"은 소자 제조시 사용되는 기판의 수용 표면의 영역과 같은 영역을 의미하며, 약 36 제곱인치 보다 크거나 같다.
"금속전처리된(pre-metalized)"은 금속 층, 구성엘리먼트 또는 기능을 포함하는 구조를 의미한다.
"프리 패터닝된(pre-patterned)"은 하나 또는 그 이상의 소자, 부품 또는 양각형상을 포함하는 구조물을 의미한다.
"광통신(optical communication)"은 두 개 또는 그 이상의 엘리먼트의 구성을 의미하며 궁극적으로는 전자기 방사선의 하나 또는 그 이상의 빔이 하나의 엘리먼트에서 다른 엘리먼트로 전파할 수 있는 것을 말한다. 광통신에서 엘리먼트는 직접 광통신 또는 간접 광통신 일 수 있다. 직접 광통신은 두 개 또는 그 이상의 엘리먼트의 구성을 의미하며, 궁극적으로는 전자기 방사선의 하나 또는 그 이상의 빔이 제 1 소자 엘리먼트에서 또 다른 엘리먼트로 직접 전달되는 것을 의미한다. 간접 광통신" 은 두 개 또는 그 이상의 엘리먼트의 구성을 의미하며 궁극적으로는 하나 또는 그 이상의 전자기 방사선의 빔이 하나 또는 그 이상의 소자 부품을 통한 두 개의 엘리먼트 사이의 전달을 의미한다. 상기 소자 부품은 웨이브 가이드, 광 섬유 엘리먼트(fiber optic elements), 반사기, 필터, 프리즘, 렌즈, 격자 및 상기 소자부품의 조합을 포함하지만 이에 제한하지 않는다.
"전기적 접촉(electrical contact)" 및 "전기적 소통(electrical communication)"은 하나 또는 그 이상의 개체(개체)의 배치를 의미하며, 한 개체에서 또 다른 개체로 전류가 효율적으로 흐르는 것을 말한다. 예를 들면, 일부 구체예에서, 두 개체 사이의 전기 저항이 100 Ω 이하인 두 개체는 또 다른 개체와 전기적 소통도 유사하게 고려될 수 있다. 전기적 접촉은 또한 소자의 부품 또는 외부 소자나 회로와 전기적 소통, 예를 들면 전기적 연결을 성립하는데 사용되는 소자를 의미한다.
"전기적 저항(electrical resistivity)"은 물질을 통한 전자의 흐름에 대한 물질의 저항특성의 속성을 의미한다. 특정 구체예에서, 상기 물질의 저항 ρ는 특정 교차 단면적(A), 예를 들면, ρ = A/L을 가지는 물질의 길이(L)의 저항(R)과 관련된다.
"배선(electrical interconnection 또는 electrical interconnect)"은 두 개 또는 그 이상의 소자 부품 간의 전기적 소통을 제공하는데 사용되는 전기 소자 부품을 의미한다. 일부 구체예에서, 전기적 연결은 다른 하나로부터 공간적으로 분리된 두 개의 소자 부품 사이의 전기적 소통을 제공하는데 사용된다. 예를 들면, 상기 소자 부품은 50 nm 보다 넓은 길이로 공간적으로 분리되어있으며, 상기 공간적으로 분리된 소자 부품사이의 간격은, 다른 어플리케이션에서 100 nm 보다 크고, 또 다른 어플리케이션에서는 1 ㎛ 보다 크며, 다른 어플리케이션에서는 50 ㎛ 보다 크다. 전극접촉은 전자소자 또는 소자 부품의 구성엘리먼트가 전기적 연결로 부착되거나 전기적 소통을 제공하거나 형성하는것을 의미한다.
"삽입된(embed)"은 하나의 개체 또는 소자가 매립되는 공정을 의미하며, 층 또는 물질 표면 아래 또는 내부에 컨포멀(conformal)하게 둘러싸이거나 배치되거나, 위치하는 것을 의미한다. "봉지(encapsulation)"는 하나 또는 그 이상의 구조물에 의해 전체적으로 둘러싸인 구조물의 배향을 의미한다. 부분적인 봉지는 하나 또는 그 이상의 구조물에 의해 부분적으로 둘러싸인 하나의 구조물의 배향을 의미한다.
"복제(replicate)"는 캐스팅, 몰딩, 삽입 또는 엠보싱 처리 동안에 하나 또는 그 이상의 양각형상이 전달 및/또는 재생산되는 공정을 의미한다. 복제 형상은 본래 형상의 부정을 나타내는 것을 제외하고는 본래의 형상과 유사하다; 즉, 본래의 형상이 형상을 성장시키며, 상기 복제 형상은 함몰부(recessed feature)이고, 본래 형상 또한 함몰부이며, 상기 복제 형상은 성장된 형상이다.
"양각형상(relief feature)"은 주어진 영역 또는 개체나 층의 표면상 낮은 부분과 높은 부분 사이의 고도와 경사의 차이를 나타내는 개체 또는 층의 일부분을 의미한다. "성장된 형상(Raised features)"은 표면 또는 개체층의 평균 표면 레벨 또는 층 또는, 개체 또는 층의 표면의 다른 부분보다 큰 고도를 가지는 양각형상 위에 연장되는 양각형상을 의미한다. "함몰부(recessed feature)"은 표면 또는 개체 또는 층의 평균 표면 레벨 또는, 개체 또는 층의 표면의 다른 부분보다 큰 고도를 가지는 양각형상 아래에 연장되는 양각형상을 의미한다.
"컨포멀 접촉(conformal contact)"은 표면, 코팅된 표면, 및/또는 기판 표면 위에 운반, 조립, 조직화 및 구조물을 통합(인쇄가능한 엘리먼트와 같은)하는데 유용한 증착된 물질이 포함된 표면 사이에서 접촉이 이루어지는 것을 의미한다. 한 편, 컨포멀 접촉은 기판 표면의 전체적인 형상 또는 인쇄가능한 엘리먼트와 같은 개체의 표면으로 컨포멀 운반소자의 하나 또는 그 이상의 접촉 표면의 거시적인 적응을 수반한다. 다른 한 편으로, 컨포멀 접촉은 공백 없이 친밀한 접촉을 야기하는 기판 표면으로의 컨포멀 운반 소자의 하나 또는 그 이상의 접촉 표면의 거시적인 적응을 수반한다. 상기 용어 "컨포멀 접촉"은 소프트리소그래피(soft lithography) 분야에서 상기 용어의 사용을 동일하게 하기 위함이다. 컨포멀 접촉은 컨포멀 운반 소자의 하나 또는 그 이상의 드러난 접촉 표면과 기판 표면 사이에서 이루어질 수 있다. 대안으로, 컨포멀 접촉은 하나 또는 그 이상의 코팅된 접촉 표면과 기판 표면 사이에서 일어날 수 있다. 상기 코팅된 접촉 표면은 예를 들면, 운반 물질을 가지는 접촉 표면, 인쇄가능한 엘리먼트, 소자부품, 및/또는 컨포멀 운반 소자의 상부가 증착된 소자일 수 있다. 대안으로, 컨포멀 접촉은 하나 또는 그 이상의 드러나거나 코팅된 컨포멀 운반 소자의 접촉 표면과 운반 물질, 고체 광레지스트 층, 프리폴리머층, 액체, 박막 또는 유체와 같은 물질로 코팅된 기판 표면 사이에서 이루어 질 수 있다.
"결속(bind)" 및 "결합(bond)"은 한 개체와 또 다른 개체가 물리적으로 부착되는 것을 의미한다. 결속 및 결합은 또한 다른 개체 상부에 하나의 개체가 잔류하는 것을 의미할 수 있다. 일구체예에서, 개체들은 개체간의 힘이 성립됨으로 인하여 또 다른 개체와 결속할 수 있다. 일부 구체예에서, 개체들은 접착층의 사용을 통하여 하나의 또 다른 개체에 결속된다. 한 구체예에서 접착층은 두 개의 개체 사이의 결합력(bonding force)을 성립하는데 사용되는 층을 의미한다.
"배치정밀도(placement accuracy)"는 운반 방법의 능력 또는 전극과 같은 다른 소자 부품의 위치와 관련되거나 수용 표면의 선택 영역과 관련된 선택된 위치에 인쇄가능한 엘리먼트를 전달하기 위한 소자의 능력을 의미한다. 우수한 배치정밀도(Good placement accuracy)는 또 다른 소자 또는 소자 부품과 관련된 선택된 위치, 또는 절대적으로 정확한 위치로부터 50 ㎛ 보다 작거나 같은 공간편차를 가지는 수용표면의 선택영역과 관련된 위치로 인쇄가능한 엘리먼트를 운반할 수 있는 소자와 방법을 의미한다. 일부 어플리케이션에서 상기 공간편차는 20 ㎛ 보다 작거나 같은 것이 바람직하고, 5 ㎛ 보다 작거나 같은 것이 더욱 바람직하다. 여기에서 설명하는 방법과 소자는 우수한 배치정밀도로 운반되는 적어도 하나의 인쇄가능한 엘리먼트를 포함하는 것들을 포함한다.
"충실도(Fidelity)"는 기판의 수용 표면으로 운반되는 엘리먼트의 선택적 패턴, 예를 들면, 인쇄가능한 엘리먼트의 패턴이 얼마나 잘 이루어졌는지를 측정하는 것을 의미한다. 우수한 충실도는 운반 중에 보존되는 개별 엘리먼트의 상대적인 위치 및 배향에 있어서, 엘리먼트의 선택적 패턴의 운반을 의미한다. 예를 들면, 선택적 패턴에서 엘리먼트들의 위치로부터의 개별 엘리먼트의 공간편차는 500 nm 보다 작거나 같으며, 100 nm 보다 작거나 같은 것이 더 바람직하다.
"언더컷(Undercut)"은 인쇄가능한 엘리먼트, 다리 엘리먼트 및/또는 앵커 엘리먼트와 같은 엘리먼트의 하단 표면에서의 구조적인 통합을 의미한다. 상기 엘리먼트의 하단 표면은 마더 웨이퍼 또는 벌크 물질과 같은 또 다른 구조물로부터 적어도 부분적으로 분리되어있거나 고정되지 않는다. 전반적인 언더컷은 인쇄가능한 엘리먼트, 다리 엘리먼트 및/또는 앵커 엘리먼트와 같은 엘리먼트의 하단 표면에서의 구조적인 통합을 의미한다.
상기 엘리먼트의 하단 표면은 마더 웨이퍼 또는 벌크 물질과 같은 또 다른 구조물로부터 적어도 부분적으로 분리되어있거나 고정되지 않는다. 언더컷 구조물은 부분적으로 또는 전반적으로 자립형 구조일 수 있다. 언더컷 구조물은 바닥표면 언더컷 구조물으로부터 떨어져있는 마더 웨이퍼 또는 벌크 물질과 같은 다른 구조물에 의해 부분적으로 또는 완전히 지지될 수 있다. 언더컷 구조물은 표면 하부와는 다른 표면에서 웨이퍼 또는 다른 벌크 물질과 같은 또 다른 구조물에 부착되거나, 붙여지거나 및/또는 연결될 수 있다.
"앵커(anchor)"는 하나의 소자 또는 소자 부품을 또 다른 소자 또는 소자 부품과 연결하거나 묶는데(tethering) 유용한 구조물을 의미한다. 앵커링은 하나의 소자 또는 소자 부품을 또 다른 소자 또는 소자 부품의 연결 또는 묶임이 일어나는 공정을 의미한다.
"동종 앵커링(homogeneous anchor)"은 기능층의 일체로 된 부분인 앵커를 의미한다. 일반적으로, 동종 앵커링 시스템에 의하여 운반가능한 엘리먼트를 만드는 방법은 웨이퍼 표면의 적어도 일부분 위에 희생층을 증착시키는것, 해당 기술에서 통상적으로 사용되는 방법으로 반도체 엘리먼트를 정의하는것 및 앵커 영역을 정의하는 것에 의해 선택된다. 앵커 영역은 반도체 엘리먼트의 특정영역에 해당한다. 앵커 영역은 반도체 층의 기하학적 구성에 해당할 수 있으며, 예를 들면, 앵커는 상대적으로 넓은 표면에 의해 정의되며, 다리 또는 묶인 엘리먼트에 의해 운반가능한 엘리먼트와 연결된다. 이러한 기하학은 단층 또는 다층 구체예 모두에 대한 특정 비앵커 영역의 리프트-오프 제조와 관련된 수단을 제공한다. 대안으로, 앵커는 밑에 놓인 웨이퍼와 부착 또는 연결되는 반도체 영역에 해당한다. 희생층의 제거는 밑에 놓인 웨이퍼와 물리적으로 연결된 반도체 일부가 남아있는 동안에, 반도체 엘리먼트의 제조 또는 운반 수단을 제공한다.
"이종 앵커링(heterogeneous anchor)"은 반도체 층과 다른 물질로 만들어진 앵커 또는 같은 물질로 만들어진 앵커와 같이 기능층과 일체로 된 부분이 아닌 앵커를 의미하지만, 운반가능한 반도체 엘리먼트가 시스템에서 배치된 후에 정의되는 앵커를 말한다. 이종 앵커링은 동종 앵커링에 비하여 더 나은 운반 전략이며, 유용한 웨이퍼 면적을 효과적으로 향상시킬 수 있는 장점이 있다. 이종 전략 구체예에서, 웨이퍼는 제공되고, 상기 웨이퍼는 희생층으로 코팅되어 있으며, 반도체 엘리먼트(elements)는 정의되고, 이종 앵커 엘리먼트(elements)는 앵커 반도체 영역으로 증착된다. 한편, 앵커는 포토레지스트 또는 SiN(실리콘니트라이드), 또는 앵커링이 가능한 강도 정도와 비앵커 영역에서 마찬가지로 저항하지 않는 리프트-오프 힘의 저항을 가지는 다른 물질과 같은 저항물질이다. 상기 앵커는 밑에 놓인 층을 통한 가장 높은 반도체층에서 밑에 놓인 웨이퍼 기판에 걸쳐있을 수 있다. 희생층의 제거는 앵커 영역이 웨이퍼와의 연결을 유지하는 동안 비앵커 영역을 제거하기 위한 수단으로 예를 들면, 접촉 운반을 제공한다. 또 다른 구체예에서, 다층 시스템에서 앵커는 밑에 놓인 반도체 층의 상부 층의 앵커링을 제공한다. 대안으로, 상기 앵커링 시스템은 단층 반도체층 시스템을 위한 시스템이다.
"캐리어 필름(carrier film)" 은 층의 분리가 용이하도록 하는 물질을 의미한다. 상기 캐리어 필름은 제거시키고자 하는 층과 인접한 위치에 있는 금속 또는 금속 함유 물질물질의 층일 수 있다. 상기 캐리어 필름은 중합체 물질 또는 포토레지스트 물질을 포함하는 복합물질일 수 있다. 물질에 적용되는 리프트-오프는 밑에 놓인 층으로부터 (예를 들면, 기능층으로부터) 복합 물질이 박리되도록 한다.
"유전체(dielectric)" 및 "유전체 물질(dielectric material)"은 본 발명의 상세한 설명에서 동일하게 사용되었으며, 전류 흐름에 대하여 높은 저항을 가지는 기판을 의미한다. 유용한 절연 물질은 SiO2, Ta2O5, TiO2, ZrO2, Y2O3, Si3N4, STO, BST, PLZT, PMN, 및 PZT를 포함하나 이에 제한되지 않는다.
소자 전계 효과 이동도(Device field effect mobility)는 전자소자에 따른 출력 전류데이터를 사용하여 계산되는 트랜지스터와 같은 전자소자의 전계 효과 이동도를 의미한다.
"충진율(Fill factor)"은 전극 등, 두 엘리먼트 사이 영역의 백분율로 엘리먼트 및/또는 소자 부품에 해당하는 물질에 의해 점유되는 영역을 의미한다. 하나의 구체예에서, 두 전극에는 하나 또는 그 이상의 인쇄가능한 반도체 엘리먼트(elements)의 전기적 접촉이 제공된다. 상기 인쇄가능한 반도체 엘리먼트(elements)는 제 1 전극 및 제 2 전극 사이의 충진율이 20 % 보다 크거나 같게 하며, 일부 어플리케이션에서 상기 충진율은 50 % 보다 크거나 같은 것이 바람직하며, 일부 어플리케이션에서는 상기 충진율이 80 %보다 크거나 같은 것이 더 바람직하다.
"회수(collecting)" 및 "집속(concentrating)"은 광학 및 광 부품에 적용되며, 광 부품 및 제 1 영역, 때론 넓은 영역으로부터 빛을 회수하고, 또 다른 영역, 때론 상대적으로 작은 영역에서 선택적으로 직접 빛을 회수하는 소자 부품의 특성을 의미한다. 일부 구체예의 맥락에서, 회수와 광 집속체 및/또는 광부품은 프린팅된 태양전지 또는 포토다이오드에서 빛을 감지하거나 전력을 수확하는데 유용하다.
"전도성 물질(conductive material)"은 전기 저항을 가지는 기판 또는 복합체를 의미하며, 상기 전도성 물질은 예를 들면, 구리, 은 또는 알루미늄과 동등하거나 그에 상응하는 전기 저항을 가진다. 구체예에서 상기 전도성 물질의 전기 저항은 1×10-10 내지 1×10-2 Ω·cm 의 범위에서 선택된다. 본 발명의 상세한 설명에서 용어 전도성 물질의 사용은 전자소자 및 전기회로 기술분야에서의 사용과 동일하게 하기 위함이다. 구체예에서, 전도성 물질은 전기적 배선 및/또는 두 개의 소자 사이의 전기적 소통을 제공하는데 유용하다. 전도성 페이스트 는 일반적으로 연성 및 탄성있는 혼합물을 포함하는 전도성 물질을 의미한다. 일부 구체예에서, 경화된 전도성 페이스트는 본래 가지고 있던 연성과 탄성을 잃고 일반적으로 고체 또는 모놀리식 몸체의 성질을 나타낸다. 전형적인 전도성 페이스트는 금속 미세입자 또는/및 금속 나노입자를 포함한다. 실버 에폭시는 경화됐을 때 낮은 전기 저항, 예를 들면, 1×10-5 Ω·cm보다 낮은 전기저항 또는 1×10-10 내지 1×10-5 Ω·cm의 범위에서 선택된 전기저항을 나타내는 금속 은(Ag)을 포함하는 미세입자 및/또는 나노입자를 포함하는 전도성 페이스트를 말한다.
"충진(fill 또는 filling)"은 함몰부으로 물질을 증착시키는 공정을 말한다. 일구체예에서, 리세스된 영역은 스크래핑 물질에 의하여 함몰부과 교차되거나 함몰부 내부에 충전된다. 충진도구는 일반적으로 함몰부으로 물질을 옮기는 소자를 말한다. 일구체예에서, 충진도구는 스크래핑 물질을 리세스된 영역으로 교차시키거나 리세스된 영역내부로 옮기는 소자를 말한다. 특정 구체예에서, 충진도구는 PDMS의 층 또는 고체 몸체를 포함한다. 특정 구체예에서, 충진공정은 스크린 프린팅 공정과 개념이 비슷하며, 물질은 도구 또는 함몰부 보다 넓은 차원을 가지는 소자에 의해서 함몰부으로 스크랩됨으로써, 함몰부은 물질로 적어도 부분적으로 충진된다.
"정렬(Align)"은 두 개의 개체는 하나의 또 다른 개체에 따라 배치되는 공정을 말한다. "중심을 벗어난 정렬(aligned off center)"은 두 개체 또는 두 영역의 중심을 배치하는 공정을 말하며, 두 중심이 하나 또는 그 이상의 공간차원에 따라 일치하지 않는 공정을 말한다. 특정 구체예에서, 용어 중심을 벗어난 정렬은 두 개체의 중심의 배열을 말하며, 상기 개체의 중심이 공간적으로 분리된 거리는 50 nm보다 크고, 일부 어플리케이션에서는 100 nm 보다 크며, 또 다른 어플리케이션 1 ㎛ 보다 크며, 또 다른 어플리케이션 50 ㎛ 보다 크다.
"영률(Youngs modulus)"은 물질, 소자 또는 층의 기계적인 성질로 기판에 주어진 응력과 변형의 비율을 말한다. 영률은 다음과 같이 표현된다.
<수학식 1>
Figure 112017012998753-pat00001
<수학식 2>
*
Figure 112017012998753-pat00002
상기 식에서 E는 영률이고, L0는 평형길이, L은 응력이 적용됐을 때의 길이 변화, F는 적용된 힘 그리고 A는 힘이 적용된 면적이다. 영률은 또한 상기 식을 통해 라메 상수(Lame constants)로 표현될 수 있다.
상기 식에서 μ와 λ는 라메 상수이다. 높은 영률(또는, 높은 모듈러스) 및 낮은 영률(또는 낮은 모듈러스)은 주어진 물질, 층 또는 소자에서 영율의 상대적인 값을 나타낸다. 본 발명의 상세한 설명에서, 높은 영률은 낮은 영률 보다 크며, 일부 어플리케이션에서 높은 영률은 낮은 영률 보다 약 10 배 크며, 다른 어플리케이션에서는 높은 영률은 낮은 영률 보다 약 100배 큰 것이 더 바람직하며, 다른 어플리케이션에서는 약 1000배 큰 것이 더욱 바람직하다.
이하, 인쇄가능한 구조물 및 전자소자의 제조, 조립 및 배치하는 방법을 설명하였다. 여기에 설명된 방법의 수는 소자 부품 간의 입체적인 전기적 연결을 위한 삽입 공정 동안에 패터닝되는 고분자로 삽입되는 하나 또는 그 이상의 전자소자를 조립하는데 유용하다. 여기에 설명된 일부 방법들은 건식 운반 접촉 프린팅과 같은 프린팅 방법으로 전자소자를 조립하는데 유용하다. 또한 여기에는 예를 들면, 디스플레이 또는 광시스템을 위한 GaN 발광 다이오드 및 GaN 발광 다이오드를 제조하고 배열하기 위한 방법을 설명한다.
도 24는 전자소자를 조립하는 방법의 전형적인 구체예를 도시한 것이다. 첫째로, 하나 또는 그 이상의 전자소자부품(2401)이 제공된다. 본 구체예에서, 상기 전자소자부품은 전극 콘택트(2402)에 포함되는 인쇄가능한 소자 부품이다. 운반 기판은 또한 패터닝된 표면(2403)을 제공한다. 본 구체예에서, 패터닝된 표면은 운반 기판 상부에서 소자부품과의 접촉 및 검색을 위한 형상을 포함한다. 패터닝된 표면은 또한 배향 및 일부 전자소자부품.사이에 형성되는 전기적 연결의 구성을 나타내는 성장된 형상을 포함한다.
다음으로, 패터닝된 운반 기판은 운반 기판 상부에서 검색되는 전자소자부품(2401)과 접촉한다. 상부에 프리폴리머층(2405)를 갖는 호스트 기판은 전자소자부품(2401)을 수신하기위해 제공된다. 상기 상부에 전자소자부품(2401)을 갖는 패터닝된 운반 기판(2403)은 프리폴리머층(2405)와 접촉한다. 전자소자부품(2401)은 프리폴리머층(2405)에 삽입되고, 상기 단계가 이루어지는 동안, 운반 기판(2403)의 패터닝된 표면은 또한 프리폴리머층(2405)와 접촉한다. 상기 패터닝된 표면의 성장된 형상(2404)은 또한 프리폴리머층(2405)이 강화 고분자 층(2406)으로 경화된 후에 프리폴리머층(2405)에 적어도 부분적으로 삽입된다. 프리폴리머층(2405)이 경화되므로써, 삽입된 전자소자부품은 고분자 층 (2406) 내부에 위치되어 고정되며, 패터닝된 운반 기판의 성장된 형상은 고분자 층(2406)에서 함몰부(2407)으로 복제된다. 상기 고분자 층(2406)이 경화되면, 상기 패터닝된 운반 기판(2403)과 고분자 층(2406)은 분리되며, 전자소자부품(2401)은 상기 고분자 층(2406)에서 유지된다. 나아가 상기 고분자 층은 수 많은 함몰부(2407)을 포함한다.
다음으로, 함몰부(2407)는 전자소자부품(2401) 사이에 전기적 배선(2408)을 형성하는 전도성 물질로 충진된다. 일구체예에 있어서, 일열의 실버 에폭시 전도성 페이스트가 고분자 표면에 제공된다. 이후, 실버 에폭시는 고분자 표면을 가로질러 충진 수단을 끌어당기는 과정을 통하여 함몰부로 충진된다. 선택적으로, 상기 충진수단은 함몰부를 충진하기 위하여 고분자 표면을 가로질러 수회에 걸쳐, 및 복수의 방향으로 끌어당겨진다. 필요하거나 또는 바람직한 경우, 함몰부가 바람직한 수준까지 채워지도록 하기 위하여 부가적인 실버 에폭시가 표면에 제공되고, 끌어당기는 단계게 반복될 수 있다. 충진된 이후, 전도성 페이스트는 경화되어 단단한 전기적 배선(electrical interconnections)을 형성한다.
본 발명은 이하의 실시예들에 의하여 더욱 구체적으로 설명될 수 있으나, 이에 한정되는 것은 아니다.
실시예 1: 유연하고 반투명성인 디스플레이를 위한 무기 발광다이오드의 인쇄된 구조
LED들은 다양한 종류의 초박형 소자를 설계하고 제조할 수 있도록 하는 특화된 에피택셜 반도체층을 사용한다. 마이크로미터에서 밀리미터 범위의 크기와, 평면형 또는 물결(wavy) 형태의 다양한 형태가 가능하다. 프린팅에 기초한 조립방법은 이와 같은 소자들을 임의의 공간배치로 및 성장 웨이퍼의 범위보다 훨씬 클 수 있는 범위에 대하여 유리기판, 플라스틱기판, 또는 고무기판에 증착할 수 있다. 이와 같은 LEDs의 박형 구조는 이들이 일반적인 평면 공정기술(planar processing technique)에 의하여 상호연결될 수 있도록 한다. 이와 같은 방법으로 형성된 디스플레이, 발광소자, 및 관련 시스템은 흥미로운 기계적 및 광학적 특성을 보여줄 수 있다.
디스플레이 소자는 거의 모든 소비성 전자제품 기술에 있어서 어디에서나 존재하며 가장 중심적인 구성요소이다. 유기발광다이오드(OLEDs)는 상대적으로 높은 리프레쉬 비율, 콘트라스트 비율, 전력효율, 및 선명한 색 렌더링 능력에 의하여 후면발광 액정에 대한 매력적인 대안으로 급속도로 부각되고 있다. 무기발광다이오드(inorganic light emitting diodes) 또한 OLEDs보다 우수할 수 있는 밝기, 수명, 및 효율과 같은 특성을 갖는 디스플레이를 형성할 수 있다. 그러나, 이와 같은 디스플레이는 작고(< ~200 ㎛ × 200 ㎛), 얇은 (< ~200 ㎛) 발광체 또는 밀집된 고필셀의 카운터 어레이에는 효과적으로 적용되지 않는 공정 및 조립과정에의해 제한되어, 규모가 크고, 낮은 해상도의 포멧(제곱미터; 빌보드 디스플레이)에만 적용된다. 무기발광다이오드를 제작하는 기존의 방법(예를 들어, 웨이퍼 절단, 연속적인 픽엔플레이스 공법(serial pick-and-place), 와이어본딩(wire bonding), 및 디바이스 바이 디바이스에 기존한 패키징(packaging on a device-by-device basis)) 및 이들을 디스플레이에 적용하는 기존의 방법(예를 들어, 타일에 로봇에 의하여 조립한 후, 많은 양의 벌크 와이어를 이용하여 상호연결하는 방법)들을 OLEDs에 대한 평면형, 배치형 공정과 보다 더 유사한 방법으로 대체하는 것은 응용의 가능성을 크게 확장시킨다. 적용예들은 데스크탑 모니터를 위한 ILED 디스플레이, 홈씨어터, 및 특정 소자의 측정 표시부 뿐만 아니라, 유연하고 연신성이 있는 형태에 적용될 경우, 입을 수 있는 건강 모니터, 또는 진단 및 생의학적 이미징 소자에도 적용될 수 있다. 마이크로 크기에서, 이와 같은 무기발광다이오드는 또한 반투명성 디스플레이를 도출할 수 있으며, 이는 자동차 네비게이션, 헤드업 디스플레이, 및 이에 관련된 적용분야를 위한 양방향 발광 특성을 보일 수 있다.
본 실시예는 초박형, 초소형 무기발광다이오드를 평면형 또는 곡면형를 제조하고, 이들을 유리에서 플라스틱 및 고무 기판에 계측 가능한 공정기술을 사용하여 적용 가능한 어레이로 설치하기 위한 방법을 제공한다. 본 전략은 (I) 고분자 브레이크어웨이(breakaway) 채결 구조에 의하여 웨이퍼에 고정된 독립 무기발광다이오드 어레를 도출하기 위한 원료 웨이퍼로부터의 측부 설계 및 개방을 위하여 디자인된 에피택셜 반도체 다층구조; (ii) 외부 기판 및 임의의 공간적 구조에 대규모 어레이의 형성을 가능하게 하는 방법에 따른 도출된 무기발광다이오드를 처리하기 위한 프린팅 기술; (iii) 직접 또는 매트릭스에 적용가능한 구조로 소자에 전기적으로 상호연결하기 위한 평면 공정 방법; 및 (iv) 일반적으로 반투명서 또는 양방향 발광 특성을 갖는 유연하거나 연신성이 있는 ILED 디스플레이를 생산할 수 있는 통합전략의 4 가지 구성요소를 포함한다. 특정 일면은 에피택셜 반도체층을 식각하고 개량하기 위하여 기존에 보고된 방법 및 유연하고 연신성이 있는 전자소자 기술에 근거한다.
도 1은 상기 구성요소들 중 첫번째 두가지 요소를 나타낸다. 에피택셜 반도체층은 AlInGaP 퀀텀벽 구조(상부 및 하부에 6-nm-두께의 Al0 . 25Ga0 . 25In0 .5P를 포함하는 6-nm-두께의 In0 . 56Ga0 . 44P벽), 클래딩 필름(p 및 n 측이 각각 In0 . 5Al0 . 5P:Zn및 In0.5Al0.5P:Si인 200-nm 두께의 층), 스프레더(p 및 n 측이 각각 Al0.45Ga0.55As:CandAl0.45Ga0.55As:Si 인 800-nm 두께의 층), 및 접촉부(p 및 n 측이 각각 5-nm-두께의 GaAs:C 층 및 500-nm-두께의 GaAs:Si 층)를 포함하고, 전체 두께는 ~2.523 ㎛이며, 이들 모두는 GaAs 기판 상의 AlAs(1500-nm-두께의 Al0 . 96Ga0 . 04As:Si 층) 상에서 성장한다(도 5). AlAs는 불화수소(HF)에 의한 식각에 의하여 상부 층 또는 하부 기판에 영향을 주지 않으면서 제거될 수 있다. 무기발광다이오드를 정의하는 공정은 첫째로 포토리소그래피에 의하여 정의되는 SiO2마스크를 통하여 ICP-RIE(유도결합플라즈마 활성 이온 식각) 방법으로 에피택셜 층을 통해 수직 트렌치(trench)의 패턴을 형성하는 것을 포함한다(도 6). 상기 단계는 소자의 측면 구조를 결정한다(도 5).
도 1, A 및 B는 소자 아일랜드가 50 m x 50 m인 대표적인 경우에 있어서, 식각공정 이후 수집된 주사전자현미경(SEM)에 의한 상부 및 단면부를 나타낸다. 각 아일랜드의 4 코너 중 2 코너에 위치한 포토리지스트 포스트(즉, 브레이크어웨이 앵커링)의 패턴을 형성하고, 이후 농축 HF에 침지하여 정렬된 무기발광다이오드 어레이의 언더컷 릴리즈(undercut release)를 도출한다. 앵커링들은 최종 언더컷 이후에도 소자들을 리소그래피에 의하여 정의된 위치에 고정시켜 식각조(etch bath)로 떨어지는 것을 방지한다(도 6).
다음으로, 자동화된 프린팅 소자(도 7)가 선택된 상기 무기발광다이오드와 접하는 표면으로 양각된 돌출부의 구조를 갖는 탄성 스탬프를 제공한다. 스탬프를 제거하는 것은 포토리지스트의 앵커링을 균열시키고, 반데르발스 힘에 의하여 부착된 소자를 양각의 돌출된 부분으로 이동시킨다. 도 1의 C 및 D는 프린팅 공정의 공정도 및 1회차 프린팅 이후 원료 웨이퍼 상의 체결된 무기발광다이오드 어레이의 SEM 이미지를 나타낸다(도 8).
도 1D의 흰색 화살표는 상기 공정에 의하여 제거된 무기발광다이오드의 집합을 강조하고 있으며, 이는 직각을 이루는 어레이의 2 개의 수직 축을 따라 모든 세번째 소자에 대응된다. 도 1E는 유리기판에 인쇄된 상기 소자들의 SEM 이미지를 제공한다. 브레이크어웨이 앵커링에 대한 공학적 디자인은 언더컷 식각과정 및 건식공정에서 무기발광다이오드가 리소그래피에 의하여 정의된 위치를 유지할 만큼 충분히 단단하지만, 프린팅 공정에서는 고효율로 떨어질 수 있을 만큼 충분히 균열될 수 있어야 한다.
이와 같은 디자인은 (i) 각 ILED의 동일면에 한쌍의 앵커링을 사용하여 언더컷 이후에 부유된 다이빙 보드 구조(도 1F)를 형성하고, 이는 스탬프를 벗겨내는 동안 포토리지스트를 균열시키기에 충분할 정도로 토크를 전달할 수 있도록 하는 것;
(ii) 무기발광다이오드보다 다소 작고, 소자의 중심부에서 이탈된 양각 구조의 스탬프를 사용하여 상기 토크를 최대화하고, 또한 앵커링과 겹치는 것을 최소화하는 것; 및
(iii) 반도체 물질보다 쉽게 균열되는 포토리지스트 구조를 사용하는 것이다. 이와 같은 앵커링 기술(즉, 불균일 앵커링)은 소자들의 주변부들 자체가 엥커로 작용하는(즉, 균일 앵커링) 기존의 트랜지스터 및 태양전지에 대하여 알려진 방법들보다 활성 물질의 사용에 보다 효과적이고, 디자인 선택에서 다양하게 적용될 수 있다. 공지의 웨이퍼 다시싱(wafer dicing) 및 픽-엔-플레이스(pick-and place) 방법은 웨이퍼 활용, 소자의 균열성, 및 크기와 관련된 어려움 때문에 본 발명에서 언급되고 있는 범위의 두께 및 크기를 갖는 소자에는 적절하지 않다. 상기 방법들은 또한 상기한 프린팅 방법 형태의 동등한 작동에서 높은 처리량을 보여주지 않는다.
도 1G는 원료 웨이퍼 상에 체결되고 언더커팅된 무기발광다이오드의 밀집된 어레이의 현미경 사진이다. 도 1H는 건식 컨포멀(conformal) 접착을 향상시키기 위하여 폴리디메틸실록산(PDMS) 박층(~10 mm)으로 코팅되어 있는, 웨이퍼로부터 유리기판으로 스텝-엔-리피트(step-and-repeat) 방법으로 프린트하여 형성된 상기 소자들의 저밀도 조합을 나타낸다. 플라스틱 기판에 대한 고수율, 대면적 및 호환성의 예로서, 도 1I는 폴리에틸렌 테르프탈레이트(PET, 50 ㎛ 두께) 박막에 인쇄된 무기발광다이오드의 집합체의 이미지를 나타내며, 원기둥형 유리 지지체(1600 소자, 1.4 mm의 피치, 및 ~25 mm 반경의 실린더를 갖는 직각 어레이)에 말려져 있는 것과 같이 나타나며, 평판형 글래스(삽입, 1600 소자, 1.4 mm의 피치를 갖는 직각 어레이)에 인쇄된 무기발광다이오드의 집합체의 이미지를 나타낸다. 무기발광다이오드의 설계 및 언더컷을 포함하여 전체적인 제조 수율 및 다음으로 이어지는 타겟 기판에의 프린팅은 상기 두 경우 모두 100 %였다. 소자들은 이미지 상으로 식별가능할 정도로 충분히 큰(즉, 250 ㎛ × 250 ㎛) 크기를 갖는 것으로 선택되었고, 도 1D의 크기를 갖는 소자들은 지나치게 작아서, 이와 같은 스케일에서는 명확하게 식별되지 않는다.
이와 같이 인쇄된 무기발광다이오드를 전기적으로 연결하여 발광소자 또는 어드레스 가능 디스플레이를 도출한다. 소자의 박형 두께(~2.5 ㎛)는 일반적인 박형-필름 공정의 사용을 가능하게 하여, 정착된 와이어 본딩 및 패키징(wire bonding and packaging) 기술보다 단순하고, 보다 더 계측가능하며, 훨씬 작은 픽셀 구조에 적용이 가능한 디스플레이 및 관련 장치들에 대한 공정을 제공한다. 가장 단순한 방법을 예시하기 위하여, 소자의 조합을 투명기판 상의 박형 금속 메쉬에 프린트하여 하부접촉을 형성하였고, 그 후 평형 리소그래피 공정을 이용하여 분리된 상부 접촉을 형성하였다(도 9).
도 2의 A 및 B는 LED라는 단어 형상과 함께 소형 사각형 소자(~25 ㎛ × 25 ㎛)의 어레이의 광학적 현미경 사진과 분해도를 나타낸다. 그 결과는 심지어는 소자의 모서리까지 밝은 발광이 있음을 나타내고 있고, 이는 AlInGaP 물질에서 상대적으로 낮은 표면 재결합속도와 일치한다. For improved performance, 향상된 성능을 위하여, 오믹접촉은 공지의 금속화 또는 어닐링 공법을 사용하여 수행될 수 있다. 하나의 방법은 플라스틱 또는 고무와 같은 저온 기판에 대해서도 프린팅 및 상호연결하기에 적절한 조합된 오믹접촉을 갖는 소자를 도출하기 위하여 원료 웨이퍼에 대한 부가적인 공정을 포함한다. 대안은 상기 기판에 대하여 오믹을 형성하기 위하여 저온 접근법을 사용하는 것이다. 본 실시를 위하여 175 ℃ 미만의 온도를 적용하는 공정인 두 번째 방법을 사용하였다(접촉 저항의 전송선 모델(transmission line model) 분석에 대해서는 도 10 참조).
도 2C는 유리기판 상의 폴리우레탄 박막에 인쇄된 오믹접촉하는 ILED 층 및 직접 확인된 소자로부터의 발광에 대한 광학적 현미경 사진을 나타낸다. 도 2의 D 및 E는 언더컷 식각 이전 및 프린팅 이후에 웨이퍼에 기록된 소자들의 전기적 및 광학적 특징들을 나타낸다. 이 경우의 공정에서는 비보호 소자들 상에 HF 식각 단계와 관련된 공정에서 중간정도의 저하를 감소시키기 위하여 패시베이션(passivation) 공정을 사용하였다(도 11). 인쇄된 소자의 전류-전압-발광 특성의 결과는 웨이퍼 상의 소자들의 특성과 일치한다.
도 3A는 패시브 메트릭스 어드레싱(passive matrix addressing)을 위한 상호연결 공정에 대한 공정도를 나타낸다. 포토리소그래피 및 전자선 증착은 각각 일반적인 행과 열에서 소자들의 p 및 n 접촉(도 3 및 4에서는 비 오믹접촉)을 연결하는 패터닝된 금속 전극들(Ti (20 nm)/Au (300 nm))을 정의한다. 에폭시(1.2 ㎛ 두께)의 두가지 스핀캐스트, 광패터닝된 층들은 상기 접촉에 대하여 개구를 제공한다; 상부층은 행 및 열 전극들이 교차하는 지점에서 행 및 열 전극들을 전기적으로 분리한다. 이방성 전도성 필름(ACFs)을 사용하는 리본 케이블을 통하여 상기 전극 라인의 말단에 있는 말단 패드를 외부 컴퓨터 조절장치에 연결하여 패시브 메트릭스 어드레싱을 가능하도록 한다(세부적인 내용은 도 12 참조).
도 3B는 접착제로서 광경화성 폴리우레탄 층을 포함하고, 플라스틱 박막층(PET, 50 m 두께)에 형성된 상기 디자인을 사용한 소형 디스플레이의 이미지를 나타낸다. 무기발광다이오드는 100 ㎛ × 100 ㎛의 크기를 갖고, 16 × 16 의 사각형 어레이로 조립된다. 도 3B의 경우, 개별 픽셀 상의 수율은 100%이다; 디스플레이 수준에서, 1개의 행과 2개의 열이 작동하지 않았고, 이는 ACF 리본케이블과의 접촉이 끊어졌기 때문이다(도 13; 더작은 무기발광다이오드(50 ㎛ × 50 ㎛)를 갖는 유사 디스플레이 예는 도 14 참조). 상기 시스템은 어떠한 식별 가능한 저하 없이 ~7 mm의 곡률반경으로 휘어질 수 있고, 수백번의 밴딩을 반복하였다(도 14). 분석적 계산에 따르면, 조사된 최소 만곡반경(minimum bend radius)에서 조차 ILED에 대하여 최대로 가해지는 변형은 0.21% 이고, 양지 우물 영역(quantum well region)에서는 다소 작은 0.19%이다. 밴드갭의 변형에 대한 의존성을 결정하는 파라미터를 사용한 분석은 가장 작은 만곡반경에 대하여 ~2.4 nm의 발광파장 변화를 제안한다. 도 1에서 나타난 바와 같이, 스텝앤드리피트 프린팅(step-and-repeat printing)은 구성 무기발광다이오드 또는 원료 웨이퍼보다 훨씬 넓은 시스템을 도출할 수 있다. 한가지 중요한 결과는 무기발광다이오드(투명 전도체로 제조되지 않은 경우에는 전극도 포함)가 반투명인 경우에도 효과적으로 높은 수준의 투명성을 제공할 수 있는 디스플레이를 형성할 수 있다는 점이다.
도 3의 C 및 D는 유리기판에 형성된 16 × 16 어레이의 예를 나타낸다. 여기서 디스플레이의 크기는 ~~325 mm2이고; 모든 무기발광다이오드의 누적 넓이는 ~2.5 mm2이며; 이는 디스플레이 면적의 ~1% 미만에 해당한다. 도 3C는 인쇄된 로고를 포함하는 종이 상부에 위차한 상기 시스템의 작동을 도시한다; 이미지의 초점은 종이에 있으며, 이에 따라 예를 들어 헤드업 디스플레이와 같은 응용분야를 위한 실질적인 수준의 투명성을 보여준다. 도 3D는 양방향 발광 특성을 보여주기 위하여 거울(좌상방) 앞에서 작동하고 있는 동일 소자(우하방)를 보여주고 있다. 삽입된 도면은 상기 디스플레이의 확대도이고, 유닛 셀과 비교하여 무기발광다이오드의 작은 크기를 보여준다. 이와 같은 레이아웃은 LED 물질을 효율적으로 사용하기 때문에 비용절감차원에서 많은 응용분야에서 중요하다. 상기한 예와 관련하여, 개별 소자들에 대해서는 ~98%의 수율을 얻을 수 있었고, 상호연결에서는 ~80 %의 수율을 얻을 수 있었다. 이는 ACF 리본 케이블에 대한 접촉불량과 금속선의 단선에 의하여 제한된 것이었다(도 16).
본 발명에서 개시된 소자 및 집적방법은 연신성이 있는 전자부품을 생산하기 위한 방법에 적용이 가능하고, 이에 따라 단순한 만곡 가능성 이상을 요구하는 인체 및 다른 곡선으로 이루어진 유연 표면(예를 들어, 도 3B)에의 적용에 유효한 종류의 발광 시스템 및 이에 상응하는 디스플레이와 관련한 방법을 제공한다.
도 4A는 리본형의 연신성 ILED의 예를 나타내고 있다. 상기 소자는 선변형된 PDMS 고무기판에 프린팅 및 결합을 수행함에 의하여 형성되었다. 선변형을 이완시켜줌으로써 물결(wavy)형, 즉 사인 곡선의(sinusoidal profile) 형태를 갖는 소자가 제조되었고; 이와 같은 구조는 연신성 ILED 소자를 도출하기 위하여 아코디언 벨로우에서와 유사한 물리학 원리로 탄력적으로 적용된 변형에 반응한다. 상부 패널은 압축된(좌측) 및 늘어난(우측) 상태에서 시스템에 대하여 기계적인 수치적 모사를 제공한다. 그 결과에 따르면, ILED 및 양자 우물 영역에 대한 최대 변형은 각각 0.36 및 0.053%이다(세부내용을 위해서는 SOM 참조). 하부 패널은 도 22A에 도시되어 있는 바와 유사하게, 각각 외부발광이 있는 경우와 없는 경우의 꺼진 상태(상부) 및 켜진 상태(하부)에서 광학적 현미경 사진을 나타낸다. 발광 특성은 물결형태로부터 평평한 상태에 있어서 적용된 변형 또는 관련 변화에 대하여 소자의 색깔이 눈에 띄게 변하지 않음을 보여준다(세부적인 사항을 위해서는 도 17 및 18 참조). 이와 같은 관찰은 유연 디스플레이에 대하여 수행된 것과 유사한 계산된 변형 값 및 분석에 기초한 ~0.7 nm 미만의 계산된 발광파장 변화와 일치한다.
도 4A의 "물결(wavy)" 방법은 상대적으로 온화한 범위의 적용 변형(즉, 본 발명에서는 수 퍼센트까지)에 대해서만 적용될 수 있다. 고도의 연신성을 갖는 디스플레이를 위해서는 조합된 회로를 위하여 보고된 방법으로부터 채택되는 비공면 메쉬 디자인이 사용된다. 도 4B는 이와 같은 시스템의 광학적 현미경 사진을 나타내고, PDMS 기판에 결합되어 있고 부채꼴형 브릿지에 의하여 지지되는 전극에 의하여 상호연결되는 16 × 16 사각형의 무기발광다이오드 어레이를 포함하며, 일부 픽셀들은 켜져 있다(전체 수율 > 80%). 상기 브릿지의 형태가 디스플레이의 변형에 따라 변하며, 이를 통하여 무기발광다이오드를 특정 수준 이상의 변형으로부터 고립시킨다(도 20 및 21). 특히, 계산에 따르면, 24% 변형의 경우, 인접한 소자 아일랜드의 내부 모서리들 사이의 분리에 대한 변화에 의하여 정의된 바와 같이, ILED 및 퀀텀 우물에서의 최대 변형은 각각 0.17 및 0.026%이다. 발광 파장에 있어서 계산된 변화는 ~0.3 nm 미만이다.
도 4C는 각각 외부 발광이 있는 경우(상부) 및 없는 경우(하부)의 꺼진 상태 및 켜진 상태에서 디스플레이 내의 4 픽셀들에 대한 광학적 현미경 사진을 제공한다. 상기 사진들에 따르면, 포아송 효과(Poisson effect)에 의하여 직각 방향으로 브릿지의 높이가 성장하는 것과 함께, 적용된 인장력과 동일한 방향(즉, 좌하부로부터 우상부로의 상호연결을 따라)으로 부채꼴형의 브릿지 높이에 대한 예상된 감소를 확인할 수 있다. 상기와 같은 기계적 반응은 충분히 탄력적이고 구부림에 의하여 유도되는 상호연결부에 대한 변형은 작으며, 무기발광다이오드에 대한 변형은 무시할 정도이고, PDMS에 대한 변형은 이의 선형반응 범위 내이다.
도 4의 D 및 E에 나타나는 데이터는 이와 같은 역학과 일치하며, 이는 또한 관련 역학 계산과도 일치한다. 특히, 특정 소자에 대한 전류-전압 특성은 ~22% 까지의 적용된 변형에 대하여 측정 가능한 범위에서의 변화를 보여주지 않으며, 수백회(500 회)의 사이클을 적용하여도 어떠한 저하도 관찰되지 않는다. 최근 연구들은 서로 다른 디자인을 사용한 유연 소자들 상에 대면적, 일반적인 무기발광다이오드의 보다 작은 조합의 사용을 나타내고 있다.
박형, 소형 무기 LEDs를 제조하고 이를 디스플레이 및 발광소자에 조합하기 위한 본 발명에 따른 방법들은 기존의 공정에서 불가능했던 디자인적 선택의 폭을 형성하도록 한다. 상호연결을 위한 평면형 공정 접근법은 현재 유기소자에 사용되는 방법, 예를 들어, 액적 디스플레이를 위한 대면적 전자부분에 대한 방법과 유사하고, 이에 의하여 무기 LED 기술에 많은 관련된 실질적 이익을 부여한다. 대면적, 고픽셀 시스템(예를 들어, 제곱미터당 백만 픽셀)에서 개별 픽셀보다 작은 크기의 LED를 사용할 수 있다는 것은 적절한 비용으로 에피택셜 반도체 물질을 효율적으로 사용하기 위하여 중요하다. 본 발명에서 소자의 최소 크기는 포토리소그래피를 위한 수공구와 관련된 해상도 및 레지스트레이션(registration)에 의해서만 한정된다.
물질 및 방법
에피택셜 반도체 다층구조 디자인, 고분자성 앵커 구조, 대면적 프린팅 기술, 및 직접 또는 매트릭스 어드레서블 구조(matrix addressable configurations)에 있어서 전기적 상호연결을 위한 물질 및 방법은 유연 디스플레이, 대면적 디스플레이, 초소형 크기/임의 형태, 물결형 리본 소자, 및 연신성 디스플레이를 갖는 무기 발광 다이오드(ILED) 장치에 대하여 다음에서 설명된다.
무기발광다이오드의 제조
도 5는 GaAs 웨이퍼(Epiworks, Inc.) 상에서 성장하고, 언더컷 식각에 의하여 원료 웨이퍼로부터 도출될 수 있는 무기발광다이오드를 위한 에피-스택 디자인(epi-stack design)을 나타낸다. 무기발광다이오드 어레이를 도출하기 위하여 사용된 공정단계의 절차는 이하에 기재되어 있다. 고분자성 앵커 구조는 Al0 . 96Ga0 . 04As 희생층 (도 6)의 언더컷 식각 공정동안 무기발광다이오드를 지지한다.
원료 웨이퍼로부터 무기발광다이오드를 제조하는 공정
무기발광다이오드 ILDEs의 설계
1. 에피-스택 ILED 웨이퍼 칩의 세정(아세톤, 이스프로필 알콜(IPA), 증류수(DI)).
2. 플라즈마 화학기상증착(PECVD; PlasmaTherm SLR)에 의하여 800 nm의 SiO2 증착.
3. 1 분간 헥사메틸디실라잔(hexamethyldisilazane; HMDS)으로 전처리.
4. 산화철 마스크(Karl Suss MJB3)를 통하여 365 nm 광학적 리소그래피를 사용하여 포토리지스트(PR; Clariant AZ5214, 3000 rpm, 30 sec)를 패턴화. 액상 염기 현상액(Clariant AZ327 MIF)을 이용하여 현상.
5. 버퍼된 산화물 식각액(BOE; Fisher, 130 sec)을 이용하여 산화물을 식각.
6. 유도결합 플라즈마 활성 이온 식각수단(ICP-RIE; Unaxis SLR 770 System, 2 mTorr, Cl24sccm,H22sccm, Ar4sccm, RF1:100W, RF2:500 W, ~21min)을 이용하여 식각.
무기발광다이오드의 언더컷 식각
7. 상기 단계 6의 처리된 웨이퍼 칩을 HF(Fisher, 49%, 10:1로 희석, 2 sec)로 세척.
8. PR을 패터닝하고, 110 ℃에서 5 분간 가열하여 -무기발광다이오드 모서리에 고분자성 앵커 형성.
9. 웨이퍼 칩을 희석된 HF(Fisher, 49%, 100:1으로 희석)에 적절한 시간(50 ㎛ × 50 ㎛ -무기발광다이오드: ~4 시간, 100 m x 100 m: ~5.5 시간)동안 침지하여 무기발광다이오드 내부의 Al0 . 96Ga0 . 04As(희생층) 제거. 증류수를 이용하여 1.5 시간 간격으로 부산물 제거.
소자 제작
도 2A에 도시된 ILED를 위한 공정; 상기 공정 단계의 모식도는 도 9에 나타냄.
금속 메쉬를 이용하여 기판 제조
1. PECVD를 이용하여 300 nm SiO2를 실리콘 웨이퍼에 증착.
2. HMDS를 이용하여 표면을 1 분간 전처리하고, 이후 PR을 패터닝.
3. 전자선 증착에 의하여 Cr/Au 7/70 nm를 증착.
4. 아세톤에서 PR을 박리하여 메쉬 형태의 Cr/Au 패턴 형성.
5. HF(49%, 38 초)를 이용하여 산화물 식각.
6. 프린트 메쉬를 염기와 경화제를 10:1의 비율로 혼합하고 열경화하여 형성된 폴리디메틸실록산(PDMS; Sylgard 184, Dow Corning, 600 rpm/5 sec, 3000 rpm/30 sec로 회전, 70 ℃에서 90 분동안 경화)으로 코팅된 유리기판으로 이동.
무기발광다이오드 프린팅
7. 염기와 경화제를 8.5:1.5의 비율로 혼합하여 형성된 평면 PDMS 스탬프(PDMS 스탬프)를 사용하여 무기발광다이오드를 박리하고 열 경화.
8. 무기발광다이오드를 Cr/Au 메쉬(n-접촉)를 갖는 유리기판에 프린트.
9. 아세톤으로 세척하여 PR 제거.
내부층 형성 및 p-접촉부 금속배선.
10. 감광성 에폭시를 사용하여 단계 9의 기판을 스핀코팅(SU8-2, Microchem, 1,500 rpm으로 30 초 동안 회전). 65 ℃ 및 95 ℃에서 1 분 및 1.5 분 동안 온화하게 가열.
11. 마스크 얼라이너(mask aligner)에서 14 초동안 자외선(UV)에 노출시켜 에폭시를 패턴화하고, 95 ℃에서 2 분동안 가열한 후, 15 초동안 현상(SU8 현상액, Microchem)하고, 세척(IPA) 및 경화(110 ℃, 35 분, 서서히 냉각).
12. PR 패터닝.
13. 스퍼터링을 통하여 Pd-Au 7 nm를 증착.
14. 아세톤으로 PR을 박리하여 무기발광다이오드의 상부면에 Pd-Au 박막 형성(p-접촉부).
도 2C의 오믹접촉을 갖는 ILED 소자를 위한 공정
기판 제조
1. 유리 스라이드(25 mm × 25 mm) 세척(아세톤, IPA, 증류수).
2. 5 분간 자외선 유도 오존(UVO)에 노출.
3. Spin coat with 폴리우레탄 (NOA61; Norland Products Inc., spun at 5000 rpm/60 sec) 폴리우레탄으로 스핀코팅(NOA61; Norland Products Inc., 5000 rpm/60 초 조건).
무기발광다이오드 제조
4. 에피-스택 ILED 웨이퍼 칩 세척(아세톤, IPA, 증류수).
5. PECVD를 이용하여 800 nm SIO2 증착.
6. 1 분동안 HMDS로 전처리.
7. PR 패터닝 및 핫플레이트에서 가열(110 ℃, 3분).
8. BOE를 이용하여 산화물 식각(130 초).
9. ICP-RIE(2 mTorr, Cl2 4sccm, H2 2sccm, Ar 4sccm, RF 1:100W, RF 2:500W, ~16분)으로 식각하여 무기발광다이오드 내부 Al0 . 96Ga0 . 04As(희생층)을 노출시킴.
패시베이션 층 형성 및 무기발광다이오드의 언더컷 식각
10. 상기 단계 9의 처리된 웨이퍼 칩 세척(아세톤, IPA, 증류수).
11. 에폭시를 이용한 스핀코팅(SU8-2, 3,000 rpm로 30 초간 회전). 65 ℃ 및 110 ℃에서 각각 1 분 및 1 분 동안 온화한 조건으로 가열.
12. UV에의 노출, 가열, 현상, 세척(IPA) 및 경화를 통하여 에폭시를 패터닝. 상기 패턴은 언더컷 식각 공정 중 -무기발광다이오드 보호를 위한 패시베이션 구조 및 무기발광다이오드 부유를 위한 앵커 구조를 포함함.
13. 웨이퍼 칩을 희석된 HF(49%, 100:1 희석)에 ~2 시간동안 침지하여 -무기발광다이오드 내부의 Al0 . 96Ga0 . 04As(희생층)을 제거.
무기발광다이오드 프린팅
14. 염기와 경화제를 10:1의 비율로 혼합하여 형성된 평면형 PDMS 스탬프(PDMS 스탬프)를 사용하여 무기발광다이오드를 박리한 후 열 경화. 상기 단계13의 기판에 대하여 염료가 있는 스탬프를 접촉시킴.
15. 20 분간의 UV 노출(스탬프를 통하여) 이후 스탬프 제거. 2 시간동안의 UV 노출을 통하여 폴리우레탄 층 경화.
n-접촉부 구역 정의
16. 무기발광다이오드의 상부면으로부터 에폭시를 제거하기 위하여 활성 이온 식각(RIE; PlasmaTherm 790 Series, 50 mTorr, 20 sccm O2, 100W, ~12 분).
17. PR 패터닝 및 110 ℃에서 2 분동안 가열.
18. 25 초동안 H3PO4/H2O2/H2O(부피비 1:13:12)을 이용하여 C-도핑된 p-GaAs/p-스프레더(Al0.45Ga0.55As)를 습식식각, 15 초동안 HCl/H2O(2:1)를 이용하여 InGaP-기초 활성부를 습식식각, Si 도핑된 n-GaAs를 노출시키기 위하여 23 초간 H3PO4/H2O2/H2O(1:13:12)을 이용하여 Si-도핑된 n-스프레더(Al0.45Ga0.55As)를 습식식각.
19. 아세톤으로 세척하여 PR을 제거.
n-오믹 접촉부 금속배선의 정의
20. PR 패터닝.
21. n-GaAs 표면을 HCl : 증류수(1 : 1)로 30 초동안 세척.
22. 전자선 증착을 통하여 Pd/Ge/Au를 5/35/70 nm로 증착.
23. 아세톤에서 PR을 박리하여 n-GaAs 상부면에 Pd/Ge/Au를 노출.
24. N2 분위기 하에서 175 ℃로 60 분동안 가열.
p-오믹 접촉부 금속배선의 정의
25. PR 패터닝.
26. HCl : 증류수 (1:1)로 p-GaAs의 표면을 30 초동안 세척.
27. 이온빔 증착을 통하여 Pt/Ti/Pt/Au를 10/40/10/70 nm로 증착.
28. 아세톤에서 PR을 박리하여 p-GaAs의 상부면에 Pt/Ti/Pt/Au를 노출시킴.
도 3B의 유연 ILED 디스플레이를 위한 공정
기판 제조
1. 유리 슬라이드(30 mm × 30 mm) 세척(아세톤, IPA, 증류수).
2. 자외선 유도 오존(UVO)으로 5 분간 처리.
3. 염기와 경화제를 10:1의 비율로 혼합하여 형성된 PDMS로 스핀코팅(600 rpm/5 초로 회전, 3000 rpm/30 초로 회전).
4. 오븐에서 PDMS를 경화(70 ℃로 90 분).
5. 폴리에틸렌 테레프탈레이트(PET; Grafix DURA-LAR, 32 mm × 32 mm × 50 ㎛) 세척(IPA, 증류수).
6. 이하의 공정에서의 케리어로서, PET 시트를 PDMS 코팅된 유리 슬라이드에 적층.
7. 폴리우레탄으로 스핀코팅(NOA61; Norland Products Inc., 5000 rpm/60 초로 회전).
무기발광다이오드 프린팅
8. 평면형 PDMS 스탬프(PDMS 스탬프)를 사용하여 무기발광다이오드 어레이(16 × 16의 소자 어레이, 100 ㎛ × 100 ㎛ 크기)를 박리. 단계 7의 기판에 대하여 염료가 있는 스탬프를 접촉시킴.
9. 20 분간의 UV 노출(스탬프를 통한) 이후 스탬프 제거.
10. 아세톤으로 세척하여 PR 제거 및 그 후 2 시간동안 UV 노출을 통하여 폴리우레탄 층 경화.
n-접촉부 정의
11. 무기발광다이오드를 덮고 있는 폴리우레탄 층을 제거하기 위하여 활성 이온 식각(RIE; PlasmaTherm 790 Series, 50 mTorr, 20 sccm O2,100W,8분)
12. PR 패터닝 및 110 ℃에서 2 분간 가열.
13. 25 초동안 H3PO4/H2O2/H2O(부피비 1:13:12)에 의하여 C-도핑된 p-GaAs/p-스프레더(Al0.45Ga0.55As)를 습식식각, 15 초동안 HCl/H2O(2:1)에 의하여 InGaP-기초한 활성부를 습식식각, 및 Si-도핑된 n-GaAs를 노출시키기 위하여 23 초동안 H3PO4/H2O2/H2O(1:13:12)에 의하여 Si-도핑된 n-스프레더 (Al0 . 45Ga0 . 55As)를 습식식각.
14. 아세톤으로 세척하여 PR 제거.
n-접촉부 금속배선의 정의
15. 에폭시로 스핀코팅(SU8-2, 3,000 rpm으로 30 초동안 회전). 65 ℃ 및 110 ℃ 로 각각 1 분 및 1 분동안 온화한 조건에서 가열.
16. UV 노출, 가열, 현상, 세척(IPA), 및 경화로 에폭시 패터닝.
17. 전자선 증착에 의하여 Ti/Au를 20/300 nm로 증착.
18. PR 패터닝 및 110 ℃ 에서 2 분동안 가열.
19. BOE 및 Au 식각수단(Transene, Inc.)을 이용하여 Ti/Au를 45/90 초 동안 습식식각.
20. 아세톤으로 세척하여 PR 제거.
p-접촉부 및 p-접촉부 금속배선의 정의
21. 에폭시로 스핀코팅(SU8-2, 3,000 rpm으로 30 초간 회전). 65 ℃ 및 110 ℃에서 각각 1 분 및 1 분동안 온화한 조건에서 가열.
22. UV 노출, 현상, 세척, 및 경화에 의하여 에폭시 패터닝.
23. 전자선 증착에 의하여 Ti/Au를 20/300 nm로 증착.
24. PR 패터닝 및 110 ℃에서 2 분동안 가열.
25. BOE 및 Au 식각수단을 이용하여 Ti/Au를 45/90 초동안 습식식각.
26. 아세톤으로 세척하여 PR 제거.
봉지막 형성
27. 에폭시를 이용하여 스핀코팅(SU8-5, Microchem, 3,000 rpm 으로 30 초간 회전). 65 ℃ 및 110 ℃에서 각각 1 분 및 1.5 분동안 온화한 분위기에서 가열.
28. UV에 14 초간 노출, 95 ℃에서 2 분간 가열, 18 초간 현상(SU8 현상액), 세척(IPA), 및 경화(110 ℃, 35 분, 서서히 냉각)시켜 에폭시 패터닝.
도 3의 C 및 D의 대면적 무기발광다이오드 디스플레이를 위한 공정
기판 제조
1. 유리 슬라이드(50 mm × 50 mm) 세척(아세톤, IPA, 증류수)
2. 전자선 증착에 의하여 Ti를 50 nm로 증착.
3. 자동화된 프린터 시스템에 의하여 인쇄된 무기발광다이오드의 레지스트레이션을 돕기 위한 가이드 라인을 형성하기 위하여 PR 패터닝 및 핫플레이트에서의 가열(110 ℃, 2 분).
4. BOE를 사용하여 Ti를 습식식각(70 초).
5. 아세톤으로 세척하여 PR 제거.
6. 15 분동안 자외선 유도 오존(UVO)에 노출.
7. 염기와 경화제를 10:1의 비율로 혼합하여 형성된 PDMS로 스핀코팅(600 rpm/5 초, 2500 rpm/30 초로 회전).
8. 오븐에서 PDMS를 경화(70 ℃, 90 분)
무기발광다이오드 프린팅
9. 본 단계에서 16 × 16 어레이를 형성하기 위하여 반복적으로 자동화된 프린팅 장비에서 합성 스탬프를 사용하여 무기발광다이오드(100 ㎛ × 100 ㎛ 측면 크기)를 선택적으로 박리하고, 이를 단계 8의 기판에 프린트함.
10. 아세톤으로 세척하여 PR 제거.
p-접촉부 금속배선의 패터닝
11. 에폭시를 이용하여 스핀코팅(SU8-2, 1,500 rpm으로 30 초간 회전). 65 ℃ 및 110 ℃에서 각각 1 분 및 1 분 동안 온화한 분위기에서 가열.
12. UV에 노출, 가열, 현상, 세척 및 경화시켜 에폭시 패터닝.
13. 전자선 증착에 의하여 Ti/Au를 10/70 nm로 증착 .
14. 패터닝 및 110 ℃에서 2 분동안 가열.
15. BOE 및 금 식각제를 이용하여 35/20 초동안 Ti/Au를 습식식각.
16. 아세톤으로 세척하여 PR 제거.
17. 무기발광다이오드의 측면부의 잔여 에폭시를 제거하기 위하여 활성 이온 식각(RIE, 50 mTorr, 20 sccm O2,100W,13분)(도 12).
n-접촉부 정의
18. PR 패터닝 및 110 ℃에서 2 분간 가열.
19. 25 초간 H3PO4/H2O2/H2O(1:13:12)를 사용하여 C-도핑된 p-GaAs/p-스프레더를 습식식각, 15 초간 HCl/H2O(2:1)를 사용하여 InGaP-기초한 활성부를 습식식각, 및 Si-도핑된 n-GaAs를 노출시키기 위하여 23초간 H3PO4/H2O2/H2O(1:13:12)를 사용하여 Si-도핑된 n-스프레더를 습식식각.
20. 아세톤으로 세척하여 PR 제거.
n-접촉부 금속배선의 패터닝
21. 에폭시를 사용하여 스핀코팅(SU8-2, 3,000 rpm 속도로 30 초간 회전). 65 ℃ 및 110 ℃에서 각각 1 분 및 1분동안 온화한 분위기에서 가열.
22. UV에의 노출, 가열, 현상, 세척, 및 경화에 의하여 에폭시 패터닝.
23. 전자선 증착에 의하여 Ti/Au를 20/300 nm로 증착.
24. PR 패터닝 및 110 ℃에서 2 분간 가열.
25. BOE 및 Au 식각제를 사용하여 45/90 초간 Ti/Au를 습식식각.
26. 아세톤 세척을 이용하여 PR 제거.
p-접촉부 및 금속배선의 정의
27. 에폭시를 사용하여 스핀코팅(SU8-2, 3,000 rpm으로 30 초간 회전). 65 ℃ 및 110 ℃에서 각각 1 분 및 1 분 동안 온화한 분위기에서 가열.
28. UV에의 노출, 현상, 세척, 및 경화를 통하여 에폭시 패터닝.
29. 전자선 증착에 의하여 Ti/Au를 20/300 nm로 증착.
30. PR 패터닝 및 110 ℃에서 2 분간 가열.
31. BOE 및 Au 식각제를 사용하여 45/90 초동안 Ti/Au를 습식식각.
32. 아세톤을 사용하여 PR 제거.
봉지막의 형성
33. 에폭시로 스핀코팅(SU8-5, 3,000 rpm으로 30 초간 회전). 65 ℃ 및 110 ℃로 각각 1 분 및 1.5 분으로 온화한 조건에서 가열.
34. UV에의 노출, 가열, 현상, 세척 및 경화를 통하여 에폭시 패터닝.
도 4A의 연신가능한 ILED (stretchable ILED ) 제조방법
도 18은 제조단계의 분해 개념도이다.
리본형상의 ILED의 제조
1. 에피-스택 ILED 웨이퍼 칩의 세척 (아세톤, IPA, 탈이온수).
2. 패턴 PR 및 2분 동안 가열(bake).
3. μ-ILED 하부의 Al0 . 96Ga0 . 04As(희생층)을 노출시키기 위해 25초 동안 H3PO4/H2O2/H2O (1:13:12)에 의해 C-도핑된 p-GaAs/p-스프레더, 15초 동안 HCl/H2O (2:1)에 의해 InGaP-계 활성 영역 및 35초 동안 H3PO4/H2O2/H2O (1:13:12)에 의해 Si-도핑된 n-스프레더를 습식 식각.
4. 아세톤으로 세척하여 PR 제거.
봉지막(encapsulation layer) 형성 및 식각 절단.
5. 상기 리본 형상의 상부 표면 상에 패턴 PR.
6. 전자빔 증착에 의한 3/15 nm의 Ti/Au 적층.
*7. 상기 리본의 상부 표면상에 Ti/Au를 남겨두기 위해 아세톤에서 PR 제거(Lift-off PR).
8. 에폭시로 스핀코팅 (SU8-2, 30초 동안 3,000 rpm으로 회전). 각각 65 ℃ 및 95 ℃에서 1 min 및 1.5 min 동안 소프트 베이크(soft bake).
9. UV 노출, 가열(baking), 현상(developing), 세척(rinsing, IPA) 및 경화(curing)에 의한 에폭시 패터닝하기.
10. 웨이퍼로부터 상기 리본을 박리(release)시키기 위해 1시간 동안 묽은 HF(100:1)에서 ILED를 담그기.
11. 5분 동안 탈이온수에서 세척.
12. 예비 패턴된 금속선(metal lines)을 갖는 예비변형된(pre-strained) PDMS 기판상에 리본 프린팅.
도 4, B 및 C의 연신가능한 ILED 디스플레이 제조방법
도 20은 제조단계의 개념도이다.
캐리어 기판의 제조
1. 유리 슬라이드의 세척 (25 mm × 25 mm) (아세톤, IPA, 탈이온수).
2. 5분 동안 UVO 처리.
3. PMMA 로 스핀 코팅(A2, Microchem, 3,000 rpm으로 30 sec 동안 회전).
4. 180 ℃에서 3분동안 열처리.
5. 폴리이미드 (PI, 폴리(피로멜리틱 디안하이드라이드-co-4,4' -옥시디아니릴), 아민산 용액(amic acid solution), 시그마 알드리치, 4,000 rpm으로 60초 동안 회전)로 스핀 코팅.
6. 110 ℃에서 3분 및 150 ℃에서 10 분 동안 열처리.
7. 250 ℃에서 50분 동안 질소 분위기에서 열처리.
8. 에폭시로 스핀코팅 (SU8-2, 30초 동안 3,000 rpm으로 회전). 각각 65 ℃ 및 95 ℃에서 1분 및 1.5분 동안 소프트 베이크(soft bake).
ILEDs 인쇄
9. 평평한 PDMS 스탬프를 이용하여 ILED (50 ㎛ × 50 ㎛의 치수를 갖는 16 × 16 배열 소자) 제거 및 잉크화된 스탬프(inked stamp)를 단계 8의 기판과 접촉.
10. 60초 동안 UV 노출 (상기 스탬프를 통해) 및 10분 동안 110 ℃에서 베이킹 후 상기 스탬프를 제거.
11. 아세톤으로 세척하여 PR 제거. 150 ℃에서 20분 동안 상기 에폭시 층을 완전히 경화.
측면영역 형성 (Forming the sidewall region)
12. 에폭시로 스핀코팅 (SU8-2, 30초 동안 3,000 rpm으로 회전). 각각 65 ℃ 및 95 ℃에서 1 분 및 1.5분 동안 소프트 베이크(soft bake).
13. UV에 14초 노출 및 110 ℃에서 1분 동안 가열.
14. 150 ℃에서 20분 동안 열처리.
15. 상기 ILED 측면 주변의 잔존하는 에폭시 제거를 위해 반응성 이온 식각(RIE; PlasmaTherm 790 시리즈, 50 mTorr, 20 sccm O2, 100 W, 13 분).
n-접촉영역 한정(Defining the n-contact regions n-contact regions)
16. 패턴 PR 및 110 ℃에서 5분 동안 가열(bake).
17. Si-도핑된 n-GaAs를 노출시키기 위해, 25초 동안 H3PO4/H2O2/H2O (1:13:12)에 의해 C-도핑된 p-GaAs/p-스프레더, 15초 동안 HCl/H2O (2:1)에 의해 InGaP-계 활성 영역 및 23초 동안 H3PO4/H2O2/H2O (1:13:12)에 의해 Si-도핑된 n-스프레더를 습식 식각.
18. 아세톤으로 세척하여 PR 제거.
n형 및 p형 접촉 금속배선 정의(Defining the n- and p-contact metallization)
19. 에폭시로 스핀코팅 (SU8-2, 30초 동안 3,000 rpm으로 회전). 각각 65 ℃ 및 95 ℃에서 1 분 및 2분 동안 소프트 베이크(soft bake).
20. 14초 동안 UV 노출, 15초 동안 현상(developing), 및 경화(110 ℃, 35분, 서냉)에 의한 에폭시 패터닝하기.
21. 전자빔 증착에 의한 20/300 nm Ti/Au 적층(deposit).
22. n-GaAs에 연결되는 라인 패턴으로 설계되는 n-접촉 전극, 및 상기 n-접촉 전극 교차 를 회피하는 라인 패턴으로 설계되는 p-접촉 전극(도 20)을 정의하기 위해 PR 패턴 및 110 ℃에서 2분 동안 가열.
23. BOE 및 Au 식각액(etchant)으로 45/90 초 동안 Ti/Au 습식 식각.
24. 아세톤으로 세척하여 PR 제거.
p-접촉 금속배선 상호 연결(Interconnecting the p-contact metallization)
25. 에폭시로 스핀코팅 (SU8-2, 30초 동안 3,000 rpm으로 회전). 각각 65 ℃ 및 95 ℃에서 1 분 및 2분 동안 소프트 베이크(soft bake).
26. UV 노출, 현상(developing), 세척(rinsing) 및 경화(curing)에 의한 에폭시 패터닝.
27. 전자빔 증착에 의한 20/300 nm Ti/Au 적층(deposit).
28. 패턴 PR 및 110 ℃에서 2분 동안 가열(bake).
*29. BOE 및 Au 식각액(etchant)으로 45/90 초 동안 Ti/Au 습식 식각.
30. 아세톤으로 세척하여 PR 제거.
성막 및 봉지막 (Forming and encapsulation layer)
31. 에폭시로 스핀코팅 (SU8-2, 30초 동안 3,000 rpm으로 회전). 각각 65 ℃ 및 95 ℃에서 1 분 및 1.5분 동안 소프트 베이크(soft bake).
32. UV 노출, 현상(developing), 세척(rinsing) 및 경화(curing)에 의한 에폭시 패터닝.
아일랜드/브리지 구조 형성(Forming the island/bridge structures)
33. PECVD에 의한 150 nm 실리카(SiO2) 적층
34. 패턴 PR 및 110 ℃에서 2분 동안 가열(bake).
35. SiO2를 식각하기 위한 RIE (50 mTorr, CF4/O2 40/1.2 sccm, 150 W, 8 분).
36. 에폭시/PI 층 식각을 위한 RIE (150 mTorr, O2 20 sccm, 150 W, 50 분)
37. BOE (20 초)로 산화막 식각(etch oxide).
메쉬 운반(Transferring the mesh)
38. PMMA를 용해시키기 위해 ~ 10분 동안 아세톤(80 ℃)에서 상기 단계 37로부터의 ILED 배열 메쉬를 함침(immerse).
39. 8.5:1.5의 비율로 염기 및 시약을 혼합하여 형성된 PDMS 스탬프를 사용하여 상기 메쉬 제거(lift off).
40. 새도우 마스크를 통해 아일랜드 영역 하부에 전자빔 증착에 의한 5/30 nm의 Ti/SiO2를 선택적으로 적층.
41. ILED 메쉬를 이축으로 예비변형된 PDMS 기판으로 전달(biaxially pre-strained PDMS substrate).
42. 70 ℃ 오븐에서 열처리 및 상기 변형을 박리(release).
방출 스펙트럼의 측정(Measurement of Emission Spectra)
광섬유를 통해 수집된 신호를 전기적 탐침 스테이션(electrical probing station) 내에 바로 마운팅시키는 (directly mounted) 것을 가능하게 하는 분광기(Oceanoptics, HR4000)를 사용하여 방출스펙트럼을 측정하였다.
물결형 ILED의 표면 프로파일 측정(Measurement of Surface Profile of Wavy ILEDs)
도 4A의 연신가능한 ILED의 파장 및 진폭을 표면 프로파일러(Sloan Dektak3)로 측정하였다. 시료 표면에 접촉하고 있는 다이아몬드 침(diamond stylus)이 리본의 길이방향을 따라 주사하고 상이한 위치에서의 물리적 표면 변화를 측정하였다.
굽힘 테스트(Bending Test)
유연 ILED(flexible ILED) 디스플레이의 굽힘 성능을 평가하기 위해, 굽힘 시험을 수행하였다(도 16A, B). 초기 ~ 7.3 mm의 굽힘 반경으로, 디스플레이를 굽혔다가 완화하였다. 성능을 평가하기 위해 디스플레이 내 상이한 32 픽셀의 전기적 성질을 측정하고 평균하였다.
피로 테스트(Fatigue Test)
유연 ILED 디스플레이의 피로 성능을 평가하기 위해, 500 회까지 반복적 굽힘 및 완화 하에 다중 순환 테스트(multiple cycling tests)를 수행하였다(도 16C, D). 굽힘 반경 ~ 8.8 mm에 대해, 상이한 16 픽셀에 대한 전기적 측정을 수행하였다. 500회까지의 반복적 연신 및 완화 하에 연신가능한 ILED의 성능을 평가하기 위해 단축 변형을 적용할 수 있는 기계적 스테이지로 연신 테스트(Stretching tests)를 수행하였다 (도 4). 상기 디스플레이의 상이한 14 픽셀의 전기적 성질을 측정하고 평균하였다. 모든 경우, 상기 테스트는 초당 대략 1회의 속도로 수행하였다.
도 3B의 유연 ILED 디스플레이의 모델링 (Modeling of Flexible ILED Displays of Figure 3B)
도 12의 봉지막(encapsulation), 전극, ILED, 부착층, 플라스틱층은 만곡률(bend curvature)에 따르는 합성보(composite beam)로서 모델링될 수 있다. 각각의 단면에서 중성 역학층 (neutral mechanical plane)과 상층면 간 거리는
Figure 112017012998753-pat00003
으로 주어지고, 여기서 N은 전체 층 수, h i 는 (위로부터) i 번째 층의 두께이고,
Figure 112017012998753-pat00004
는 i번째 층의 영율(Young's modulus) E i 및 푸아송비(Poisson's ratio) n i 와 관계된다. 양자 우물(quantum well)을 포함하는, μ-ILED의 변형(strain)은 y/R로 주어지고, 여기서 R은 굽힘반경이고, y는 중성역학층(neutral mechanical plane)으로부터의 거리이다. 굽힘가능한 디스플레이로 사용되는 탄성 및 막두께는 전극 상부 및 하부의 2개의 봉지막에 대해 각각 1) E encapsulation = 4.4 GPa, n encapsulation = 0.44, 및 h encapsulation1 = 4.0 μm 및 h encapsulation2 = 0.877 μm; (2) E electrode = 78 GPa, n electrode = 0.44, 및 h electrode = 300 nm; (3) E ILED = 77.5 GPa, n ILED = 0.312, 및 h ILED = 2.523 μm; (4) E adhesive = 1 GPa, n adhesive = 0.3, 및 h adhesive = 2.5 μm; 및 (5) E plastic = 4 GPa, n plastic = 0.44 및 h plastic = 50 μm이다. 이들은 상부 표면 아래에 중성 역학층 19.76 μm를 제공한다. 상기 ILED로부터 중성 역학층까지 최대 거리는 14.58 μm이고, 이는 굽힘 반경 R = 7 mm에 대한 ILED의 최대 변형인 0.21%를 제공한다. 상기 ILED의 상부 표면 아래에서 양자우물은 1.011 μm이고(도 5), 따라서, 상기 중성 역학층까지는 13.57 μm이다. 이는 굽힘 반경 R = 7 mm에 대해 최대 변형 0.19%를 제공한다.
도 4A의 연신가능한 ILED의 모델링 및 시뮬레이션: 물결형 설계 (Modeling and Simulation of Stretchable ILEDs of Figure 4A: the Wavy Design)
도 18A에 나타난 바와 같이, 연신가능한 ILED는 봉지막, 전극, 및 μ-ILED로 구성되고, 유효 인장강성(effective tensile stiffness)
Figure 112017012998753-pat00005
및 굽힘강성(bending stiffness)
Figure 112017012998753-pat00006
을 갖는 합성보(composite beam)로서 모델링될 수 있고, 여기서, 합(summation)은 봉지막, 전극 및 ILED의 3개 층에 대한 것이고, h i 는 (위로부터) i 번째 층의 두께이고,
Figure 112017012998753-pat00007
는 i 번째 층의 영율(Young's modulus) E i 및 푸아송비(Poisson's ratio) n i 와 관계된다. 각 단면의 중성역학층 및 상부 표면 간 거리는
Figure 112017012998753-pat00008
로 주어진다.
상기 소자는 인쇄 및 결합(bonding)을 예비변형된(pre-strained) PDMS 기판에 전달함으로써 형성된다. 예비변형의 완화는 진폭 A 및 파장 λ의 웨이브를 갖는 소자를 고안한다. 물결형 소자의 굽힘 에너지 및 멤브레인 에너지는
Figure 112017012998753-pat00009
Figure 112017012998753-pat00010
이고, 여기서, L은 소자의 길이이고, ε pre (<0)는 PDMS의 예비변형의 완화에 따른 소자 상의 압축변형(compressive strain)이다.
상부 표면 상의 사인파 모양의 변위 프로파일로 인한 PDMS 내 변형 에너지(strain energy)는
Figure 112017012998753-pat00011
이고, 여기서
Figure 112017012998753-pat00012
는 상기 PDMS 기판의 영률 E s 및 푸아송비 n s 와 관련된다. 전체 에너지 U total = U bending + U membrane + U substrate 의 최소화는 하기와 같은 파장 및 진폭을 분석적으로 제공하고,
Figure 112017012998753-pat00013
, (1)
*
Figure 112017012998753-pat00014
, (2)
여기서
Figure 112017012998753-pat00015
는 임계좌굴변형(critical strain for buckling)이다.
양자 우물을 포함하는 상기 ILED 내 변형은
Figure 112017012998753-pat00016
에 의해 주어지고, 여기서 y는 중성 역학층으로부터의 거리이다. 상기 소자의 탄성 및 막 두께는 (1) E encapsulation = 4.4 GPa, n encapsulation = 0.44, and h encapsulation1 = 1 μm; (2) E electrode = 78 GPa, n electrode = 0.44, 및 h electrode = 10 nm; 및 (3) E ILED = 77.5 GPa, n ILED = 0.312, 및 h ILED = 2.523 μm이다. 이들은 상기 상부 표면 아래 중성 역학층 2.22 μm를 제공한다. 상기 ILED로부터의 최대 거리는 상기 중성 역학층으로부터 1.31 μm이고, 이는 실험적으로 측정된 파장 275 μm 및 진폭 5.15 μm에 대해 ILED 내 최대변형 0.36%를 제공한다. 상기 양자 우물은 ILED 의 상부 표면 아래 1.011 μm이고(도 5), 따라서 중성역학층까지 0.2 μm이며, 이는 양자 우물에 있어서 매우 작은 변형 0.053%을 제공한다.
유한 요소법(finite element method)은 또한 1 mm 두께의 PDMS 기판 상에 1.0 μm-두께의 SU8 봉지막, 10 nm-두께의 Au 박막 및 2.523 μm-두께의 ILED 내 변형을 결정하는데 사용되었다. 유한 요소 분석 소프트웨어 ABAQUS (2007)에서의 8-노드 육면체 브릭 요소(Eight-node hexahedral brick elements, C3D8) 및 4-노드 다층 쉘 요소(four-node multi-layer shell elements, S4R)는 각각 기판 및 박막으로 사용된다. 다층 쉘은 상기 노드들을 공유함으로써 기판에 결합된다. 박막의 각 층은 선형 탄성적이고, PDMS 기판은 초탄성 재료(hyper-elastic material)로서 모형화된다. 상기 시스템의 고유치(eigenvalues) 및 고유모드(eigenmodes)가 먼저 얻어진다. 상기 고유모드는 이후 상기 시스템의 좌굴(buckling)을 일으키기 위해 초기 작은 기하학적 결함(small geometrical imperfections)으로서 사용된다. 상기 결함은 해결책이 정확함을 보장할 수 있을 정도로 항상 작다. 도 4A 및 도 23에 나타난 바와 같이, 숫자상의 결과는 분석 모델과 매우 잘 맞는 변형을 제공한다.
도 4, B 및 C의 연신가능한 ILED의 시뮬레이션: 아일랜드-브리지 디자인 (Simulation of Stretchable ILED of Figures 4, B and C: the Island-Bridge Design)
유한요소법은 또한 도 20에 나타낸 연신가능한 ILED의 아일랜드-브리지의 변형을 결정하기 위해 사용된다. 유한 요소 분석 소프트웨어 ABAQUS (2007)에서의 8-노드 육면체 브릭 요소(C3D8)는 기판에 사용되며, 이는 초탄성 재료로서 모형화된다. 4-노드 다층 쉘 요소(S4R)는 아일랜드 및 브리지로 사용되며, 선형 탄성적이다. 상기 아일랜드는 노드들을 공유함으로써 기판에 결합되나, 브리지는 그렇지 않다. 도 24는 브리지 길이가 310 μm에서 250 μm로 감소될수록 상기 ILED의 상부, 중부 및 하부에 변형 분포를 나타낸다. 최대 변형은 0.17%이고, 양자 우물에서의 최대변형은 단지 0.026%이다.
방출 파장의 변형 민감도에 대한 유연 / 연신 가능한 ILED 시스템의 분석
상기 ILED 시스템의 양자 우물에서의 계산된 최대 단축 변형은 연신가능한 ILED 디스플레이에서 0.19% 신장되고, 연신가능한 ILED에서 0.053% 신장되고, 연신가능한 ILED 디스플레이에서 0.026% 압축된다. 반도체 밴드 구조 상에 영향을 미치는 변형을 위한 kp 섭동 이론(kp perturbation theory, (S1, 2))에 근거하여, 굽힘 또는 연신과 관련된 ILED의 방출 파장의 이동이 평가될 수 있다.
조사된 굽힘 및 연신 변형은 여기서 x 방향으로 정의되는 평면 내 단축 응력(in-plane uniaxial stress)에 해당하고, 푸아송 효과에 의한 자유 수축(free contraction)에 의해 y 및 z 방향의 응력은 제로이다(ρ y y = ρ zz = 0). 따라서, 이들 방향의 응력은 ε yy = ε zz = - υε xx 으로 주어지고,
Figure 112017012998753-pat00017
인 경우, n는 푸아송비(Poisson's ratio), C 11 and C 12는 탄성상수(elastic stiffness constant)이다. 여기서 조사된 작은 응력 범위의 경우, 중공(heavy hole (HH)) 및 경공(light hole (LH))에 대한 밴드 갭 이동 유발된 변형은 δE gLH = δ EH + δ ES , δE gHH = δ EH - δ E S, 여기서
Figure 112017012998753-pat00018
이고, δ EH δ ES 는 각각 수압 이동(hydrostatic pressure shift) 및 단축 응력-유도된 외각-밴드 분리 (S1- 3)이고, a b 는 상응하는 변형 포텐셜이다.
ILED 구조에서의 양자 우물(In0 . 56Ga0 .44P)의 경우, 본 계산에 사용되는 변수는 a = -7.42 eV, b = 1.91 eV, C 11= 11.936 × 1011 dyne/cm2, 및 C 12 = 5.975 × 1011 dyne/cm2 (S4)이다. HH는 양자 우물(S4)에 대해 바닥상태라고 가정하면, 여기서 연구된 ILED에서의 최대 단축 기계 응력 유도된 밴드갭 이동은 ~7.1 meV (or ~2.4 nm) 로 계산된다. 이러한 작은 이동은 대부분의 경우 무시될 수 있다.
실시예 2: 인쇄 및 몰딩에 의한 마이크로 소자 구성요소의 전기적으로 연결된 어셈블리(Electrically Interconnected Assemblies of Microscale Device Components by Printing and Molding)
본 실시예는 결정적 어셈블리(deterministic assembly) 및 마이크로/나노 크기 소자의 전기적 상호연결을 유용한 특성을 갖는 기능적 소자로의 접근을 제공한다. 전송 인쇄 기술(transfer printing techniques)은 수용 고분자(receiving polymer)에서의 양각형상(relief features)를 정의하는 소프트 리소그래픽 몰딩 단계에 우선하여 또는 동시에 일어나는 어셈블리 공정에 대한 결정적 제어를 제공한다. 이러한 모양을 액체 또는 페이스트 형상으로 가공가능한 전도성 재료로 충진하는 것은 상기 소자에 정렬된 집적된 배선 및 접촉을 가져온다. 광전변환소자(photovoltaics) 및 고체상 광 지시기(solid state lighting indicators)의 대표적인 시스템에 대한 기본적인 양상 및 적용의 연구는 상기 공정 및 이의 실질적인 용도에 대한 통찰을 제공한다.
전자공학/광전자공학, 고체상 발광 및 광전변환공학에 대한 독특한 마이크로시스템은 종래의 시도를 이용해서는 가능하지 않은 시스템 레벨 성과를 달성하기 위한 마이크로/나노 크기의 구성요소 또는 재료적 요소의 조합으로 형성될 수 있다. 실시예들은 2차 또는 3차원의 레이아웃으로 비균질의 재료들을 이용하는, 유연/연신 가능한 디자인, 곡선형의 레이아웃(curvilinear layouts) 및 시스템을 포함한다. 어셈블리 공정은 전송 인쇄에 근거한 결정적 방법 또는 유체 전달(fluidic deliver) 및 표면/형상 인식에 근거한 유도된 접근에 의해 일어날 수 있다. 모든 경우에, 집적 시스템을 형성하기 위해 조립된 소자를 전기적으로 연결하는 것은, 실제로 흥미를 끌 만한 제작의 양태, 특히 최소 저항을 갖는 긴 상호 연결 배선 트레이스(wiring traces)가 선호되는 고체상 발광 및 광전변환소자 내의 시스템을 나타낸다. 가장 직접적이고 광범위하게 탐구되는 접근은 진공증착(가능한 한 전기 도금이 뒤따르는)에 의해 형성되는 단일 금속층을 패턴시키는 포토리소그래피와 같은 종래의 기술에 의존한다. 그러나, 원가구조(cost structures)는 관심있는 많은 시스템에서 이들의 사용이 배제된다. 종래의 소프트 리소그래피법이 사용될 수 있으나, 조립된 소자 구성요소와 관련된 표면 지형(surface topography)에 대한 이들의 적용은 어려울 수 있다. 페이스트 또는 액상 현탁액 전도성 입자의 스크린 인쇄 또는 잉크젯 인쇄는 대안을 제시하나, 이들의 적당한 해상도는 그 유용성에 한계가 있다. 전기수력학적 제트 인쇄(electrohydrodynamic jet printing) 또는 직접 쓰기(direct writing)에 의존하는 더 새로운 기술이 이러한 문제를 해소할 수 있다. 이러한 일련의 방법으로 적절한 처리량을 달성하고 실제 적용을 위한 적절한 형태로 개발하는 것이 이러한 실시예들의 주제이다. 본 명세서에 기재된 연구는 위에서 설명한 부류의 시스템을 설명하기 위해 구체적으로 고안된 간단한 개요이고, 이는 어셈블리용 전송 인쇄의 양태를 소프트 임프린트 리소그래피(soft imprint lithography), 및 접촉 및 상호 연결용 스크린 인쇄의 어떤 양태와 결합한다. 이하에서, 상기 방법의 기본적인 특징을 기술하고, 관심 대상인 단결정 실리콘 광전변환소자 및 AlInGaP 광 지시기의 대표적 시스템에 대한 이의 적용을 설명한다.
도 24는 상기 공정의 개념도를 제공하며, 여기에는 소자 배치(device placement)를 위한 전송 인쇄 및 전기적 배선(interconnect)을 위한 몰딩이 동시에 나타난다.
첫 번째 단계는 다른 설명 예의 문맥에서 연속적으로 논의되는 수단을 이용하여 소스 기판 상에 소자(즉, 직사각형의 전극을 구비한 사각형 블록, 도 24a)의 제작을 포함한다. 다음으로, 전극부 및 배선용 트렌치에 접촉을 정하는 릴리프(relief)를 갖는 탄성 중합체(elastomeric) 스탬프/몰드 상으로 이들 소자들을 전송 인쇄 기술에 의해 올린다. 본 실시예(도 24b)에서, 상기 소자는 가장 높은 도형(feature)과 접촉하는 전극과 함께 남고, 나머지는 배선들과 연결되는 것과 같은 상이한 두 레벨로 상기 릴리프는 구성된다. 이어서 소자들과 '잉크화된(inked)' 상기 스탬프/몰드는 타겟 기판 상에 주조된 액상 전구폴리머의 박막과 접촉한다. 이 액체를 흐르게 하여 상기 릴리프에 들어 맞도록 하고, 광화학적으로 또는 열적으로 이를 고상으로 경화시킨 후, 상기 스탬프/몰드를 제거하여 도 24c에 도시된 구조를 제작한다. 배선의 기하학적 배열을 정하는 몰딩된 도형과 함께, 상기 폴리머는 점착제(adhesive) 및 상기 소자의 봉지재(encapsulant)로서 역할을 한다. 스크린 인쇄에 대한 개념과 유사한 개념의 방법을 이용하여 상부 기판에 전도성 페이스트를 스크래핑(scraping)하는 것은 이들 배선들을 형성하는 몰딩된 폴리머에서 후퇴부(recessed region)를 채운다(도 24d). 하기 기재된 실험을 위해, 광경화 가능한 폴리우레탄(PU; NOA61, Norland Products Inc.) 및 실버 에폭시(H20E Epo-Tek® Ted Pella Inc.)는 각각 몰드 재료 및 전도성 페이스트로서의 역할을 한다. 어떤 경우에 있어서, 전도성 페이스트를 적용시키기 바로 전에 얇은 PU 잔부를 제거시키기 위해 짧은 반응성 이온 식각 단계(50 mtorr, O2 20 sccm, 100W, 3-5 분; Plasmatherm)를 필요로 하는, 상기 소자의 접촉부 상에 상기 PU 잔부가 잔존함을 발견하였다. 상기 실버 에폭시는 낮은 전기저항성을 갖도록 5분 동안 150 ℃에서 열경화시켰다. 소프트 리소그래피의 상기 주조 및 경화 절차를 탄성중합체(elastomer) 폴리(디메틸실록산) (PDMS; Sylgard, Dow Corning)의 스탬프/몰드를 형성하기 위해 사용하였다.
실험의 첫 번째 세트에서, 구조물을 테스트하기 위해 배선 및 접촉에 적용될 때 이 방법의 기본적 특징을 조사하였다. 몰딩 단계는 소프트 임프린트 리소그래피를 위한 확립된 방법에 의존한다. 전도성 페이스트로 채워지는 트렌치로서 몰딩된 도형을 사용하고, 인쇄와 동시에 상기 몰딩을 완수하는 개념은 모두 본 명세서에서 보고되는 공정의 특이한 실시형태이다. 충진 절차(filling procedures)는 상기 몰딩된 도형으로부터 짧은 거리(예, 3-5 mm)에 위치해 있는, 상기 기판의 일측 말단을 따라 일련의 실버 에폭시를 최초 분배하는 것을 포함한다. 상기 기판 위에 이 에폭시를 스크래핑하기 위한 수단으로서, 상기 몰딩된 기판의 너비보다 다소 넓고, 대략 3 cm 길이 및 1 cm 두께를 갖는 두꺼운 PDMS를 사용하였다. 이 구성요소는 스크린 인쇄에 사용되는 스퀴지(squeegees)와 유사한, ~45° 기울어진 에지(edge)를 갖는다. 수회에 걸쳐 ~30°의 각도로 상기 기판을 가로지르는 상기 에지의 스크래핑은 상기 트렌치를 에폭시로 충진시키고, 상기 기판 상부에 단지 소량의 잔부만을 남게하였다. 아세톤에 담근 다른 PDMS 구성요소로 추가적인 수회의 스크래핑으로 이들 잔부들을 제거하였다.
도 25a는 폴리에틸렌테레프탈레이드(PET) 기판 상의 PU 몰딩 층(20 μm 깊이) 상에 위와 같은 방식으로 형성된 도형 처리 패턴을 나타낸다. 이러한 결과들은 가능한 한 일률적으로 우수한 레벨 및 용이하게 형성될 수 있는 도형 크기의 범위(직선, 곡선 및 지그재그 형상(geometry) 내, 및 조직(text) 내에서 선폭 20-200 μm, 길이 0.2-2.0 mm) 및 모양을 나타낸다. 해상도(resolution)의 한계는 몰딩 단계의 충실함보다는 실버 에폭시 입자의 크기(10-15 μm)에 의해 결정된다.
도 25b는 1 및 0.1의 종횡비(폭에 대한 깊이)로 형성되는 선들의 단면도를 나타낸다. 이 범위의 상한 및 하한에서의 한계는, 각각 깊고 폭이 좁은 도형 내부로 에폭시를 밀어 넣기 위한 무능에 의해, 그리고 얕고, 넓은 도형의 중앙부로부터 완전히 떨어져 있도록 스크랩하는 경향에 의해 정의된다. 에폭시의 점도 및 입자의 크기를 감소시키는 것은 전자의 양태를 향상시킬 수 있고; 에폭시를 그루브(grooves) 내부로 스크래핑시키기 위해 사용되는 재료(이 경우 PDMS)의 강성(stiffness)을 증가시키는 것은 후자의 양태를 향상시킬 수 있다. 허용가능한 범위 내의 치수(dimension)과는 무관하게, 판매자로부터의 상품설명서에 맞게 150°의 경화온도 및 5분의 경화시간에 대해, 벌크 실버(1.6 × 10-6 Ω·cm)보다 약 2 오더(order) 크기의 전기비저항 (3.0-6.0 × 10-4 Ω·cm) 값을 발견하였다. 소자 접촉 및 전기교차(electrical crossover)가 도 24의 방식으로 형성될 수 있다. 도 25c는 PET 기판 상에 사각형 배열(1.5 mm pitch)로 형성되는 금속 패드(Cr/Au, 100/1000 nm; 500 × 500 ㎛) 와 같은 예는 도 24에서의 소자들의 등가물을 제공함을 나타낸다. 실버 에폭시 충진이 후속으로 이어지는 도 24의 디자인과 유사한 디자인을 갖는 스탬프/몰드를 이용하는 PU에서의 트렌치 정의는 도 25(c)의 이미지에 나타난 바와 같은 구조를 생산하였다. 하부 좌측 및 우측 프레임은 각각 개략도 및 위에서 본 광학현미경 사진을 제공한다. 여기서 선들은 100 μm 너비 및 20 μm 깊이를 갖는다. 전극 패드에 접촉은 40 μm의 깊이 및 100 × 300 μm의 측면치수(lateral dimensions)를 갖는 몰딩된 도형에 의해 정의된다. 서로 상이한 행(r1, r2, etc) 및 열(c1, c2, etc)의 전극들의 조합에 대한 접촉 패드의 탐사에 의해 수집된 전류/전압 데이타(도 25(d))는 주어진 열 및 행에 따른 전기 연속성(electrical continuity) 및 다른 모든 선들의 쌍 간의 전기적 고립(electrical isolation, 예를 들어, >GΩ)을 증명한다.
실제 소자에 있어서 이 개념을 입증하기 위해, 초박(2.5 ㎛ 두께) 레이아웃으로 형성되는 AlInGaP 발광 다이오드(LEDs; 250x250 ㎛)를 사용하였다. 여기서, 이들 소자들을 GaAs 웨이퍼로부터 유리기판으로 이동시키는 인쇄 단계를 먼저 진행하고, 접촉 및 배선을 정하기 위한 몰딩을 하였다. 도 26(a)는 각각에 독립적인 쌍의 전기적 리드를 갖는 6개의 LED 세트를 나타낸다. 상부의 작은 도면은 위에서 촬영한 광학현미경 사진이다. 일루미네이션(illumination)을 위해, 중앙의 3개의 소자는 발광을 유도하기 위해 전력공급장치에 연결된다. 도 26b의 전류/전압 특성은 종래의 포토리소그래피 및 리프트오프 방법으로 배선된 소자들에서 관찰된 특징들과 유사하다.
마이크로 크기의 단결정 실리콘 태양전지들은 다른 소자 예를 제공한다. 여기, 그러한 전지 5개의 모음은 도 24에 나타난 바와 같은 방법을 사용하여 미니-모듈용 배선 배열로 형성되었고, 여기서 인쇄 및 몰딩을 동시에 수행하였다. 도 27a 참조. 전지를 제작하기 위한 공정 단계들은 다른 곳에 나타난다. 각 전지는 금속 옴 접촉(ohmic contacts)(Cr/Au, 100/1000 nm; p 접촉을 위한 50 ㎛ 폭 및 100 ㎛ 길이; n 접촉을 위한 50 ㎛ 폭 및 1.4 mm 길이)을 갖는 단결정 실리콘 막대(각각 폭, 길이 및 두께가 50 ㎛, 1.55 mm 및 20 ㎛)로 구성된다. 도 27(b)는 구조물 일부의 단면을 제공하는 삽입도면을 갖는 샘플을 나타낸다. 이러한 시스템에서, 일루미네이션은 투명 기판의 후면 기판을 통해 일어나고; 배선 및 금속층은 반사판(reflectors) 역할을 한다. 도 27 (c)는 Labview5®에 의해 작동되는 DC 소스 미터기(DC source meter) (Model 2400, Keithle사) 및, AM 0 및 AM 1.5 직접 필터(direct filter)가 장착된 1000 W 풀 스펙트럼 태양광 조명장치(full spectrum solar simulator) (Model 91192, 4×4 제곱인치 직경, ±4°collimation, Oriel사)를 이용하여 상온에서 수행되는 전류/전압 특성을 제공한다. 모듈에서의 모든 5개의 상호 연결된 전극에 상응하는, 상기 태양전지의 효능(Eff) 및 충진 인자(fill factor, FF)는 표준 방법을 사용하고 상기 전지의 기학학적 크기만을 고려하여(측면으로부터의 플럭스(flux)를 명확하게 분리하지 않음) 얻어진, 각각 6.5 % 및 0.61이었다. 이러한 성질은 종래 방법을 이용하여 배선된 유사한 배열의 소자와 동일한 범위이다.
요약하면, 여기서 보고되는 방법은 마이크로/나노 크기의 소자 또는 재료 요소의 어셈블리를 통합시키는 전기적 배선 시스템에 대한 매력적인 해결책을 제공할 수 있다. 광전변환소자(photovoltaics) 에 대한 원형(prototype) 소자 및 광 지시기(lighting indicators)에 있어서 이들의 사용이 핵심적인 양상임에도 불구하고, 나노선, 나노멤브레인, 나노튜브 등과 같은 마이크로/나노 크기의 재료 요소들을 사용하는 관련 시스템에서의 전극 및/또는 배선을 설비하기 위해 동일한 방법이 사용될 수 있다. 인쇄 및 몰딩은 연속적으로 또는 동시에, 필요에 따라, 일어날 수 있다. 이러한 공정으로부터 유래하는 상기 소자들의 최종적이고, 새겨진 형태(configurations)는 봉지를 위한 실질적인 유용성을 갖는다. 해상도에 있어서 극한은 소프트 임프린트 몰딩 방법(예, PDMS 몰드에 대해 ~1-2 nm) 및 전도성 페이스트(예를 들어, Au 또는 Ag 나노입자들에 대해 5-100 nm)에 의해 정해진다. 실제 적용에 있어서 이들의 사용은 상기 소자 구성요소 또는 재료 요소에 대한 스탬프/몰드 요소들의 달성가능한 등재(achievable registration)에 의해 제한된다. 수백 또는 그 이상의 제곱 센티미터 면적에 걸친 1 또는 2의 마이크론 레벨에 대한 변형을 감소시키기 위해, 플라스틱 또는 유리 유연성 시트는 박막 PDMS용 지지 구조물을 형성할 수 있다. 본 명세서에서 개시된 방법의 특징, 대면적에 대한 저비용으로 구동하기 위한 이들의 단순성 및 가능성, 및 적용될 수 있는 다양한 재료 및 소자들은 광범위한 이용 가능성을 제안한다.
실시예 3: 인쇄된 GaAs LED
GaAs LED 소자 제작은 에피택셜 성장 LED 웨이퍼에서 출발한다. GaAs 웨이퍼의 에피택셜 층을 도 28에 나타냈다. 실험에 사용된 특정 GaAs 웨이퍼의 스택(stack) 구조를 하기 표 1에 나열하였다.
도 28 및 표 1의 층 5로 나타낸 다중 양자 우물(MQW)로부터, 차단(barrier), 클래딩(cladding), 스프레딩(spreading), 및 접촉(contact) 층들을 대칭적으로 수직-타입 LED (VLED)를 형성시키기 위해 분포시켰다. GaAs LED 에피택셜 구조물은, 그러나, 이러한 특정 구조물로 한정되지 않는다. 상이한 목적 및 적용을 위한 많은 다양한 구조 디자인이 존재하고, 전송 인쇄 기술은 이러한 에피택셜 구조 디자인에 상관없이 양립가능해야 한다.
μ-GaAs LED 제조 요약을 도 29에 나타내었다. 제작 공정은 도 28에 나타낸 바와 같은 삽입된 희생층(embedded sacrificial layer)을 갖는 상업적으로 입수가능한 GaAs LED 에피택셜 웨이퍼로부터 출발한다. 먼저, SiO2 층이 상기 GaAs LED 웨이퍼 상에 적층되고, 포토리소그래피로 패턴된 SiO2 층은 드라이 식각(Cl2로 ICP RIE)으로 수행되는 분리 단계를 위한 식각 마스크로서 역할을 한다. GaAs는 습식 식각재료(예를 들면, HCl)를 이용하여 분리될 수 있고, 이 경우 식각 마스크로서 포토-레지스트(PR)가 충분히 가능하다. 핵심은 어느 분리 경로가 고려되는지에 따라 SiO2 는 다른 식각마스크가 될 수 있다. 일단 GaAs LED 전지가 호스트 웨이퍼 상에서 분리되면, 도 29에 설명된 바와 같이, 비동종 앵커가 포토리소그래피로 정의된다. GaAs LED의 광학현미경(OM) 이미지와 함께 주사 전자 현미경(SEM) 이미지들이 도 30에 도시된다: 분리 후(A), 앵커된 상태(B), 및 인쇄된 상태(C).
층 이름 물질 두께
(nm)
Type 도판트
(dopant)
농도
(cm-3)
1 접촉(contact) GaAs 5 P C 1.00E+19
2 스프레더
(spreader)
Al0 . 45Ga0 . 55As 800 P C 1.00E+18
3 클래드
(clad)
In0 . 5Al0 .5P 200 P Zn 3E17 내지
6E17
4 배리어
(barrier)
Al0 . 25Ga0 . 25In0 .5P 6 - - <1E16
5 우물
(well)
Al0 . 25Ga0 . 25In0 .5P 6 - - <1E16
6 배리어
(barrier)
Al0 . 25Ga0 . 25In0 .5P 6 - - <1E16
7 클래드
(clad)
In0 . 5Al0 .5P 200 N SI 1.00E+18
8 스프레더
(spreader)
Al0 . 45Ga0 . 55As 800 N SI 1.00E+18
9 접촉
(contact)
GaAs 500 N SI 4.00E+18
10 Al0 . 96Ga0 . 04As 1500 N SI 1.00E+17
11 GaAs 1500 N SI 1.00E+17
12 Al0 . 96Ga0 . 04As 500 N SI 1.00E+17
13 기판
(substrate)
GaAs N SI >1E18
실시예 4: 실리콘으로 인쇄된 GaN LED
GaN 소자 제작은 도 32에 나타낸 바와 같은 적절한 에피택셜 층 스택으로 호스트 웨이퍼에서 출발한다. 상기 특정 GaN LED 구조물은 Si(111) 웨이퍼에서 에피택셜 성장된다. 그러나, 유사한 제작 공정을 사파이어 또는 다른 호스트 기판에서 성장한 GaN LED 구조물에 적용할 수 있다.
도 33에 따라, a) p-GaN 및 양자 우물 영역의 ICP-RIE에 의해 n-GaN으로의 접근이 이루어진다. 혼합된 금속 오믹 접촉, n-접촉 후 p-접촉이 연속적으로 적층되고 표준 고온 신속 열처리 공정으로 열처리된다. Si3N4 패터닝 및 두꺼운 금속 식각 마스크 및 분리를 위한 ICP-RIE 식각을 통해 소자 분리가 이루어진다. 이 시스템은 Si(111) 기판 하부의 이방성(anisotropic) 식각 특성을 이용하는 것과 관련되므로, 상기 Si 기판으로 충분한 식각 깊이가 얻어져야 한다. 도 34에 나타난 바와 같은 앵커 막대(anchor bars)를 통해 호스트 웨이퍼에 구속된 서스펜디드 소자(suspended devices)로 되는 Si(111)의 이방성 식각재로서 수산화칼륨(KOH) 또는 테트라메틸암모늄하이드록사이다(TMAH)가 사용된다. 그러나, KOH 또는 TMAH에 제한되지 않는다. 실제로, 자유 설치형(free-standing) μ-LED 칩을 만들어내기 위해 SF6, CF6, 및 XeF2 와 같은 기체들을 사용하는 드라이 식각 실리콘이 실리콘 하부를 등방향적으로 식각할 수 있다. KOH 기반 식각 시스템의 경우, 강한 염기성의 KOH 용액의 가혹한 조건으로부터 오믹 접촉에 대한 보호 베리어로서 역할을 하기 위해 식각 마스크 전에 Si3N4가 사용된다. 개별 소자들은 소프트 탄성중합체 스탬프, 즉 PDMS와 접촉 및 상기 스탬프를 수직방향으로 신속하게 당김을 통해 호스트 기판으로부터 제거된다. 이 소자는 얇은 폴리머 접착층(예를 들면, PDMS, SU-8, 폴리이미드(polyimide), BCB, 졸-겔 실리카 등)으로 코팅되거나, 이러한 층이 없는 두 번째 기판으로 전송(transfer)된다.
스텝앤드리피트 공정(step-and-repeat process)을 이용하여, 소자들이 매우 밀집된 배열로부터 제거될 수 있고, 바람직한 간격의 성긴 배열로 인쇄될 수 있다. 도 35에는 상기 스텝앤드리피트 공정 중 도너 기판의 광학 이미지를 나타내었다. 외부 기판 상으로 전이된 회수 LED 셀(retrieved LED cells)이 도시되어 있다. 상기 스텝-반복 공정 개념은 GaN LED에 제한되는 것이 아니며, 도 36에 나타낸 GaAs LED를 포함하는 광범위의 다양한 재료에 적용될 수 있다. 전송은 패턴 또는 비패턴된 스탬프로 달성될 수 있다. 상기 전송은 특정 릴리프 구조물, 임베디드 액츄에이터(embedded actuator, 풍선, 국부 가열기 등)의 사용에 의해, 또는 외부에서 적용되는 힘 또는 방사선(레이저 노출 등)에 의해 용이하게 될 수 있다. 어떤 경우, 예를 들면, 전송을 달성하기 위해, 동시에 열 스프레딩 구조물과 전기적 상호 연결 및 결합을 달성하기 위해 미리 금속화된 기판 상에 직접적으로 LED를 프린트하는 것이 가능하다. 인쇄에 있어서 이러한 변수들에 더하여, 도 33의 공정 순서는 상이한 순서 - 예를 들면, 인쇄 후에 외부 기판상에 오믹 접촉이 정의되는 것과 같은 - 로 수행될 수 있다.
도 37(b)에 나타낸 바와 같이, 언더컷(undercut) 및 인쇄된 소자의 전류-전압(I-V) 특성을 Agilent 4155C 반도체 파라미터 분석기(Agilent 4155C Semiconductor Parameter Analyzer)를 이용하여 측정하였다. 오션 옵틱스(Ocean Optics) 의 분광기를 이용하여 발광 스펙트럼을 수집하고, 도 37(c)에 따르면 피크 방출 파장은 472.3 nm이다. 도 37(b)에 나타난 바와 같이, 개별 μ-GaN LED는 약 470 nm에서 방출 파장을 갖는 50mA의 순방향 전류에서 ~4.2V순 바이어스 전압을 나타낸다.
실험결과는 KOH에 대한 확장 노출(~ 20분)에 따라 GaN 스택의 약한 식각을 나타냈다. 도 38은 여전히 훼손되지 않은 Ni/Si3N4 식각 마스크를 갖는 ICP-RIE 딥식각(deep etching)이 즉시 수행 후의 100 ㎛ × 100 ㎛ 소자의 두 SEM 이미지를 나타낸다. 약간의 측면 흠집(sidewall striations)은 ICP-RIE의 이방성 식각으로 인해 인지될 수 있다. 소자의 KOH 언더컷 후, 소자 측면의 약간의 거칠기(moderate roughening)가 관찰된다.
GaN 식각은 매우 느리고, LED 제작의 측면에서 무시할 수 있을 정도로 간주되고, 예를 들면, 측면 거칠기는 소자 성능에 영향을 주지 않는다. 만약, 초기 측면이 바람직하다면, 추가 보호막 처리 단계를 제조 공정에 포함시킬 수 있다(도 39). ICP-RIE 딥식각 후, a) Ni 식각 마스크를 제거하고, PECVE에 의한 Si3N4 적층을 수행한다. b) 적절한 시간의 RIE 식각 이후 Si3N4 을 트렌치 "플로어(floors)"로부터 제거하고, c) SF6 RIE 처리로 Si 기판 하부를 식각한다. 소자는 e) 이후 KOH에서 언더컷될 수 있고, f) 두 번째 기판으로 전송 인쇄된 후, 잔존 Si3N4의 산화물 식각재를 완충제로 처리된다.
사파이어로부터 인쇄된 GaN LED(Printed GaN LEDs from Sapphire)
GaN 및 Si(111)의 격자 상수의 상대적으로 큰 불일치로 인해, 사파이어는 항상 GaN 성장을 위한 우월한 기판이 되어왔다. 그러나, 사파이어는 재료의 식각을 매우 어렵게 하는 매우 불활성이다. 분쇄(grinding), 연마(polishing) 및 그 외 다른 공정 시도가 적절할 수 있다. 사파이어 기판으로부터 인쇄된 소자에 대한 대안적 경로는 레이저 리프트-오프(lift-off) 방법을 사용하며, 이는 도 40에 도시된다. 대안적으로, 희생층의 선택적 식각에 의해 핸들 웨이퍼(handle wafer)로부터 GaN 스택을 방출하는 것도 도 41 및 42에 도시된다.
웨이퍼- LED층 결합의 레이져 리프트 오프
도 40은 사파이어 기판 상에 성장된 GaN LED들을 Si(111) 기판으로 웨이퍼-본딩된(wafer-bonded)하고, 레이저 리프트 오프를 통해 사파이어 기판으로부터 분리하는 진행단계를 나타낸다. 상기 제1단계는 b) n-접촉 증착(n-contact deposition) 및 어닐링; c) 웨이퍼 본딩 및 레이져 리프트 오프;를 포함한다. 상기 GaN 및 사파이어 사이의 노출된 계면은 쉽게 박리(delaminated)되고, c), d)에서 나타내어질 수 있듯이 사파이어로부터 수용 웨이퍼(receiver wafer)로 운반된다. 자립(freestanding) GaN층들은 KOH를 이용한 실리콘 언더컷 식각(silicon undercut etching)(이전의 섹션에서 기재한 바 있는 공정과 유사), GaN 버퍼층의 제거(본 명세서에 나타낸 바 있는 폴리싱을 통해, 또는 식각 또는 이와 연관된 공정에 의한) 및 e) n-접촉 전극 패터닝 에 의해 LED 소자로 제조된다. 삽입된 도면은 금이 코팅된 Si(111)웨이퍼로 결합된 GaN 에피물질(epimaterial) 섹션의 실험결과를 나타낸다. 여기의 GaN 구조는 2 인치 사파이어 상에 55 mm2 정사각형으로 구성되었다. 리셉터 웨이퍼(Receptor wafer)는 <111> 방향인 3인치 직경이다. 여기에 명확하게 나타내어지지 않은 트렌치 식각(trench ething) 및 다른 접근들(approaches)은 전체 공정 흐름에 있어서 높은 수율을 달성하기 위해 때때로 필요하다.
언더컷 식각을 위한 희생층 : PEC 식각
상기한 바와 같이, GaN 적층체 내로 삽입된 희생층은 웨이퍼 결합의 대안으로 사용될 수 있다. 용액(예를 들어, HF, HCl alc H3PO4) 내에서 선택적으로 식각되는
다양한 희생층들(InGaN, SiO2, AlAs, Si3N4, ZnO 등)이 사용될 수 있다. 식각방법은 다양하다. 방향성 습식 식각(directional wet etching), PEC (photoelectrochemical) 식각 및 EC (electrochemical) 식각은 자립 GaN LED층을 프린팅에 적합한 형태로 형성하기위해 활용될 수 있다. 도 41은 하나의 예이다.
도 41은 InGaN 희생층 및 PEC 식각법에 의해 상기 층을 선택적으로 식각하는 것을 나타낸다. 이때, InGaN층과 같은 희생층은 GaN 적층제 및 GaN 버퍼층의 사이로 삽입된다. 선택적 식각액(selective etchant, HCl, KOH 등)은 PEC 식각(예를 들어, GaN 식각속도 ~0)에 활용될 수 있다. 건식 식각된 후 a), 사파이어 상의 전체 소자기판은 PEC 식각에 의해 식각된다. 필드영역의 금속은 PEC 식각 중, 음극으로 쓰이고 b), 1000 W 제논(xe) 램프 하에 HCl(0.004M)로 희석된다. 상기 램프는 캐리어들을 광-생성하고, 또한 PEC 식각에 관여하며, 이와 동시에 의도적으로 도핑된 GaN 필름은 GaN 밴드갭 보다 높은 에너지인 광을 걸러내고, 이에 따라 더욱 낮은 밴드갭인 InGaN 희생층을 제한적으로 식각한다. 선택적 언더컷 GaN 소자는 돌출된 PDMS 몰드에 의해 운반된다 d).
도 42는 EC 식각법의 일예를 설명한다. 이는 PEC 식각과 꽤 유사하나, 이러한 시스템에서는 광 조사가 필요하지 않다. 상기 전해질(electrolyte)은 희생층이 분해되고 식각되는 것을 도울 수 있다. 도 42의 패널 (a)에 있어서, GaN/InGaN 다층구조는 SiNx 또는 SiO2와 같은 패시베이션층(passivation layer), 및 InGaN 희생층의 상부로 제조되는 음극(예를 들어, Ti/Au)으로 덮힌다. 도 42의 패널(b)는 음극과 전해질(예를 드어, 0.008 M HCl) 내의 파워 서플라이(power supply)의 연결을 나타낸다. 도 42의 (c)에 나타낸 바와 같이, 예를 들어 BOE(buffered oxide etchant)를 통해 상기 희생층은 제거되고, 이어서 패시베이션층이 제거된다. 마지막으로, 도 42의 (d)에 나타낸 바와 같이, 상기 구조물은 운반/접촉 프린팅에 의해 선택적으로 운반된다.
언더컷 식각을 위한 희생층 : 선택적 습식식각
PEC, 또는 선택적이기 위한 관련 계획없이 제거될 수 있는 희생층들 또한 가능하다.
도 43은 자립 GaN LED 셀들의 습식 식각 계획의 일례를 나타낸다. ZnO 버퍼층은 GaN 에피택셜 공정의 템플레이트로 사용될 수 있다. ZnO층은 물에 5% 희석된 NH4Cl에 의해 선택적으로 식각된다. SiO2와 같은 물질은 패시베이션에 사용될 수 있으며, 이들은 상기 식각액에 의해 제거되지 않는다.
실시예 5 : 앵커링 구조물
자립 μ-LED들의 구조물(예를 들어,μ-GaAs LED, μ-GaN LED 등)의 제조공정 중, 앵커링 구조물은 μ-LED 칩셋을 고정하기 위해, 방해되거나 또는 변위될 수 있는 것으로부터 이들이 방지되는 자리에 사용된다. 다양한 앵커링 방식이 본 실시예에서 제안되어진다. 일반적으로, 상기 앵커링 구조물은 두 개의 다른 카테고리도 나누어질 수 있다: 이종 앵커링(Heterogeneous anchoring) 및 동종 앵커링(Homogeneous anchoring).
이종 앵커링 구조물
이종 앵커링은 칩셋 재료와는 다른 재료인 앵커 구조물을 나타낸다(예를 들어, 고분자 앵커 등). 이종 앵커링 시스템의 일례를 도 44에 나타내었다.
도 44에 나타낸 특정 이종 앵커링 구조물의 경우, 사진석판술로(photolithographically) 정의되는 포토레지스트(PR)가 앵커로써 사용될 수 있다. 그러나, 포토레지스트로 제한되는 것은 아니다. 사실, 식각액을 견디거나 또는 식각 종(etching species)인 어떠한 물질(예를 들어, 유기, 무기, 세라믹 등)도 앵커로써 쓰일 수 있다. 도 45에 나타낸 바와 같이, 이종 앵커링 구조물의 다양한 기하학적 구조가 공정 조건에 따라 적용될 수 있다. 도 45에 나타낸 앵커링 구조는 다수의 가능한 변화 중 지극히 일부를 예로 든 것이다.
동종 앵커링 구조물
실리콘 (111) 상의 μ-GaN 칩셋의 경우, 만약 칩셋들이 실리콘(111) 웨이퍼의 [110] 방향과 평행하게 정렬되는 경우, 자연스러운 동종 앵커가 형성된다. 이방성 Si 식각액(예를 들어, KOH, TMAH 등)은 이들이 식각하는 결정방향에 의존하는 큰 식각속도 변화를 제한한다. 예를 들어, KOH는 [110] 및 [100] 방향을 [111]방향보다 수백배 빠르게 식각한다. 반면, 만약 μ-GaN LED 칩셋들이 [110] 방향과 평행하게 정렬되는 경우, (110) 및 (111) 면 사이의 식각속도 차이는 도 46에 나타낸 바와 같은 자연스러운 앵커링 시스템을 제조한다. 이들 앵커들이 μ-GaN LED 칩셋과 동일한 재료이기 때문에, 우리는 이들의 동종 앵커로 나타낸다.
봉지 및 배선
*μ-LED 셀들은 다른 기판 상에 프린트 운반되고, 상기 셀들은 전기전 배선을 위해 노출되는 접촉 영역을 남겨두는 식으로 패시베이션 및 봉지된다. 종래의 LED 공정에 있어서, LED로부터 외부 핀으로의 전기적 연결은 와이어 본딩(wire bonding)을 통해 종종 실현되어졌다. 와이어 본딩 공정은 도 47에 나타낸 바와 같이 직경이 약 100 ㎛ 크기인 볼이 요구된다.
따라서, 발광영역은 효과적으로 와이어 본딩 공정을 위해 요구되는 볼의 크기에 의해 많이 감소된다. 와이어 본딩을 위한 볼의 크기가 매우 감소되더라도, LED 다이크기의 감소는 간단하게 실현할 수 없다. GaAs 기판 소자의 최근 연구는 와이어 본딩을 통해 가능한 것보다 훨씬 작은 배선을 가능케 하는 평면 공정 기술을 사용하기 위한 능력을 입증하였다. 이러한 진행들은 단독, 또는 와이어 본딩, 스크린 프린팅, 프린트 운반/몰딩 및 다른 접근방법들과의 조합으로 GaN 소자들에도 시행될 수 있다.
후면 노출을 통한 봉지(Encapsulation Via Back-Side Exposure, EBSE )
본 실시예는 도 48에 나타낸 바와 같은 후면노출을 통한 봉지로 나타내어진 자기정렬 공정을 나타낸다.
첫째, 감광성 고분자(negative tone)가 투명기판 상에 운반된 μ-GaN LED의 상부로 스핀코팅되거나 또는 스프레이 증착된다. 충분한 두께인 감광성 고분자는 도 48에 나타낸 바와 같이 전체 기판을 봉지할 수 있다. P-오믹 접촉(P-ohmic contact) 금속 조성물은 방출파장(emission wavelength)에서 높은 투명도를 가지고, 또한 도 48의 공정을 위한 UV 파장에서 부분적으로 투명하도록 선택될 수 있다. 두꺼운 접촉 패드들은 P-오믹 접촉 금속 상에 증착된다. 기판의 자연스러운 투과 및 P-접촉 패드의 자연스러운 반사의 효과를 이용함에 따라, 상기 감광성 고분자 층은 최적노출량으로 기판의 후면으로부터 노출될 수 있다. 후면(바닥) 노출 단계 중, LED 칩셋의 두꺼운 접촉 패드들은 후면조사에 의해 노출되지 않는 영역들 내의 감광성 고분자와 같은 자기 정렬 마스킹층으로서 간단히 이용된다. 이러한 영역들은 차후 현상 단계 중에 선택적으로 제거될 수 있다. 최종 경화 단계 후, 종래의 포토리소그래피 또는 관련 처리방법들이 LED들 사이의 배선을 위해 사용될 수 있다. 이러한 기본 컨셉의 많은 변형은 가능하다.
후면 노출공정을 통한 봉지 후인 μ-GaN LED의 주사전자현미경 및 광학현미경 이미지는 도 49 위쪽에 나타내었다. 공정 후 μ-GaN LED의 프로필러미터(Profilometer) 데이터는 도 50 아래쪽에 나타내었다. 청색 및 검은색 프로파일은 각각 봉지 공정 전 인쇄된 μ-GaN LED 셀 및 봉지 공정 후 인쇄된 μ-GaN LED 셀을 나타낸다.
μ-GaN LED들의 완전히 배선연결된 선(string)은 도 51의 위쪽에 나타내었다. μ-GaN LED들 사이의 일련의 연결을 구체화하는 것은 이러한 μ-GaN LED들을 통해 흐르는 동일한 전류로 인하여, 하나의 μ-GaN LED로부터 다른 것으로의 매우 균질한 광 방출을 야기한다. 나아가, 직렬로 연결된 다섯 개의 μ-GaN LED들 중 두 개의 선(string)을 도 52의 아래쪽에 나타내었다.
실시예 7 : μ-LED 몰딩된 배선
도 48의 공정을 대체하기 위해, LED 분리(LED isolation) 및 배선연결은 도 53 및 도 54에 나타낸 몰딩된 배선연결법을 이용하는 하나의 단계(또는 복수의, 순차적 단계들)로 수행될 수 있다.
도 53의 위쪽에 나타낸 바와 같이, 선택적으로 운반된 LED들은 PDMS 몰드를 위한 마스터(master)를 제조하기 위한 템플레이트로 이용될 수 있다. 감광성 고분자를 사진석판술(photolithographically) 패터닝함으로써 트렌치된 패턴들이 LED 접촉으로부터 우선적으로 제조될 수 있다. 이러한 트렌치된 패턴들은 바람직한 배선 패턴을 따라 디자인될 수 있다. 따라서, 상기 마스터를 이용하는 몰딩된 엘라스토머 스탬프는 돌출된 배선 구조물을 가진다. 3D 패터닝된 엘라스토머 스탬프는 이들의 도너 기판(donor substrate)으로부터 LED들을 선택적으로 픽업(pick up)하기위해 사용될 수 있으며, 양각된(embossed) 구조물을 제조하기 위한 NOA(Norland Optical Adhesive)와 같은 광경화성 고분자를 이용하여 타겟 기판 상부로 이들을 운반한다. 엘라스토머 스탬프는 마스터 기판과 유사한 LED들 사이의 배선연결을 위해 트렌치된 패턴을 야기하는 접착 고분자의 광경화 후, 간단하게 떼어낼 수 있다. 앞서 제조된 트렌치들을 간단히 충진하기 위해 이용된 실버 페이스트 및 상부 표면의 실버 페이스트는 트렌치된 구역 내의 실버 페이스트만을 남기고 간단히 벗겨낼 수 있다. 트렌치된 구역 내의 실버 페이스트의 결과물은 도 54에 나타낸 바와 같이, LED들 및 외부 접촉 사이의 배선으로써 이용될 수 있다.
금속화된 LED들의 광학 이미지 및 전기적 분석은 도 55에 나타내었다.
나아가, 상기 몰딩된 배선 처리는 도 56에 나타낸 소자 전극과 접촉하는 스탬프 영역 상의 불투명막 또는 반사막을 포함하여 제조하기 위해 약간의 변형이 될 수 있다. 이 경우, 상기 광경화성 몰딩 물질은 조사(irradiation)를 위한 노출이 되지 않으며, 이러한 이유로 적절한 용매로 쉽게 제거가능한 이들의 자리에 경화되지 않고 남는다. 도 56 (a)에 있어서, 금속은 증착되거나 또는 소자의 전극와 접촉하는 것을 목적으로 하는 PDMS 양각형상(relief feature)의 표면으로 오직 운반된다. 다른 처리방법은, UV 경화 중 마스킹층(masking layer)으로 효과적으로 이용되는 탄성중합체 스탬프(PDMS stamp)의 후면 상에 위치하는 소자의 전극과 일치하는 패터닝된 마스크가 위치된 도 56 (b)에 그려졌다.
몰딩된 배선 어프로치(approach) 해상도(resolution)의 최종 한계(ultimate limits)는 소프트 임프린팅 몰딩 방법 및 은 입자 크기에 의해 정의된다. 상기 실험에서 사용되는 평균 은 입자 크기는 더욱 가는(finer) 배선을 제조하기 어렵게 하는 약 10 내지 15 m이다. 평균 크기가 5 내지 100 nm인 은 나노입자를 사용하여, 몰딩된 배선의 해상도가 서브 마이크론 체제로 매우 낮아지는 것을 향상시킬 수 있었다.
몰딩된 배선 어프로치에 비교되는, e-젯(jet) 프린팅 어프로치 및 직접 잉크 인쇄(direct-ink writing) 어프로치 또한 대체 배선 계획으로써 사용될 수 있다.
실시예 8 : 수직 LED(vertical LED, V-LED)의 메쉬 배선
수직 LED(도 58에 나타낸 바와 같이 상부 및 하부에 제조된 N 및 P와 전기적 접촉하는 LED 형태)는 도 59에 나타내어진 메쉬 배선 어프로치(mesh interconnection approach)에 의해 달성될 수 있다.
상기 메쉬 배선을 위한 제조공정은 도 59에 나타내었다. 도 59에 나타낸 바와 같이, 금속 메쉬는 접착층과 함께 플라스틱 기판 상부로 프린트 운반된다. 금속 메쉬 구조물이 접착층 하부를 오직 부분적으로 덮음에 따라, μ-LED들은 기판 상부에 효과적으로 프린트 운반될 수 있다. 이러한 금속 메쉬는 인쇄된 μ-LED들로의 전기전 연결 뿐만 아니라, 방열판(heat sink)로써 이용될 수 있다. PDMS(그러나, PDMS로 제한되는 것은 아니다)의 얇은 접착층은 유리기판 상부로 프린팅 하는 것을 가능하게 한다. 소자 상부의 광 패터닝된 에폭시층은 하부 메쉬로 상부 필름이 단락(shorting)되는 것을 방지한다.
μ-LED의 직렬(Serial) 배선 및 병렬 배선의 비교
μ-LED 등의 다이오드는 전류와 전압 사이에 지수적인 관계를 가진다. 즉, 셀들 사이의 순방향전압(forward voltage)이 조금 변화되는 것은 구동 전류(operation current)의 큰 차이를 야기하였고, 이에 따라 발광이 달라진다. 많은 수의 μ-LED들이 병렬로 연결되는 경우, 작은 순방향전압 또는 턴온전압(turn-on voltage)을 가지는 하나의 μ-LED는 동일한 전류량을 받는 모든 μ-LED를 대신하여 공급되는 전류 대부분을 빨아들인다. 그러나, 직렬연결의 경우, 도 60에 나타낸 바와 같이 μ-LED들이 직렬로 연결된 선(string)에 있어서, 오직 하나의 전류방향이 가능하기 때문에 모든 μ-LED들은 동일한 전류량을 받는다. 도 60 및 도 61에 나타낸 바와 같이, 직렬/병렬 연결 조합은 많은 수의 배선연결된 μ-LED들로부터 더욱 균질한 광 방출을 생성할 수 있다.
평면 배선(Planar Interconnection)
상기한 바와 같이, 인쇄된 μ-LED들의 초박막 특성은 도 62에 나타낸 바와 같은 평면 배선 계획을 가능하게 한다. 수직 두께가 수백 m인 종래의 LED들에 있어서, 본 명세서에서 제안된 간단한 평면 배선 계획은 와이어 본딩 어프로치가 앞서 도 47에 나타낸 바와 같은 메인 스트림 어프로치(main stream approach)가 되는 이유인 매우 높은 단차(step coverage)로 인하여 엄청난 도전 또는 달성이 거의 불가능한 것이었다.
실시예 9 : 인쇄된 μ- LED들을 기반으로 하는 연신가능한 광 시스템(Stretchable Lighting system)
공간적으로 독립적인 마이크로 렌즈 어레이의 배선은 포스 댐프닝(force dampening) 메커니즘으로써 이용하기 위한 방식인 지그재그 형태(serpentine-like, 미도시) 또는 아코디언 형태(accordion-like, 도 63에 도시)로 제조될 수 있다. 상기 형태에 있어서, 모듈은 늘려지고, 또한 구부려질 수 있으나, 배선은 구부려지고 유연해야할 것이며, 따라서 변하지 않은 마이크로 렌즈들의 곡률(curvature)을 유지하는 각각의 픽셀들의 상대적인 위치 변화로 인해 가해지는 수평력(lateral forces)을 흡수해야 할 것이다. 나아가, 이러한 마이크로 렌즈들의 표면은 확산 스테이지(diffusion stage)로써 이용하기 위해 거칠어질 수 있다.
도 63의 어프로치는 연신가능한있는 배선 제조를 위해 사용되고, 도 64의 어프로치는 연신가능한있는 μ-LED 제조를 위해 사용되며, μ-LED들의 밴드 구조물의 변형 유도된(strain-induced) 수정을 통해 광 방출의 아웃커플링(outcoupling)이 가능하도록 그 자체로 사용된다.
실시예 10 : μ- GaN LED들로부터의 광 추출 향상
GaN 청색 LED들의 최근 연구는 소자 품질의 급격한 발전을 이끌고 있으며, 더욱 높은 레벨의 퍼포먼스를 밀고 있다. GaN 기반 LED들은 종래의 광 시스템(백열등, 형광등 및 컴팩트 형광등)을 곧 능가할 것으로 기대되고 있으나, 상대적으로 형편없는 광 추출로 인하여 진행이 느려지고 있다. GaN의 높은 굴절률(~2.5)로 인하여, 공기내로의 광탈출 임계각은 스넬의 법칙에 의해 ~23.6로 계산되었다. 상기 각도를 벗어나는 표면 내부로의 광입사는 에피층 내에서 흡수되고 순차적으로 빛을 꺼버리는 소자 내부로 다시 반사된다. 이를 위하여, 몇가지 기술들은 시스템의 광 성능을 향상시키는 GaN LED들의 유연 어레이로 적용될 수 있다.
실시예 11 : 향상된 광추출을 위한 텍스쳐링 및/또는 거칠게된 표면
일반적인 GaN LED거울형표면은 빛의 많은 부분이 내부적으로 반사되는 것을 야기하고, 이에 따라 소자의 광 추출 효율이 감소된다. 광 추출을 향상시키기위한 방법은 내부 반사를 감소시키기 위한 수단으로써 이용되는 하부 표면을 거칠게 하는 것 및 도 65에 나타낸 바와 같이 LED로부터 외부를 향하는 광을 산란시키는 것이다.
거친 표면의 제조는 상기한 바와 같이 확립된 μ-GaN LED 제조공정을 밀접하게 따른다. 소자제조가 완료되고, 자외선이 없는 환경 내에서(예를 들어, 클린룸) 수행되는 실리콘 기판의 이방성 수산화칼륨 식각에 의해 각 픽셀들은 언더컷이 수행될 것이다. 상기 언더컷이 완료된 후, 오믹접촉면 및 제조된 소자의 측벽을 보호하기 위해 플라즈마 화학기상증착(plasma enhanced chemical vapor deposition, PECVD) Si3N4 패시베이션층이 증착된다.
나아가, UV광의 존재 하에 KOH내의 식각은 μ-GaN LED의 노출된(바닥면) 측면을 광전기화학(photoelectrochemical, PEC) 식각하는 것을 촉진하고, 도 66에 나타낸 바와 같이 효과적인 광 산란 중심부로 이용되는 피라미드 형태 구조물을 형성한다.
이러한 LED들은 100% 또는 그 이상으로 광 추출을 향상시키기 위한 전위와 함께 하부발광(bottom emission)에 매우 적합하다. 하부발광은 두껍고, 반사형인(reflective) p-접촉면을 이용하여 효과적으로 달성될 수 있다. p-접촉면으로 Pt/Ag를 활용하는 접촉 계획은 80%값인 반사율을 가지는 것으로 알려졌다. 추가적인 반사율은 470 nm에서 매우 높은 반사율을 나타내는 두꺼운 알루미늄(Al) 또는 은(Ag)으로 제조된 상부 반사판(reflector)의 추가로 인하여 달성될 수 있다.
아웃커플링을 통한 광 향상을 위한 계획은 도 67의 하단에 나타내어졌다. 비록 도 67의 계획이 레이져 리프트-오프(Laser Lift-off) 어프로치를 이용한 사파이어 기판으로부터의 μ-GaN LED와 함께 도시되었지만, 광 아웃커플링을 위한 GaN 콘 구조(cones structures)의 이용 컨셉은 특정 공정으로 제한되지 않는다. 웨이퍼 본딩 후((a)~(d)), 잔류 GaN 버퍼층은 결정학적(crystallographic) 습식 식각에 의해 선택적으로 식각될 수 있었다. GaN 버퍼층은 H3PO4 식각액 및 PEC 식각에 의해 식각될 수 있다. 삽입된 SEM 이미지는 결정학적 습식 식각(D. A. Stocker et al Appl. Phys. Lett. 73, 2654 (1998))에 의해 제조된 GaN 콘(cone)의 예를 나타낸다. 스넬의 법칙에 의해, 계면에 충돌된(impinged) 빛은 임의로 방출된다. 반면, GaN 콘 소자 광은 표면에 충돌된 후, 직진으로 방출될 수 있다.
향상된 빛 추출을 위한 마이크로 렌즈, 고분자 몰딩된 구조물
매우 효과적인 상부 발광 LED들의 실현을 위해, 마이크로 렌즈들은 최종 소자 내부로 포함될 수 있다. 높은 굴절율 물질(일반적으로 n이 ~1.5인 고분자)로부터 제조된 렌즈 구조물은 GaN/고분자 계면에서의 광 추출 콘(light extraction cone)을 증가시킨다. 상기 렌즈 형태는 고분자 매질로부터 주변 공기로 광추출이 가능한 것이 바람직하다.
마이크로 렌즈 어레이들은 확립된 일련의 포토리소그래피 기술을 통해 제조될 수 있다. 공정은 포토레지스트의 패터닝으로 시작한다. 승온은 표면에너지를 감소시키는 의미인 포토레지스트의 리플로우(reflow)을 야기하고, 렌즈 유사 형태 결과물을 야기한다. 이러한 패턴은 마이크로 렌즈 어레이로 PDMS와 같은 경화되지 않은 고분자를 몰딩함으로써 얻어질 수 있다. 최종 렌즈 구조는 광투명 고분자(optically clear polymer, 예를 들어 Norland Optical Adhesive)를 PDMS 몰드로 몰딩함으로써 얻어질 수 있다. 상기 렌즈는 몰드로부터 제거되고, 정렬되며, 또한 LED 어레이로 라미네이트될 수 있다.
상기 마이크로 렌즈 구조물은 어레이들이 구부러지지않은 상태로 남아있을 때, LED 어레이로 활용될 수 있다. 구부러지는 경우, 렌즈 어레이의 수평력(lateral forces)이 각 렌즈 구조물들의 분해를 야기할 것이며, 이에 따라, 렌즈의 광 성능이 감소될 것이다. 상기 시스템의 전체 유연성을 달성하기 위한 노력은, 픽셀의 이동이 인접한 픽셀에서의 렌즈 분해를 돕는 수평력을 가하지 않는 공간적으로 독립적인 렌즈 어레이를 제공하도록 제안되었다.
공간적으로 독립적인 렌즈 어레이의 공정은 상기한 제조공정과 유사하게 수행된다. 픽셀 배선들은 각각의 마이크로 렌즈 형상을 따라 패터닝된다. 이들 어레이의 독립성(independent nature)은 오직 음각된 형상들이(depressed features, 렌즈 및 배선) 충진되는 것과 같은 충분히 얇은 고분자 필름이 스핀될 때, 고분자 봉지제의 몰딩에서 일어난다. 몰드로부터 제거된 후, 공간적으로 독립적인 렌즈 어레이는 정렬되고, 마이크로-LED 어레이로 라미네이트된다.
μ-GaN LED의 광 향상을 위한 고분자 패턴의 제조를 위한 공정계획은 도 71에 나타내었다. 웨이퍼 본딩((a)~(d)) 및, 잔류 GaN의 폴리싱 후 고분자 패턴은 몰딩, 임프린팅, 콜로이달 리소그래피(colloidal lithography) 등에 의해 형성될 수 있다. 패터닝된 고분자 구조물은 빛의 세기, 광 파장 시프트(light wave length shift)를 제어할 수 있다. 듀티비(Duty ratio) 및 고분자 비드(beads) 사이즈는 방출 특성을 조절할 수 있다.
마이크로 렌즈 및 고분자 구조물의 제조는 상기 공정들로 제한되는 것은 아니다. 마이크로 렌즈는 또한 주조(casting), 몰딩, 임플린팅, 콜로이달 리소그래피, 스크린 프린팅, 잉크젯 프린팅, e-젯 프린팅 등과 같은 다양한 방법들로 제조될 수 있다. 이러한 마이크로 렌즈들 및 고분자 구조물은 이미 인쇄된 μ-GaN LED 상에 제조될 수 있을 뿐만 아니라, 다른 기판 상에 제조될 수 있으며, μ-GaN LED상에 인쇄된 후 그 뒤에 운반될 수 있다.
나아가, 이러한 추가적인 변형(예를 들어 마이크로 렌즈, 콘 구조물 등)과 견주어, 인쇄된 μ-LED의 얇고 작은 구조는 단위면적당 더욱 높은 추출효율을 야기한다. 이러한 인쇄된 μ-LED는 종래의 LED(100,000 ㎛2 내지 1,000,000 ㎛2)보다 더욱 작은 입자크기를(100 ㎛2 내지 10,000 ㎛2) 가진다. 즉, 본 발명에서 제조된 μ-LED는 종래의 LED보다 10,000 배 작다. 주입된 전류의 더욱 효율적인 사용을 위해 마이크로 사이즈 효과 덕분에, 양자 우물(quantum well)로부터의 빛은 더욱 작은 내부반사 수 및 더욱 큰 부피당 면적비로 인하여 LED로부터 더욱 쉽게 탈출할 것 가능성이 높다.
실시예 12 : 양방향 LED로부터의 광 방출 통합(merging)
유리 또는 플라스틱과 같은 투명기판 상에 인쇄된 μ-LED는 도 72에 나타낸 바와 같이, 이축 일루미네이션(bi-axial illumination)을 나타낸다. 즉, 인쇄된 μ-LED로부터의 빛은 바닥으로부터 뿐만 아니라 상부로부터도 방출될 수 있다.
<509>
고반사율 금속(예를 들어, Al, Ag, Pt 등)은 인쇄된 μ-LED의 상부에 증착될 수 있거나, 또는 인쇄된 μ-LED는 광 방출을 한 방향으로 통합하여 광방출량을 증가시키는 광 반사를 위해 고반사율 금속 포일(foil) 상에 프린팅될 수 있다.
도 73에 나타낸 바와 같이, 마이크론 렌즈는 인쇄된 μ-LED의 상부에 제조되거나 또는 구비될 수 있다. 이러한 마이크론 렌즈들은 상기 도면에서 나타낸 광경화성 수지을 이용하여 간단히 몰딩될 수 있고, 또는 어디에서든 제조되고 μ-LED의 상부로 프린트 운반될 수 있다. Ag와 같은 고 반사율 및 열전도 금속은 인쇄된 μ-LED로부터 방출되는 빛을 반사하기 위한 유리상 구조물을 제조하기 위해 이들 구조물의 상부로 도금되거나, 기화되거나 또는 스퍼터링 될 수 있다. 이러한 반사판(reflectors)은 또한 인쇄된 μ-LED의 엣지(edge)로부터 빛이 방출되는 방향인 측면에 구비될 수 있다. 본 실시예에서 상기한 바와 같이, 상기 시스템은 하부 방출부(bottom emission) 내부로의 양방향 광 방출을 통합하기에 적합하다. 그러나, 반사유리를 구비하는 이미 제조된 마이크로 렌즈 시스템 상부로 인쇄된 μ-LED를 프린트 운반함으로써, 유사한 시스템이 쉽게 상부 방출 시스템으로 포함될 수 있다. 또한, 상기 다양한 방법을 이용한 광 방출 방향 변경에 있어서, 광섬유는 임의의 방향의 포커싱된 빛을 제어하기 위해 다양한 방법으로 포함될 수 있다.
실시예 13 : μ- LED들의 다층 적층체
복합소재인 에피택셜 웨이퍼(Epitaxial wafer)는 실리콘의 Cz 공정보다 상당히 더 비싸다. 에피택셜 웨이퍼를 제조하는 비용절감공정을 도 74에 나타내었다. 도 74에 나타낸 바와 같이, 다층 μ-LED층들은 처음에 성장한다. 그러나, 도 74의 도식이 다층구조 GaAs LED 구조물을 나타내고 있음에도 불구하고, 유사한 컨셉이 GaN 기반 LED, 또는 III-V 및 III-N 화합물로부터의 어떠한 다른 구조물에도 적용될 수 있다. 기판과 에피택셜층 사이에 발생된 격자 부정합(lattice mismatch)이 버퍼층의 성장에 의해 해결된 후, 적절한 희생층 및 활성 LED 층이 도면에 나타낸 방식을 대체하여 성장될 수 있다. 이러한 성장공정은 동일한 희생층 및 활성층을 다층구조로 제조하기 위해 수차례 반복될 수 있다. 최상층(또는 도면에 나타내어진 μ-LED들 중 첫 번째 층)으로부터의 μ-LED는 도면에 나타낸 바와 같이 희생층을 언더컷팅(undercutting)함으로서 자립 방식(free-standing fashion)으로 정의될 수 있다. 이러한 μ-LED들은 우리가 이전의 섹션에서 언급한 바와 같은 다양한 방법들로 프린트 운반될 수 있다. 첫 번째층이 완전히 진행되고, 다른 기판으로 프린트 운반되며, 두 번째 층이 노출되며, 이는 첫 번째층이 진행된 것과 동일하게 수행될 준비가 된 것이다. 따라서, 우리는 하나의 웨이퍼로부터 더욱 많은 μ-LED들을 제조할 수 있다. 또한, μ-LED들의 전체 제조비용을 효과적으로 줄일 수 있다.
실시예 14 : μ- LED들의 열 관리
플라스틱 기판이 사용되는 경우, μ-LED의 열관리는 중요하며, 이는 플라스틱기판이 본질적으로 절연체이기 때문이다. 그러나, 인쇄된 μ-LED는 종래의 LED(100,000 ㎛2 내지 1,000,000 ㎛2)보다 더욱 작은 크기를(100 ㎛2 내지 10,000 ㎛2) 가진다. 즉, 본 명세서에서 제조된 μ-LED들은 종래의 LED들보다 10,000배 만큼 더 작다. 더욱 작은 μ-LED들의 희소 어레이(Sparse array)은 하나의 큰 LED보다 더욱 우수한 열 분산을 나타낼 수 있었고, 이는 더욱 작은 μ-LED에서 발생되는 열이 종래의 거대 LED보다 훨씬 적기 때문이다. 또한, 희소 어레이 구조는 종래의 거대 LED보다 더욱 나은 열 방출을 가능하게 하며, 이는 종래의 거대 LED에서 발생된 열이 LED 자신의 주위에 더욱 집중되기 때문이다.
도 75는 주위에 어떠한 방열판(heat sink)도 없이 플라스틱 기판 상에 인쇄된 μ-LED의 온도기록 이미지(thermographic image)를 나타낸다. 이러한 분석을 위해 기준선의 온도를 70 ℃로 고정하였다.
μ-LED 상부의 금속 방열판
인쇄된 μ-LED의 주위에 열전도성 방열판을 구비시킨 것은 인쇄된 μ-LED들로부터 열 방출을 매우 향상시킬 수 있다. 상기 시스템의 단면 모식도를 도 76에 나타내었다.
유기 고분자보다 높은 열전도성을 가지는 전기적 절연체인 유전체층(dielectric layer)(예를 들어, 열전도성이 0.24 W/mK인 PET와 비교되는 열전도성이 30 W/mK인 SiN)는 플라스틱 기판 상에 인쇄된 μ-LED의 상부로 증착될 수 있다. 예를 들어, 은 및 구리인 열전도성이 높은 물질은 이들 사이의 절연을 위해 유전체층(예를 들어, SiN)과 함께 μ-LED의 상부에 증착될 수 있다. 또한, 매우 얇은 SiN층과 같이 조절될 수 있는 SiN과 같은 유전체층은 절연에 충분하다.
μ-LED의 상부의 높은 열전도성을 가지는 프린트 운반 물질
방열판을 위한 재료는 금속 또는 도 77에 나타낸 다결정 다이아몬드와 같은 높은 열전도성의 어떠한 재료도 될 수 있다. 이러한 재료들은 능동 또는 수동 시스템 중 어떠한 것으로도 프린트 운반될 수 있다.
방열판으로써 인쇄된 μ-Diamond를 사용하는 것을 도 77에 나타내었다. μ-Diamond는 낮은 비용으로 화학기상증착 공정으로 쉽게 제조될 수 있다. 성장된 μ-Diamond층은 패터닝될 수 있고, 상기한 μ-LED공정과 유사하게 마더 웨이퍼(mother wafer)로부터 리프트 오프될 수 있다. 이러한 μ-Diamond들은 이미 인쇄된 μ-LED의 상부로 프린트 운반될 수 있고, 이들의 유난히 높은 열전도성(>1000 W/mK)으로 인하여 효과적인 열 확산 및 방열을 수행한다. 도 75에 나타낸 바와 같이, 열 방출은 균일하지 않으며, 인쇄된 μ-LED의 특성 부분에 농축된다. 본 명세서에 기재된 열 관리 계획은 핫 스팟(hot spot)에 계획적으로 구비된 방열판으로 계획될 수 있다.
양방향 LED로부터 방출되는 빛을 통합하기 위해 사용되는 마이크로 렌즈 상의 방열판 설치
유리 또는 플라스틱과 같은 투명기판 상에 인쇄된 하나의 μ-LED는 이축 일루미네이션(bi-axial illumination)을 나타낸다. 고 반사율 금속(예를 들어, 알루미늄, 은, 백금 등)은 인쇄된 μ-LED의 상부로 증착될 수 있고, 또는 상기한 바와 같이 방출광을 통합하기 위해 빛을 반사하도록 μ-LED가 고 반사율 금속 포일(foil)에 인쇄될 수 있다.
도 78에 나타낸 바와 같이, 마이크로 렌즈는 제조될 수 있거나, 또는 인쇄된 μ-LED 상에 구비될 수 있다. 이러한 마이크로 렌즈들은 도 78에 나타낸 바와 같은 광경화성 수지를 이용하여 쉽게 몰딩될 수 있다. 은(Ag)과 같은 고 반사율 및 열전도성인 금속은 인쇄된 μ-LED로부터 방출된 빛을 반사시키기 위한 유리형 구조물을 형성하기 위해 이들 구조체의 상부로 도금되거나, 기화되거나 또는 스퍼터링 될 수 있다. 또한, 이러한 반사판은 이들의 높은 열 전도성으로 인하여 방열판으로써도 이용될 수 있다.
실시예 15 : 인쇄전자소자(Printed Electronics)로의 μ-LED 이종 집적화(Heterogeneous Integration)
활용 단계 및 반복 공정, 다른 클래스의 재료들은(예를 들어, μ-GaAs LEDs, μ-GaN LEDs 및 인쇄된 μ-Si 전자소자 등) 이러한 다른 재료들의 효과를 결합시키기 위해 단일 기판 내로의 시퀀스(sequence)로 인쇄될 수 있다. 예를 들어, μ-Si는 안정한 전기적 특성 및 디지털 및 아날로그 회로 모두에 성숙한 제조공정을 근거로 복합전자소자(complex electronics)의 형성에 적합하다. μ-Si을 대신하여, μ-GaAs 칩셋 또는 μ-GaN 칩셋은 플라스틱과 같은 특이한 기판(unusual substrate) 상의 무선 주파수(radio frequency) 광전자소자를 위한 고주파 구동 아날로그 회로의 형성을 위한 빌딩블럭(building block)으로 사용될 수 있었다. 도 79에 있어서, 풀컬러 무기 능동형 디스플레이 형성을 위한 μ-GaAs LED, μ-GaN LED 및 μ-Si 전자소자 결합의 일례가 제안되었다.
나아가, 탄소나노튜브 또는 그라핀 필름과 같은 탄소기반 물질은 또 다른 기판 상에 성장될 수 있고, 배선이 광 방출을 막는 것을 방지하기 위한 투명전극 및 배선(ITO 또는 ZnO 투명전극을 대체하기 위한)을 형성시키기 위해 이미 인쇄된 μ-LED의 상부로 프린트 운반된다. 또한, 포토다이오드는 도 80에 나타낸 바와 같이 인쇄된 μ-LED의 상부로 이종 집적화(Heterogeneous Integration)될 수 있다. 상기 포토다이오드는 인쇄된 μ-LED로부터의 광 강도(light intensity)를 간단하게 검출할 수 있고, 또한 포토다이오드의 출력반응은(output response) 실시간 자가교정(self-calibration)을 목적으로 하는 μ-LED를 위한 구동 IC들로 피드백될 수 있다.
실시예 16 : 인광물질 및 μ- GaN LED들의 집적화
인광물질 및 청색 LED의 조합에 의해 제조된 백색 LED는 몇가지 넘어야할 과제를 가진다. 주요 과제 중 하나는 색의 균일성(color uniformity)이다. 인광물질 범위내의 균일성 부족으로 인하여, 하부의 청색 LED로부터의 방출결과와 인광물질이 상당히 다르다. 그 결과, 빔의 중심부분은 파란색으로 나타나는 반면, 모서리 부분은 노란색으로 나타난다. LUMILED는 인광물질의 컨포멀 코팅 기술에 대한 특허출원된 공정을 개발하였고, 높은 균일성을 나타내는 백색 LED를 생산할 수 있는 유일한 LED 제조업체이다.
도 81은 인광물질입자를 균질하고 어레이형 방식으로 분산시키기 위한 새로운 기술을 나타낸다. 도 81에 나타낸 바와 같이, 엘라스토머는 공동(cavities)의 어레이로 몰딩된다. 이러한 공동들의 구조는 바람직한 형태 및 깊이인 마스터 기판을 패터닝함으로써 쉽게 조절될 수 있다. 수십 미크론 범위인 직경을 가지는 인광물질 입자는 용매로 혼합될 수 있고, 또한 엘라스토머 상부로 쏟아진다. 엘라스토머 표면의 인광물질은 엘라스토머 블레이드에 의해 벗겨내어지고, 공동 내의 인광물질만이 남는다. 몰딩된 엘라스토머 상부로 얇은 엘라스토머를 결합시킴으로써, 인광물질은 어레이형 방식인 공동 내부에서 효과적으로 결합될 수 있다. 봉지된 인광물질로 패키징된 엘라스토머는 도 81 (E)에 나타낸 바와 같이 유연기판 상의 패키징된 μ-GaN LED 근처에서 직접 라미네이트될 수 있다. 인광물질 및 LED들 사이의 거리, 및 인광물질 셀들 사이의 공간은 가장 높은 수준의 균일성을 야기하도록 정확하게 제어될 수 있다. 더욱 명확한 표현을 위해 도 82 및 도 83에 3D 그림을 나타내었다. 상기 실시예에서 나타낸 바 있는 마이크로 렌즈 구조물은 단순 라미네이션에 의해 포함될 수 있다.
실시예 17 : μ- LED들의 제조방법.
도 84는 반도체 소자들의 어레이를 제조하는 전형적인 구체예를 나타낸다. 성장기판(8401)은 제공되고, 반도체 에피층(epilayer, 8402)은 기판 상에 에피텍셜 성장(epitaxial growth)을 통해 성장된다. 상기 에피층(8402)은 핸들기판(handle substrate, 8403)으로 결합되고, 성장기판(8401)로부터 차후에 박리된다. 다음, 마스크(8404)는 마스킹영역(masked regions) 및 노출영역(exposed regions)을 정의하기위해 에피층(8402) 상부로 패터닝된다. 반도체 소자들(8405)의 어레이를 정의하기 위해 물질들이 노출영역으로부터 제거된다. 반도체 소자들(8405)은 핸들기판(8403)으로부터 부분적으로 박리되고, 앵커(anchors, 8406)에 의해 연결되어 남는다. 선택적으로, 패터닝된 마스크는 제거된다(미도시). 상기 부분적으로 박리된 반도체 소자들은(8405) 차후 접촉 프린팅법을 통해 선택적으로 소자기판 상부로 인쇄된다.
도 85는 GaN LED 소자의 어레이를 제조하는 전형적인 구체예를 나타낸다.
사파이어 성장기판(8501)이 제공되고, GaN 다층구조(8502)는 기판 상에 에피텍셜 성장(epitaxial growth)을 통해 성장된다. 상기 다층구조(8502)는 핸들기판(handle substrate, 8503)으로 결합되고, 성장기판(8501)로부터 차후에 박리된다. 다음, 마스크(8504)는 마스킹영역(masked regions) 및 노출영역(exposed regions)을 정의하기위해 다층구조(8502) 상부로 패터닝된다. GaN LED 소자들(8505)의 어레이를 정의하기 위해 물질들이 노출영역으로부터 제거된다. GaN LED 소자들(8505)은 핸들기판(8503)으로부터 부분적으로 박리되고, 앵커(anchors, 8506)에 의해 연결되어 남는다. 선택적으로, 패터닝된 마스크는 제거된다(미도시). 상기 부분적으로 박리된 GaN LED 소자들은(8505) 차후 접촉 프린팅법을 통해 선택적으로 소자기판 상부로 인쇄된다.
도 86은 GaN LED 소자의 어레이를 제조하는 전형적인 구체예를 나타낸다. 실리콘(111) 성장기판(8601)이 제공되고, GaN 다층구조(8602)는 기판 상에 에피텍셜 성장(epitaxial growth)을 통해 성장된다. 다음, 마스크(8603)는 마스킹영역(masked regions) 및 노출영역(exposed regions)을 정의하기위해 다층구조(8602) 상부로 패터닝된다. GaN LED 소자들(8604)의 어레이를 정의하기 위해 물질들이 노출영역으로부터 제거된다. 본 구체예에 있어서, 실리콘 기판(8601)의 일부는 식각공정에 의해 상기 노출영역으로부터 제거된다. 상기 GaN LED 소자(8604)는 예를 들어, 실리콘 기판(8601)의 <110> 방향을 따르는 방향성 식각에 의해 실리콘 기판(8601)로부터 부분적으로 박리된다. 본 구체예에 있어서, 상기 GaN LED 소자들은 앵커(anchors, 8605)에 의해 기판과 연결되어 남는다. 선택적으로, 패터닝된 마스크는 제거된다(미도시). 상기 부분적으로 박리된 GaN LED 소자들은(8604) 차후 접촉 프린팅법을 통해 선택적으로 소자기판 상부로 인쇄된다.
도 87은 GaN LED 소자의 어레이를 제조하는 전형적인 구체예를 나타낸다. 사파이어 성장기판(8701)이 제공되고, 희생층(sacrificial layer, 8702)이 기판 상부로 증착된다. GaN 다층구조(8703)는 희생층(8702) 상부로 에피텍셜 성장(epitaxial growth)을 통해 성장된다. 다음, 마스크(8704)는 마스킹영역(masked regions) 및 노출영역(exposed regions)을 정의하기위해 다층구조(8703) 상부로 패터닝된다. GaN LED 소자들(8705)의 어레이를 정의하기 위해 물질들이 노출영역으로부터 제거된다. 상기 GaN LED 소자(8705)는 희생층의 부분 시각에 의해 사파이어 기판(8701)로부터 부분적으로 박리된다. 본 구체예에 있어서, 상기 GaN LED 소자는 앵커(anchors, 8706)에 의해 기판과 연결되어 남는다. 선택적으로, 패터닝된 마스크는 제거된다(미도시). 상기 부분적으로 박리된 GaN LED 소자들은(8705) 차후 접촉 프린팅법을 통해 선택적으로 소자기판 상부로 인쇄된다.
도 88은 반도체 소자들의 어레이를 제조하는 전형적인 구체예를 나타낸다. 사파이어 성장기판(8801)은 제공되고, GaN 다층구조(8802)는 기판 상에 에피텍셜 성장(epitaxial growth)을 통해 성장된다. 상기 GaN 다층구조(8802)는 핸들기판(handle substrate, 8803)으로 결합된다. 상기 GaN 다층구조(8802)를 사파이어 기판(8801)로부터 박리시키기 위해, 사파이어 기판(8801)과 GaN 다층구조(8802) 사이의 계면(8805)은 레이져 조사(8804)에 노출되고, 이에 따라 사파이어 기판(8801)으로부터 GaN 다층구조(8802)가 박리된다. 다음, 마스크(8806)는 마스킹영역(masked regions) 및 노출영역(exposed regions)을 정의하기위해 GaN 다층구조(8802) 상부로 패터닝된다. GaN LED들(8807)의 어레이를 정의하기 위해 물질들이 노출영역으로부터 제거된다. 상기 GaN LED들(8807)은 핸들기판(8803)으로부터 부분적으로 박리되고, 또한 앵커(anchors, 8808)에 의해 연결되어 남는다. 선택적으로, 패터닝된 마스크는 제거된다(미도시). 상기 부분적으로 박리된 반도체 소자들은(8808) 차후 접촉 프린팅법(미도시)을 통해 선택적으로 소자기판 상부로 인쇄된다.
실시예 18 : 핸들기판 공정
몇가지 구체예에 있어서, 핸들기판은 중간처리 플랫폼(intermediate processing platform)으로써 유용하다. 예를 들어 핸들기판으로써 운반된 소자는 하나 또는 그 이상의 패터닝, 성장, 폴리싱, 증착, 주입, 식각, 어닐링 또는 다른 공정 단계가 수행될 수 있다. 핸들기판으로의 전자소자들 처리는 예를 들어, 핸들기판이 고온 또는 화학적 불순물에 견딜 수 있는 능력이 있다면 유용할 수 있다. 상기 장점들은 만약 성장 및/또는 소자기판들이 예를 들어 고온 또는 화학적 불순물에 견딜 수 있는 능력을 가지지 못하는 경우 더욱 효과적이다. 상기 핸들기판은 예를 들어, 소자 또는 성장 기판에서 전제 수행 단계들 및/또는 제한적인 수행 단계들의 수를 줄이기 위하여 복합(multiple) 전자소자부품이 또 다른 하나를 기준으로 구비되는 어셈블리 스테이지(assembly stage)로써 유용할 수 있다.
도 89는 핸들기판 상에 전자소자를 제조하는 모식도를 나타낸 것이다. 본 구체예에 있어서, 전자소자(8901)는 성장기판(8902)상에 성장되고 패터닝되었다. 전자소자(8901)의 적어도 일부분은 이들이 추가적으로 공정처리되는 핸들기판(8903)으로 예를 들어, 상기의 방법들을 이용하여 운반된다. 본 구체예에 있어서, 핸들기판은 예를 들어 전자소자(8901) 상으로 오믹접촉층(ohmic contacts, 8904)를 패터닝하는 고온 공정을 견디는 능력이 있다. 전자소자(8901)로 오믹접촉층(8904)이 패터닝된 후, 이들은 접촉프린팅법을 통해 소자기판(8905)로 운반된다. 핸들기판(8903) 상에서의 추가적인 전자소자의 처리는 더욱 고려된다.
도 90 A 및 90 B는 핸들 기판 상에 전자소자를 제조하는 또 다른 모식도를 나타낸다. 본 구체예에 있어서, 다층구조 소자(9001)는 성장기판(9002) 상에 성장된다. 도 90 A에 나타낸 상기 다층구조 소자(9001)는 성장기판(9002)로부터 하부 능동소자층(들) (9004)을 분리하는 시드(seed)/버퍼층 (9003)을 포함한다. 희생층(9005)은 상부 능동소자층(들) (9006)로부터 하부 능동소자층(들) (9004)을 분리한다. 도 90 B는 상기 다층구조 소자(9001)의 핸들기판(9007 및 9008)으로의 운반을 통한 유용한 운반 계획을 나타낸다. 제조된 다층구조 소자(9001)는 상부 능동소자층(9006)을 제1 핸들기판(9007)로 운반하기 위해 먼저 처리되고, 예를 들어, 희생층(9005)의 적어도 일부는 운반 단계에 의해 제거된다. 하부 능동소자층(9004)은 이후 노출되어 남으며, 예를 들어 상기의 방법을 이용하여 제2 핸들기판(9008)로 이들이 운반된 직후에도 성장기판(9002)에 계속하여 부착된다. 성장 및 성장기판 상의 어떠한 필요공정들이 수행된 후, 각각의 전자소자(9101 및 9102) 일부는 핸들기판(9105)로 운반된다.
도 91은 핸들 기판 상에 전자소자를 제조하는 또 다른 모식도를 나타낸다. 본 구체예에 있어서, 전자소자(9101 및 9102)는 각각의 분리 성장기판(9103 및 9104) 상부에 제조된다. 이러한 방법들은 측면구조(lateral configuration)인 이종집적화된 전자소자가 핸들기판 상으로 제조될 수 있는 것을 가능하게 한다. 선택적으로, 전자소자(9101 및 9102)는 적절한 소자기판(미도시)으로 운반되기 전, 핸들기판(9105)상에서 추가적인 처리가 가해질 수 있다. 일 구체예에 있어서, 전자소자(9101)는 핸들기판(9105)로 전자소자(9102)를 운반함에 따라, 핸들기판(9105)로 먼저 운반된다. 상기 구체예에 있어서, 하나 또는 그 이상의 전자소자(9101)가 핸들기판(9105)으로 하나의 단계로 운반되고, 이어서 하나 또는 그 이상의 전자소자(9102)가 또 다른 단계로 핸들기판(9105)에 운반되는 계단식(step-wise fashion)으로 전자소자(9101 및 9102)는 핸들기판에 운반된다: 전자소자(9101 및 9102)의 추가적인 운반단계는 더욱 고려될 수 있으며, 이는 핸들기판(9105)로 추가적인 전자소자들을 운반하는 것이다.
측면구조(lateral configuration)를 대체 또는 추가하는 소자들은 수직구조로 이종집적화될 수 있다. 상기 공정을 위한 모식도를 도 92 A 및 92 B에 나타내었다. 본 구체예에 있어서, 두가지 서로 다른 발광 다이오드 소자(9201 및 9202)는 두 개의 다른 성장기판(9203 및 9204)에 각각 제조된다. 발광 다이오드 소자(9202)의 적어도 일부는 차후에 발광 다이오드 소자(9201) 상부의 핸들기판(9205)에 운반된다. 선택적으로 발광 다이오드 소자(9201)의 중간공정은 발광 다이오드 소자(9202)의 운반 전에 수행된다. 도 92 B는 핸들기판(9205)상에 수직 구조로 이종집적된 소자의 단면적을 나타낸다. 선택적으로, 추가적인 소자가 핸들기판(9205) 상에 더욱 수직 집적화된다. 일 구체예에 있어서, 발광 다이오드 소자(9201)는 청색광을 방출하고, 발광 다이오드 소자(9202)는 적색광을 방출한다.
도 93은 핸들 기판 상에 전자소자를 제조하는 또 다른 예를 나타낸다. 본 구체예에 있어서, 버퍼층(9301) 및 전자소자(9302)는 성장되고, 또한 성장기판(9303)에 선택적으로 전처리된다. 상기 구체예에 있어서, 전자소자(9302)는 n-형 GaN 영역(9304), 양자 우물 영역(quantum well region, 9305) 및 p-형 GaN 영역(9306)을 포함하는 수직형 LED이다. 버퍼층(9301) 및 전자소자(9302)들은 성장기판(9303)으로부터 분리되고, 핸들기판(9307)으로 예를 들어 상기의 방법을 이용하여 운반된다. 핸들기판(9307)과 동시에, 버퍼층(9301)은 제거되고, 또한 선택적으로 전자소자(9302)의 일부가 제거된다. 버퍼층(9301) 및 전자소자(9302)의 일부는 화학기계연마(chemical-mechanical polishing, CMP)와 같은 종래에 알려진 방법에 의해 선택적으로 제거된다. 연마된 소자(9308)은 차후에 소자기판으로 운반될 수 있고, 및/또는 핸들기판과 동시에 추가적인 처리를 받을 수 있다(미도시).
도 94는 핸들 기판 상에 전자소자를 제조하는 또 다른 예를 나타낸다. 본 구체예에 있어서, 전자소자(9401)들은 성장되고, 또한 선택적으로 성장기판(9402)상에 패터닝된다. 상기 전자소자들(9401)의 적어도 일부는 예를 들어 상기의 방법들을 이용하여 핸들기판(9403)으로 운반된다. 이때, 열관리 구조물(heat management structure, 9404)들은 핸들기판(9403)의 전자소자(9401) 상부로 패터닝된다. 열관리 구조물(9404)의 패터닝 후, 전자소자들(9401)의 적어도 일부 및 열관리 구조물들은 예를 들어 접촉프린팅법을 통해 소자기판(9405)로 운반된다. 본 구체예에 있어서, 열관리 구조물(9404)의 패터닝은 고온공정이고, 핸들기판(9403)은 상기 공정의 조건을 견디는 능력이 있으며, 그렇지 않으면 성장기판(9402) 또는 소자기판(9405)에 피해를 주거나 또는 파괴시킬 수 있다.
본 명세서를 통한 모든 참고문헌, 예를 들어 공개 또는 등록된 특허 또는 대응특허를 포함하는 특허문헌; 특허 출원 공개; 및 비특허 문헌 또는 다른 소스의 문헌들은 참고문헌으로 이들 전체가 포함되고, 참고문헌으로 개별적으로 포함되더라도 각 문헌들을 확장하는 것은 본 명세서에 기재된 것과 적어도 부분적으로 부합하지 않는다(예를 들어, 부분적으로 부합하지 않는 참고문헌은 참고문헌과 부분적으로 부합하지 않는 부분을 제외하고, 참고문헌으로 포함될 수 있다).
본 명세서에 사용된 용어 및 표현들은 묘사하기 위한 수단으로써 사용되고, 제한되지 않으며, 나타내어지고, 또한 묘사된 특징들의 어떠한 등가물 또는 이들의 일부를 제외한 상기 용어 및 표현의 사용은 의도되지 않지만, 본 발명의 청구범위 내에서 다양한 변형이 가능함을 인식해야한다. 따라서, 본 발명이 바람직한 구체예, 모범적인 구체예, 선택적인 구성에 의해 특별히 나타내어지고 있지만, 본 발명에 기재된 컨셉의 수정 및 변형은 당업자에게 받아들여질 수 있음이 이해되어야할 것이고, 상기 수정 및 변형은 첨부된 청구항에 의해 정의되는 본 발명의 범주내로 간주되어야 할 것이다. 본 명세서에서 제공하는 특정 구체예들은 본 발명의 유용한 구체예의 예들이고, 이는 당업자에게 본 발명이 상세한 설명에 기재된 장치, 소자 부품, 제조단계의 많은 변형을 이용하여 수행될 수 있음으로 나타내어질 것이다. 본 발명의 방법에 유용한 방법 및 장치는 많은 수의 선택적인 조성물 및 공정요소(processing element) 및 단계들을 포함하는 것은 당업자에게 명백한 사실일 것이다.
대체물(substituents)의 그룹이 기재될 때, 이는 그룹의 개별적인 것들 모두 및 모든 하위그룹들이 분리되어 기재되는 것으로 이해된다. 마쿠쉬(Markush)그룹 또는 다른 다른 그룹핑(grouping)이 사용될 때, 그룹의 모든 개별적인 것들 및 모든 조합물 및 그룹의 가능한 하위 조합물들은 개별적으로 포함된 것이기 위한 조치이다. 구성 또는 물질의 특정 이름은 모범적이기 위한 조치이며, 당업자가 동일한 구성 또는 물질을 다르게 명명할 수 있음이 알려져 있다.
본 명세서에서 설명되거나 또는 예를 들어진 구성의 모든 형성(formulation) 및 조합은 별도로 명시하지 않는 한, 본 발명을 실행하는데 사용될 수 있을 것이다.
본 명세서에서 범위가 주어질 때, 예를 들어, 온도 범위, 시간 범위, 또는 구성 또는 농도 범위, 모든 중간 범위(intermediate ranges) 및 하위범위(subranges)뿐만 아니라, 주어진 상기 범위에 포함되는 개별 값은 공지된 것으로 포함되기 위한 조치이다. 이는 본 명세서에 포함된 어떠한 하위범위 또는, 범위 또는 하위범위 내의 개별값이 청구항으로부터 제외될 수 있음으로 이해될 것이다.
명세서에 언급된 모든 특허 및 출판물들은 본 발명과 관련된 당업자의 기술수준을 나타내는 것이다. 본 명세서에 인용된 참고문헌들은 기술의 상태를 나타내기 위해 자신의 출판 또는 제출일을 포함하고, 상기 정보들은 필요한 경우, 특정 구체예가 종래기술에 포함되지 않도록 이용될 수 있다. 예를 들어, 문제의 구성이 주장되는 경우, 이는 인용문헌에서 제공되는 공개 가능한 구성을 포함하는 출원인의 발명보다 종래의 기술에서 알려지고 가능한 구성이 문제의 구성에 포함되는 것이 의도되지 않은 것임이 이해되어야 한다.
상기한 바와 같은, "포함하는"은 포함, 함유 또는 특징으로 하는과 동일하고, 이는 포괄적 또는 개방적이며, 또한 추가적인 인용되지 않은 요소(elements) 또는 제조단계를 제외하지 않는다. 상기한 바와 같은 이루어지는은 청구요소에 명시되지 않은 어떠한 요소(elements), 단계, 또는 구성(ingredient)을 제외한다. 상기한 바와 같은 본질적으로 이루어지는은 청구항의 기본적 및 독창적 특징에 실질적으로 영향을 주지 않는물질 또는 단계를 제외하지 않는다. 포함하는, 본질적으로 구성되는 및 구성되는용어 중 어떠한 각각의 경우도 다른 두 용어 중 하나로 대체될 수 있다. 본 명세서에서 실례가 되어 설명된 발명은 어떠한 요소 또는 요소들, 본 명세서에 특별히 기재되지 않은 제한 또는 제한들의 부재 하에도 수행될 수 있다.
당업자는 시작 물질, 시약, 합성 방법, 정화 방법, 해석 방법, 분석 방법 및 이들보다 특히 전형적인 방법들은 과도한 실험에 의지하지 않고 본 발명의 실행에 적용될 수 있는 것을 인식할 것이다. 모든 알려진 기능성 등가체, 상기 물질들 및 방법들 중 어떠한 것도 본 발명에 포함되는 것으로 의도될 것이다. 적용될 수 있는 상기 용어 및 표현들은 묘사하기 위한 수단으로써 사용되고, 제한되지 않으며, 또한 나타내어지고, 묘사된 특징들의 어떠한 등가물 또는 이들의 일부를 제외한 상기 용어 및 표현의 사용은 의도되지 않지만, 본 발명의 청구범위 내에서 다양한 변형이 가능함을 인식해야한다. 따라서, 본 발명이 바람직한 구체예, 및 선택적인 구성에 의해 특별히 나타내어지고 있지만, 본 발명에 기재된 컨셉의 수정 및 변형은 당업자에게 받아들여질 수 있음이 이해되어야할 것이고, 상기 수정 및 변형은 첨부된 청구항에 의해 정의되는 본 발명의 범주내로 간주되어야 할 것이다.

Claims (68)

  1. (111) 방위(orientation) 및 수용 표면을 가지는 실리콘 성장 기판을 제공하는 단계;
    상기 성장 기판의 수용 표면 상에 에피텍셜 성장을 통해 GaN 다층구조를 생성시키되, 상기 GaN 다층구조는 n-형 GaN층과 전기적 접촉하는 p-형 GaN 층을 포함하며, 상기 GaN 다층구조는 접촉면을 가지도록 GaN 다층구조를 생성시키는 단계;
    마스크를 통해 상기 GaN 다층구조의 접촉면을 패터닝하고, 이에 따라 노출 영역 및 GaN 다층구조의 하나 또는 그 이상인 마스킹 영역을 생성시키는 단계;
    상기 노출 영역 및 상기 성장 기판의 내부를 식각함으로써 노출 영역으로부터 물질을 제거하고, 이에 따라 상기 성장 기판의 일부를 노출시키고, 또한 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
    상기 성장 기판의 노출 영역을 이방성 식각함으로써 상기 성장기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 일부를 박리시키는 단계; 및
    상기 성장 기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 일부분을 건식 운반 접촉 프린팅을 통해 소자 기판으로 운반하고, 이에 따라 LED의 어레이를 제조하는 단계;를 포함하는 LED의 어레이(array) 제조방법.
  2. 제1항에 있어서, 상기 물질 제거단계는 상기 성장 기판 내부를 5 nm 이상의 깊이로 식각하는 것을 특징으로 하는 LED의 어레이 제조방법.
  3. 제1항에 있어서, 상기 물질 제거단계는 상기 성장 기판 내부를 5 nm 내지 10 ㎛ 범위에서 선택되는 평균깊이로 식각하는 것을 특징으로 하는 LED의 어레이 제조방법.
  4. 제1항에 있어서, 상기 성장 기판의 <110> 방향을 따라 상기 이방성 식각이 우선적으로 수행되는 것을 특징으로 하는 LED의 어레이 제조방법.
  5. 제1항에 있어서, 상기 이방성 식각은 이방성 식각액(anisotropic etchant) 을 이용한 방향성 습식 식각(directional wet etching)을 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  6. 제5항에 있어서, 상기 이방성 식각액은 수산화칼륨(potassium hydroxide) 또는 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide, TMAH)인 것을 특징으로 하는 LED의 어레이 제조방법.
  7. 제1항에 있어서, 상기 물질 제거 단계는 상기 노출 영역으로부터 상기 LED 소자 구조물의 측면을 노출시키고, 상기 LED의 어레이 제조방법은 상기 측면의 일부분을 상기 성장기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 일부를 박리시키는 단계에 우선하여 마스킹하는 단계를 더 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  8. 수용 표면을 가지는 사파이어 성장 기판을 제공하는 단계;
    상기 성장 기판의 수용 표면 상에 희생층을 제공하는 단계;
    상기 희생층 상에 에피텍셜 성장을 통해 GaN 다층구조를 생성시키되, 상기 GaN 다층구조는 n-형 GaN층과 전기적 첩촉을 하는 p-형 GaN층을 포함하고, 상기 GaN 다층구조는 접촉면을 가지도록 GaN 다층구조를 생성시키는 단계;
    마스크를 통해 상기 GaN 다층구조의 접촉면을 패터닝하고, 이에 따라 노출 영역 및 상기 GaN 다층구조의 하나 또는 그 이상의 마스킹 영역을 생성시키는 단계;
    상기 노출된 영역을 식각함으로써 상기 노출영역으로부터 물질을 제거하고, 이에 따라 상기 희생층의 의 일부를 노출시키며, 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
    방향성 식각, 전기화학적 식각 또는 광전기화학적 식각을 이용하여 상기 희생층의 일부를 제거함으로써 상기 성장기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 일부를 박리시키는 단계; 및
    하나 또는 그 이상의 LED 소자 구조물의 일부를 상기 성장기판으로부터 건식 운반 접촉 프린팅을 통해 소자 기판으로 운반하고,
    이에 따라 LED의 어레이를 제조하는 단계;를 포함하는 LED의 어레이 제조방법.
  9. 제8항에 있어서, 상기 희생층은 SiO2, AlAs, Si3N4, ZnO, AlN, HfN 또는 AlInN를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  10. 제8항에 있어서, 상기 GaN 다층구조 및 상기 희생층 사이로 버퍼층 또는 식각 블럭층(etch block layer)이 제공되는 것을 특징으로 하는 LED의 어레이 제조방법.
  11. 제10항에 있어서, 상기 버퍼층 또는 상기 식각 블럭층은 GaN을 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  12. 제8항에 있어서, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 상기 희생층을 선택적 식각액(selective etchant)으로 노출시키는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  13. 제12항에 있어서, 상기 선택적 식각액은 HCl, HF, H3PO4, 수산화칼륨, 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide), 에틸렌디아민(ethylenediamine), 파이로카테콜(pyrocatechol), 하이드라진 킬레이팅 아민(hydrazine chelating amines), 1,2-디아미노에탄, NaOH 또는 이들의 조합인 것을 특징으로 하는 LED의 어레이 제조방법.
  14. 제12항에 있어서, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 상기 희생층을 100 내지 800 nm 범위에서 선택되는 파장을 가지는 전자기 방사선으로 노출시키는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  15. 제14항에 있어서, 상기 희생층은 제논 램프로부터 전자기 방사선에 노출되는 것을 특징으로 하는 LED의 어레이 제조방법.
  16. 제14항에 있어서, 상기 희생층을 노출시키기에 앞서서 도핑되지 않은 GaN 필름을 통해 전자기 방사선을 통과시키는 단계를 더 포함하고, 이에 따라 상기 도핑되지 않은 GaN 필름에 의해 흡수된 적어도 일부의 전자기 방사선을 제거하기 위해 전자기 방사선을 광학적 필터링하는 것을 특징으로 하는 LED의 어레이 제조방법.
  17. 제8항에 있어서, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 600 mV 내지 800 mV 범위로부터 선택되는 전위에서 상기 희생층을 제공하는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  18. 제8항에 있어서, 상기 희생층 상에 성장된GaN 다층구조는 ZnO를 포함하고, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 NH4Cl 식각액으로 상기 희생층을 식각하는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  19. 수용 표면을 가지는 사파이어 성장 기판을 제공하는 단계;
    상기 사파이어 성장 기판의 수용 표면 상에 희생층을 제공하는 단계;
    상기 희생층 상에 식각 블럭층을 제공하는 단계;
    상기 식각 블럭층 상에 에피텍셜 성장을 통해 GaN 다층구조를 생성시키되,
    상기 GaN 다층구조는 n-형 GaN층과 전기적 첩촉을 하는 p-형 GaN층을 포함하고, 상기 GaN 다층구조는 접촉면을 가지도록 GaN 다층구조를 생성시키는 단계;
    마스크를 통해 상기 GaN 다층구조의 접촉면을 패터닝하고, 이에 따라 노출 영역 및 상기 GaN 다층구조의 하나 또는 그 이상의 마스킹 영역을 생성시키는 단계;
    상기 노출 영역을 식각하여 노출영역으로부터 물질을 제거하고, 이에 따라 상기 희생층의 일부를 노출시키고, 하나 또는 그 이상의 LED 소자 구조물을 생성시키는 단계;
    방향성 식각, 전기화학적 식각 또는 광전기화학적 식각을 이용하여 상기 희생층의 일부를 제거함으로써, 상기 성장 기판으로부터 하나 또는 그 이상의 LED 소자 구조물의 일부를 박리시키는 단계;
    하나 또는 그 이상의 LED 소자구조물의 일부를 건식 운반 접촉 프린트를 통해 상기 성장 기판으로부터 소자 기판으로 운반하고, 이에 따라 LED의 어레이를 제조하는 단계;를 포함하는 LED의 어레이 제조방법.
  20. 제19항에 있어서, 상기 희생층은 SiO2, AlAs, Si3N4, ZnO, AlN, HfN 또는 AlInN인 것을 특징으로 하는 LED의 어레이 제조방법.
  21. 제19항에 있어서, 상기 식각 블럭층은 GaN을 포함하는 것을 특징으로 하는LED의 어레이 제조방법.
  22. 제21항에 있어서, 상기 식각 블럭층은 에피텍셜 성장을 통해 생성되는 것을 특징으로 하는 LED의 어레이 제조방법.
  23. 제19항에 있어서, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 상기 희생층을 선택적 식각액으로 노출시키는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  24. 제23항에 있어서, 상기 선택적 식각액은 HCl, HF, H3PO4, 수산화칼륨, 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide), 에틸렌디아민(ethylenediamine), 파이로카테콜(pyrocatechol), 하이드라진 킬레이팅 아민(hydrazine chelating amines), 1,2-디아미노에탄, NaOH 또는 이들의 조합인 것을 특징으로 하는 LED의 어레이 제조방법.
  25. 제19항에 있어서, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 상기 희생층을 100 nm 내지 800 nm 범위에서 선택되는 파장을 가지는 전자기 방사선으로 노출시키는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.
  26. 제25항에 있어서, 상기 희생층은 제논 램프로부터 전자기 방사선에 노출되는 것을 특징으로 하는 LED의 어레이 제조방법.
  27. 제25항에 있어서, 상기 희생층을 노출시키기에 앞서서, 도핑되지 않은 GaN 필름을 통해 전자기 방사선을 통과시키는 단계를 더 포함하고, 이에 따라 상기 도핑되지 않은 GaN 필름에 의해 흡수된 적어도 일부의 전자기 방사선을 제거하기 위해 전자기 방사선을 광학적 필터링하는 것을 특징으로 하는 LED의 어레이 제조방법.
  28. 제19항에 있어서, 상기 LED 소자 구조물의 일부를 박리시키는 단계는 600 mV 내지 800 mV 범위로부터 선택되는 전위에서 상기 희생층을 제공하는 단계를 포함하는 것을 특징으로 하는 LED의 어레이 제조방법.



  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
KR1020177003480A 2009-05-12 2010-05-12 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리 KR101870690B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17745809P 2009-05-12 2009-05-12
US61/177,458 2009-05-12
US24146509P 2009-09-11 2009-09-11
US61/241,465 2009-09-11
PCT/US2010/034520 WO2010132552A1 (en) 2009-05-12 2010-05-12 Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117029750A Division KR101706915B1 (ko) 2009-05-12 2010-05-12 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리

Publications (2)

Publication Number Publication Date
KR20170018112A KR20170018112A (ko) 2017-02-15
KR101870690B1 true KR101870690B1 (ko) 2018-06-25

Family

ID=43085312

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177003480A KR101870690B1 (ko) 2009-05-12 2010-05-12 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
KR1020117029750A KR101706915B1 (ko) 2009-05-12 2010-05-12 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117029750A KR101706915B1 (ko) 2009-05-12 2010-05-12 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리

Country Status (5)

Country Link
US (3) US8865489B2 (ko)
EP (1) EP2430652B1 (ko)
KR (2) KR101870690B1 (ko)
TW (3) TWI592996B (ko)
WO (1) WO2010132552A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113448004A (zh) * 2021-07-15 2021-09-28 天津华慧芯科技集团有限公司 一种在二维材料上加工光栅的方法
US11270983B2 (en) 2018-10-15 2022-03-08 Semtech Corporation System and method for providing mechanical isolation of assembled diodes
WO2022159348A1 (en) * 2021-01-21 2022-07-28 Tectus Corporation Ultra-dense array of leds with half cavities and reflective sidewalls, and hybrid bonding methods
US11476387B2 (en) 2019-11-22 2022-10-18 Tectus Corporation Ultra-dense array of LEDs with half cavities and reflective sidewalls, and hybrid bonding methods

Families Citing this family (549)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
WO2005122285A2 (en) 2004-06-04 2005-12-22 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
MY149190A (en) 2006-09-20 2013-07-31 Univ Illinois Release strategies for making transferable semiconductor structures, devices and device components
KR101519038B1 (ko) 2007-01-17 2015-05-11 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 프린팅­기반 어셈블리에 의해 제조되는 광학 시스템
CN102113089B (zh) 2008-03-05 2014-04-23 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
US8053264B2 (en) * 2008-05-12 2011-11-08 The Regents Of The University Of California Photoelectrochemical etching of P-type semiconductor heterostructures
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US9123614B2 (en) 2008-10-07 2015-09-01 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US9119533B2 (en) 2008-10-07 2015-09-01 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US9289132B2 (en) 2008-10-07 2016-03-22 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
KR20110067046A (ko) * 2008-10-09 2011-06-20 더 리전츠 오브 더 유니버시티 오브 캘리포니아 발광 다이오드의 칩 형상화를 위한 광전기화학 식각
MX2011008352A (es) 2009-02-09 2011-11-28 Semprius Inc Modulos, receptores y sub-receptores fotovoltaicos tipo concentrador y metodos para formar los mismos.
US8380776B2 (en) * 2009-03-02 2013-02-19 The Yokohama Rubber Co., Ltd. Computational method of material constant of composite material and volume fraction of material component in composite material, and recording medium
US8877648B2 (en) 2009-03-26 2014-11-04 Semprius, Inc. Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby
US8170846B2 (en) * 2009-04-14 2012-05-01 The Yokohama Rubber Co., Ltd. Computation method of mechanical material constant of composite material and volume fraction of material component in composite material, and recording medium
EP2430652B1 (en) 2009-05-12 2019-11-20 The Board of Trustees of the University of Illionis Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US8105928B2 (en) * 2009-11-04 2012-01-31 International Business Machines Corporation Graphene based switching device having a tunable bandgap
WO2011069242A1 (en) * 2009-12-09 2011-06-16 Cooledge Lighting Inc. Semiconductor dice transfer-enabling apparatus and method for manufacturing transfer-enabling apparatus
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
JP6046491B2 (ja) 2009-12-16 2016-12-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ コンフォーマル電子機器を使用した生体内での電気生理学
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US8334152B2 (en) 2009-12-18 2012-12-18 Cooledge Lighting, Inc. Method of manufacturing transferable elements incorporating radiation enabled lift off for allowing transfer from host substrate
KR101149677B1 (ko) * 2010-01-20 2012-07-11 주식회사 엘지실트론 플렉서블 소자 제조방법 및 이에 의하여 제조된 플렉서블 소자, 태양전지, led
EP2529394A4 (en) 2010-01-27 2017-11-15 Yale University Conductivity based selective etch for gan devices and applications thereof
US10500770B2 (en) * 2010-03-02 2019-12-10 So-Semi Technologies, Llc LED packaging with integrated optics and methods of manufacturing the same
CN102892356B (zh) 2010-03-17 2016-01-13 伊利诺伊大学评议会 基于生物可吸收基质的可植入生物医学装置
US8858022B2 (en) 2011-05-05 2014-10-14 Ledengin, Inc. Spot TIR lens system for small high-power emitter
US8993358B2 (en) 2011-12-28 2015-03-31 Ledengin, Inc. Deposition of phosphor on die top by stencil printing
KR101007125B1 (ko) * 2010-04-13 2011-01-10 엘지이노텍 주식회사 발광 소자, 발광 소자 제조방법 및 발광 소자 패키지
US20110278040A1 (en) * 2010-05-13 2011-11-17 Los Alamos National Security, Llc Elastic conductor
US9287452B2 (en) 2010-08-09 2016-03-15 Micron Technology, Inc. Solid state lighting devices with dielectric insulation and methods of manufacturing
US9142468B2 (en) 2010-08-26 2015-09-22 Semprius, Inc. Structures and methods for testing printable integrated circuits
US8338199B2 (en) * 2010-08-27 2012-12-25 Quarkstar Llc Solid state light sheet for general illumination
US8210716B2 (en) * 2010-08-27 2012-07-03 Quarkstar Llc Solid state bidirectional light sheet for general illumination
US8461602B2 (en) 2010-08-27 2013-06-11 Quarkstar Llc Solid state light sheet using thin LEDs for general illumination
US8198109B2 (en) * 2010-08-27 2012-06-12 Quarkstar Llc Manufacturing methods for solid state light sheet or strip with LEDs connected in series for general illumination
US9171883B2 (en) * 2010-08-30 2015-10-27 Epistar Corporation Light emitting device
GB2484711A (en) * 2010-10-21 2012-04-25 Optovate Ltd Illumination Apparatus
GB2484713A (en) * 2010-10-21 2012-04-25 Optovate Ltd Illumination apparatus
CN102456784B (zh) * 2010-10-29 2014-10-15 展晶科技(深圳)有限公司 发光二极管及其制造方法
US8192051B2 (en) * 2010-11-01 2012-06-05 Quarkstar Llc Bidirectional LED light sheet
US9899329B2 (en) 2010-11-23 2018-02-20 X-Celeprint Limited Interconnection structures and methods for transfer-printed integrated circuit elements with improved interconnection alignment tolerance
US8932898B2 (en) 2011-01-14 2015-01-13 The Board Of Trustees Of The Leland Stanford Junior Univerity Deposition and post-processing techniques for transparent conductive films
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
KR101177480B1 (ko) 2011-02-14 2012-08-24 엘지전자 주식회사 조명 장치 및 이를 포함하는 디스플레이 장치
US8314566B2 (en) 2011-02-22 2012-11-20 Quarkstar Llc Solid state lamp using light emitting strips
US8410726B2 (en) * 2011-02-22 2013-04-02 Quarkstar Llc Solid state lamp using modular light emitting elements
EP2681538B1 (en) 2011-03-11 2019-03-06 Mc10, Inc. Integrated devices to facilitate quantitative assays and diagnostics
US8841207B2 (en) * 2011-04-08 2014-09-23 Lux Material Co., Ltd. Reusable substrates for electronic device fabrication and methods thereof
US8409892B2 (en) * 2011-04-14 2013-04-02 Opto Tech Corporation Method of selective photo-enhanced wet oxidation for nitride layer regrowth on substrates
WO2012158709A1 (en) * 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
CN102790045A (zh) * 2011-05-18 2012-11-21 展晶科技(深圳)有限公司 发光二极管阵列及其制造方法
SG195119A1 (en) * 2011-05-23 2013-12-30 Univ Singapore Method of transferring thin films
KR102000302B1 (ko) 2011-05-27 2019-07-15 엠씨10, 인크 전자, 광학, 및/또는 기계 장치 및 시스템, 그리고 이를 제조하기 위한 방법
EP2713863B1 (en) 2011-06-03 2020-01-15 The Board of Trustees of the University of Illionis Conformable actively multiplexed high-density surface electrode array for brain interfacing
US8934259B2 (en) 2011-06-08 2015-01-13 Semprius, Inc. Substrates with transferable chiplets
US9306117B2 (en) 2011-07-25 2016-04-05 Industrial Technology Research Institute Transfer-bonding method for light emitting devices
DE112012003250T5 (de) 2011-08-05 2014-04-30 Mc10, Inc. Katheder Ballon-Verfahren und Vorrichtung unter Einsatz von Abtastelementen
CN102916028B (zh) * 2011-08-05 2015-07-08 展晶科技(深圳)有限公司 发光二极管阵列及其制造方法
US9757050B2 (en) 2011-08-05 2017-09-12 Mc10, Inc. Catheter balloon employing force sensing elements
WO2013033724A1 (en) 2011-09-01 2013-03-07 Mc10, Inc. Electronics for detection of a condition of tissue
JP6133296B2 (ja) * 2011-09-06 2017-05-24 フィリップス ライティング ホールディング ビー ヴィ 3dトポグラフィを含むワイヤベースの照明モジュール
US20130056749A1 (en) * 2011-09-07 2013-03-07 Michael Tischler Broad-area lighting systems
US9412727B2 (en) 2011-09-20 2016-08-09 Semprius, Inc. Printing transferable components using microstructured elastomeric surfaces with pressure modulated reversible adhesion
US8492187B2 (en) 2011-09-29 2013-07-23 International Business Machines Corporation High throughput epitaxial liftoff for releasing multiple semiconductor device layers from a single base substrate
JP2014532307A (ja) * 2011-09-30 2014-12-04 マイクロリンク デバイシズ,インコーポレーテッド エピタキシャルリフトオフによって製造される発光ダイオード
JP5806903B2 (ja) * 2011-09-30 2015-11-10 富士フイルム株式会社 ナノインプリント方法およびそれに用いられるレジスト組成物
US20120175667A1 (en) * 2011-10-03 2012-07-12 Golle Aaron J Led light disposed on a flexible substrate and connected with a printed 3d conductor
JP6277130B2 (ja) 2011-10-05 2018-02-14 エムシーテン、インコーポレイテッド 医療用の装置およびそれの製造方法
US9620478B2 (en) 2011-11-18 2017-04-11 Apple Inc. Method of fabricating a micro device transfer head
US8573469B2 (en) 2011-11-18 2013-11-05 LuxVue Technology Corporation Method of forming a micro LED structure and array of micro LED structures with an electrically insulating layer
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8912020B2 (en) * 2011-11-23 2014-12-16 International Business Machines Corporation Integrating active matrix inorganic light emitting diodes for display devices
KR101979354B1 (ko) 2011-12-01 2019-08-29 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 프로그램 변형을 실행하도록 설계된 과도 장치
US8828759B2 (en) 2011-12-06 2014-09-09 Cooledge Lighting Inc. Formation of uniform phosphor regions for broad-area lighting systems
US20140339566A1 (en) * 2011-12-14 2014-11-20 Seoul Viosys Co., Ltd. Semiconductor device and method of fabricating the same
KR101423921B1 (ko) * 2011-12-19 2014-07-28 광주과학기술원 요철 구조를 이용한 전사 인쇄용 기판의 제조방법, 이에 의해 제조된 전사 인쇄용 기판 및 이의 응용
US9005709B2 (en) 2011-12-19 2015-04-14 Gwangju Institute Of Science And Technology Method for fabricating transfer printing substrate using concave-convex structure, transfer printing substrate fabricated thereby and application thereof
WO2013096841A1 (en) * 2011-12-22 2013-06-27 The Trustees Of Columbia University In The City Of New York Assisted transfer of graphene
US9223138B2 (en) 2011-12-23 2015-12-29 Microsoft Technology Licensing, Llc Pixel opacity for augmented reality
US8917453B2 (en) 2011-12-23 2014-12-23 Microsoft Corporation Reflective array waveguide
WO2013100995A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Photonic package architecture
US8900892B2 (en) 2011-12-28 2014-12-02 Ledengin, Inc. Printing phosphor on LED wafer using dry film lithography
US8638498B2 (en) 2012-01-04 2014-01-28 David D. Bohn Eyebox adjustment for interpupillary distance
US8810600B2 (en) 2012-01-23 2014-08-19 Microsoft Corporation Wearable display device calibration
US9606586B2 (en) 2012-01-23 2017-03-28 Microsoft Technology Licensing, Llc Heat transfer device
WO2013112435A1 (en) 2012-01-24 2013-08-01 Cooledge Lighting Inc. Light - emitting devices having discrete phosphor chips and fabrication methods
US8896010B2 (en) 2012-01-24 2014-11-25 Cooledge Lighting Inc. Wafer-level flip chip device packages and related methods
US8907362B2 (en) 2012-01-24 2014-12-09 Cooledge Lighting Inc. Light-emitting dies incorporating wavelength-conversion materials and related methods
US9779643B2 (en) 2012-02-15 2017-10-03 Microsoft Technology Licensing, Llc Imaging structure emitter configurations
US9726887B2 (en) 2012-02-15 2017-08-08 Microsoft Technology Licensing, Llc Imaging structure color conversion
US9297996B2 (en) 2012-02-15 2016-03-29 Microsoft Technology Licensing, Llc Laser illumination scanning
US9368546B2 (en) 2012-02-15 2016-06-14 Microsoft Technology Licensing, Llc Imaging structure with embedded light sources
CN103258716B (zh) * 2012-02-16 2016-03-09 财团法人工业技术研究院 制作具有织化表面的半导体层的方法、制作太阳能电池的方法
US9578318B2 (en) 2012-03-14 2017-02-21 Microsoft Technology Licensing, Llc Imaging structure emitter calibration
US11068049B2 (en) 2012-03-23 2021-07-20 Microsoft Technology Licensing, Llc Light guide display and field of view
US10191515B2 (en) 2012-03-28 2019-01-29 Microsoft Technology Licensing, Llc Mobile device light guide display
US9558590B2 (en) 2012-03-28 2017-01-31 Microsoft Technology Licensing, Llc Augmented reality light guide display
CN105283122B (zh) 2012-03-30 2020-02-18 伊利诺伊大学评议会 可共形于表面的可安装于附肢的电子器件
WO2013150427A1 (en) * 2012-04-05 2013-10-10 Koninklijke Philips N.V. Led thin-film device partial singulation prior to substrate thinning or removal
US9717981B2 (en) 2012-04-05 2017-08-01 Microsoft Technology Licensing, Llc Augmented reality and physical games
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9105492B2 (en) 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
US20130309792A1 (en) * 2012-05-21 2013-11-21 Michael A. Tischler Light-emitting dies incorporating wavelength-conversion materials and related methods
US10502876B2 (en) 2012-05-22 2019-12-10 Microsoft Technology Licensing, Llc Waveguide optics focus elements
US9034754B2 (en) 2012-05-25 2015-05-19 LuxVue Technology Corporation Method of forming a micro device transfer head with silicon electrode
US8989535B2 (en) 2012-06-04 2015-03-24 Microsoft Technology Licensing, Llc Multiple waveguide imaging structure
US9226402B2 (en) 2012-06-11 2015-12-29 Mc10, Inc. Strain isolation structures for stretchable electronics
US9247637B2 (en) 2012-06-11 2016-01-26 Mc10, Inc. Strain relief structures for stretchable interconnects
US9583353B2 (en) * 2012-06-28 2017-02-28 Yale University Lateral electrochemical etching of III-nitride materials for microfabrication
US9295842B2 (en) 2012-07-05 2016-03-29 Mc10, Inc. Catheter or guidewire device including flow sensing and use thereof
JP2015521894A (ja) 2012-07-05 2015-08-03 エムシー10 インコーポレイテッドMc10,Inc. 流量センシングを含むカテーテルデバイス
US8569115B1 (en) 2012-07-06 2013-10-29 LuxVue Technology Corporation Method of forming a compliant bipolar micro device transfer head with silicon electrodes
CN104488095B (zh) * 2012-07-10 2018-05-01 欧司朗光电半导体有限公司 封装光电器件的方法及发光二极管芯片
US9117667B2 (en) * 2012-07-11 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Carbon layer and method of manufacture
US9356070B2 (en) 2012-08-15 2016-05-31 Epistar Corporation Light-emitting device
US20140048824A1 (en) 2012-08-15 2014-02-20 Epistar Corporation Light-emitting device
WO2014036002A1 (en) * 2012-08-28 2014-03-06 Northeastern University Tunable heterojunction for multifunctional electronics and photovoltaics
CN103681644B (zh) * 2012-09-14 2016-08-17 晶元光电股份有限公司 具有改进的热耗散和光提取的高压led
US9076950B2 (en) 2012-09-14 2015-07-07 Tsmc Solid State Lighting Ltd. High voltage LED with improved heat dissipation and light extraction
US8941215B2 (en) 2012-09-24 2015-01-27 LuxVue Technology Corporation Micro device stabilization post
US8835940B2 (en) 2012-09-24 2014-09-16 LuxVue Technology Corporation Micro device stabilization post
US9490240B2 (en) 2012-09-28 2016-11-08 Intel Corporation Film interposer for integrated circuit devices
KR101933621B1 (ko) 2012-09-28 2018-12-28 삼성전자주식회사 소포를 분리하기 위한 조성물, 키트 및 이를 이용하여 소포를 분리하는 방법
DE102012217957B4 (de) * 2012-10-01 2014-10-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung einer Mikro-LED-Matrix
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
US9082025B2 (en) 2012-10-09 2015-07-14 Mc10, Inc. Conformal electronics integrated with apparel
KR101258120B1 (ko) * 2012-10-09 2013-04-25 주식회사 이티엘 필름형 인쇄회로 부착방식의 led 조명 모듈.
KR101241271B1 (ko) * 2012-10-09 2013-03-15 주식회사 이티엘 필름형 인쇄회로 부착방식의 led 모듈 제조 방법
US9558721B2 (en) 2012-10-15 2017-01-31 Apple Inc. Content-based adaptive refresh schemes for low-power displays
WO2014066740A1 (en) * 2012-10-26 2014-05-01 Element Six Technologies Us Corporation Semiconductor devices with improved reliability and operating life and methods of manufacturing the same
US9076945B2 (en) * 2012-10-26 2015-07-07 Glo Ab Nanowire LED structure and method for manufacturing the same
TWI524825B (zh) 2012-10-29 2016-03-01 財團法人工業技術研究院 碳材導電膜的轉印方法
CN108447855B (zh) 2012-11-12 2020-11-24 晶元光电股份有限公司 半导体光电元件的制作方法
KR101955335B1 (ko) * 2012-11-14 2019-03-07 삼성전자주식회사 스탬프 구조체 및 이를 이용한 전사 방법
KR101984934B1 (ko) * 2012-11-21 2019-09-03 서울바이오시스 주식회사 기판 재생 방법 및 재생 기판
JP6234787B2 (ja) 2012-11-21 2017-11-22 ソウル バイオシス カンパニー リミテッドSeoul Viosys Co.,Ltd. 基板再生方法及び再生基板
KR101968637B1 (ko) * 2012-12-07 2019-04-12 삼성전자주식회사 유연성 반도체소자 및 그 제조방법
US9236815B2 (en) 2012-12-10 2016-01-12 LuxVue Technology Corporation Compliant micro device transfer head array with metal electrodes
US9255001B2 (en) 2012-12-10 2016-02-09 LuxVue Technology Corporation Micro device transfer head array with metal electrodes
US9166114B2 (en) 2012-12-11 2015-10-20 LuxVue Technology Corporation Stabilization structure including sacrificial release layer and staging cavity
US9153171B2 (en) 2012-12-17 2015-10-06 LuxVue Technology Corporation Smart pixel lighting and display microcontroller
US10192358B2 (en) 2012-12-20 2019-01-29 Microsoft Technology Licensing, Llc Auto-stereoscopic augmented reality display
KR20140085198A (ko) * 2012-12-27 2014-07-07 서울바이오시스 주식회사 마스크 패턴을 이용한 기판 분리 방법 및 반도체 소자 제조 방법
US10040018B2 (en) 2013-01-09 2018-08-07 Imagine Tf, Llc Fluid filters and methods of use
US9177992B2 (en) * 2013-01-09 2015-11-03 Nthdegree Technologies Worldwide Inc. Active LED module with LED and transistor formed on same substrate
US9824851B2 (en) * 2013-01-20 2017-11-21 William M. Tong Charge drain coating for electron-optical MEMS
US9613911B2 (en) 2013-02-06 2017-04-04 The Board Of Trustees Of The University Of Illinois Self-similar and fractal design for stretchable electronics
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
US10840536B2 (en) 2013-02-06 2020-11-17 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with containment chambers
WO2014126927A1 (en) 2013-02-13 2014-08-21 The Board Of Trustees Of The University Of Illinois Injectable and implantable cellular-scale electronic devices
US20140234995A1 (en) * 2013-02-18 2014-08-21 Regents Of The University Of Minnesota Method of forming flexible and tunable semiconductor photonic circuits
JP6083253B2 (ja) * 2013-02-21 2017-02-22 日亜化学工業株式会社 発光装置の積層体
US9768271B2 (en) * 2013-02-22 2017-09-19 Micron Technology, Inc. Methods, devices, and systems related to forming semiconductor power devices with a handle substrate
US8748324B1 (en) * 2013-02-26 2014-06-10 The Boeing Company Systems and methods for separating components of a multilayer stack of electronic components
KR102077742B1 (ko) 2013-02-27 2020-02-14 삼성전자주식회사 반도체 요소 전사 방법
US9059335B2 (en) * 2013-02-27 2015-06-16 Wisconsin Alumni Research Foundation Anisotropic conducting films for electromagnetic radiation applications
US10154626B2 (en) * 2013-03-07 2018-12-18 Xiamen Sanan Optoelectronics Technology Co., Ltd. LED for plant illumination
CN103137818B (zh) * 2013-03-07 2016-03-30 天津三安光电有限公司 一种用于植物照明的led
WO2014138465A1 (en) * 2013-03-08 2014-09-12 The Board Of Trustees Of The University Of Illinois Processing techniques for silicon-based transient devices
US9913371B2 (en) 2013-03-14 2018-03-06 Nthdegree Technologies Worldwide Inc. Printing complex electronic circuits using a patterned hydrophobic layer
US9572249B2 (en) * 2013-03-14 2017-02-14 Nthdegree Technologies Worldwide Inc. Printing complex electronic circuits
US9234801B2 (en) 2013-03-15 2016-01-12 Ledengin, Inc. Manufacturing method for LED emitter with high color consistency
WO2014150263A1 (en) * 2013-03-15 2014-09-25 Ledengin, Inc. Printing phosphor on led wafer using dry film lithography
US9327649B2 (en) * 2013-03-15 2016-05-03 Magna Mirrors Of America, Inc. Rearview mirror assembly
WO2014165686A2 (en) 2013-04-04 2014-10-09 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
JP6578562B2 (ja) 2013-04-12 2019-09-25 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 無機及び有機の過渡電子デバイス
US10292263B2 (en) 2013-04-12 2019-05-14 The Board Of Trustees Of The University Of Illinois Biodegradable materials for multilayer transient printed circuit boards
KR101331226B1 (ko) * 2013-04-16 2013-11-19 (주)에스이피 표면에 나노 패턴이 형성된 면 발광 투명 기판 및 이를 이용한 면 발광 패널
US9484504B2 (en) 2013-05-14 2016-11-01 Apple Inc. Micro LED with wavelength conversion layer
US9706647B2 (en) 2013-05-14 2017-07-11 Mc10, Inc. Conformal electronics including nested serpentine interconnects
CN103280404B (zh) * 2013-05-17 2015-09-30 中国科学院上海微系统与信息技术研究所 一种基于竖直石墨烯的场发射电极的图形化制备方法
KR101766704B1 (ko) * 2013-06-26 2017-08-09 에피스타 코포레이션 발광소자 및 그 제조방법
US20170271548A1 (en) 2013-06-26 2017-09-21 Epistar Corporation Light-emitting device and manufacturing method thereof
TWI566427B (zh) * 2013-07-05 2017-01-11 晶元光電股份有限公司 發光元件及其製造方法
JP6131388B2 (ja) 2013-07-05 2017-05-17 晶元光▲電▼股▲ふん▼有限公司 発光デバイス及びその製造方法
US9035279B2 (en) 2013-07-08 2015-05-19 LuxVue Technology Corporation Micro device with stabilization post
CN104798177B (zh) * 2013-07-18 2017-03-15 日本碍子株式会社 半导体用复合基板的操作基板
US9299899B2 (en) 2013-07-23 2016-03-29 Grote Industries, Llc Flexible lighting device having unobtrusive conductive layers
US9214614B2 (en) 2013-07-23 2015-12-15 Grote Industries, Llc Flexible lighting device having unobtrusive conductive layers
US9087764B2 (en) 2013-07-26 2015-07-21 LuxVue Technology Corporation Adhesive wafer bonding with controlled thickness variation
JP2016527649A (ja) 2013-08-05 2016-09-08 エムシー10 インコーポレイテッドMc10,Inc. 適合する電子機器を含む可撓性温度センサ
DE102013108583A1 (de) * 2013-08-08 2015-03-05 Osram Opto Semiconductors Gmbh Verfahren zum Vereinzeln eines Verbundes in Halbleiterchips und Halbleiterchip
US9153548B2 (en) 2013-09-16 2015-10-06 Lux Vue Technology Corporation Adhesive wafer bonding with sacrificial spacers for controlled thickness variation
EP3052017B1 (en) 2013-10-02 2019-12-11 The Board of Trustees of the University of Illionis Organ mounted electronics
JP2016532468A (ja) 2013-10-07 2016-10-20 エムシー10 インコーポレイテッドMc10,Inc. 検知および分析のためのコンフォーマルセンサシステム
TWI665721B (zh) * 2013-11-11 2019-07-11 美國密西根州立大學 用於磊晶剝離方法之熱輔助冷焊接合
EP3071096A4 (en) 2013-11-22 2017-08-09 Mc10, Inc. Conformal sensor systems for sensing and analysis of cardiac activity
US20170005235A1 (en) * 2013-11-27 2017-01-05 Princeton University Light emitting diode, photodiode, displays, and method for forming the same
KR101521939B1 (ko) * 2013-12-17 2015-05-20 엘지전자 주식회사 반도체 발광 소자를 이용한 디스플레이 장치 및 이의 제조방법
TWI480357B (zh) 2013-12-17 2015-04-11 Ind Tech Res Inst 導電膠組成物與電極的形成方法
US9660064B2 (en) * 2013-12-26 2017-05-23 Intel Corporation Low sheet resistance GaN channel on Si substrates using InAlN and AlGaN bi-layer capping stack
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
WO2015103580A2 (en) 2014-01-06 2015-07-09 Mc10, Inc. Encapsulated conformal electronic systems and devices, and methods of making and using the same
EP3095137A2 (en) * 2014-01-15 2016-11-23 The Regents Of The University Of Michigan Integration of epitaxial lift-off solar cells with mini-parabolic concentrator arrays via printing method
WO2015119858A1 (en) 2014-02-05 2015-08-13 Cooledge Lighting Inc. Light-emitting dies incorporating wavelength-conversion materials and related methods
US10833054B2 (en) * 2014-02-08 2020-11-10 Cree Huizhou Solid State Lighting Company Limited Smart pixel surface mount device package
JP6637896B2 (ja) 2014-03-04 2020-01-29 エムシー10 インコーポレイテッドMc10,Inc. 電子デバイス用の可撓性を有するマルチパート封止ハウジングを備えるコンフォーマルなicデバイス
KR102227085B1 (ko) * 2014-03-05 2021-03-12 엘지전자 주식회사 반도체 발광 소자를 이용한 디스플레이 장치
WO2015138712A1 (en) 2014-03-12 2015-09-17 Mc10, Inc. Quantification of a change in assay
US10492703B2 (en) * 2014-03-28 2019-12-03 Board Of Regents, The University Of Texas System Epidermal sensor system and process
US10141465B2 (en) 2014-04-04 2018-11-27 The Regents Of The University Of Michigan Epitaxial lift-off processed GaAs thin-film solar cells integrated with non-tracking mini-compound parabolic concentrators
US9195358B1 (en) * 2014-04-16 2015-11-24 Eastman Kodak Company Z-fold multi-element substrate structure
US11095096B2 (en) 2014-04-16 2021-08-17 Yale University Method for a GaN vertical microcavity surface emitting laser (VCSEL)
US9504158B2 (en) 2014-04-22 2016-11-22 Facebook, Inc. Metal-free monolithic epitaxial graphene-on-diamond PWB
US9861920B1 (en) 2015-05-01 2018-01-09 Imagine Tf, Llc Three dimensional nanometer filters and methods of use
KR101588577B1 (ko) * 2014-06-11 2016-01-28 한국표준과학연구원 대면적의 수직 정렬된 갈륨비소 반도체 나노선 어레이 제작 공정
TWI677963B (zh) 2014-06-18 2019-11-21 愛爾蘭商艾克斯瑟樂普林特有限公司 微組裝高頻裝置及陣列
US9991423B2 (en) * 2014-06-18 2018-06-05 X-Celeprint Limited Micro assembled LED displays and lighting elements
CN111180381B (zh) 2014-06-18 2021-08-27 艾克斯展示公司技术有限公司 用于控制可转印半导体结构的释放的系统及方法
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
CN107078094B (zh) * 2014-06-18 2020-04-03 艾克斯瑟乐普林特有限公司 用于制备用于微组装的GaN及相关材料的系统及方法
US9865600B2 (en) 2014-06-18 2018-01-09 X-Celeprint Limited Printed capacitors
US10730047B2 (en) 2014-06-24 2020-08-04 Imagine Tf, Llc Micro-channel fluid filters and methods of use
MY182253A (en) * 2014-07-20 2021-01-18 X Celeprint Ltd Apparatus and methods for micro-transfer-printing
US9304235B2 (en) 2014-07-30 2016-04-05 Microsoft Technology Licensing, Llc Microfabrication
DE102014110884B4 (de) * 2014-07-31 2021-09-16 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Verfahren zur Herstellung von optoelektronischen Halbleiterchips
US10678412B2 (en) 2014-07-31 2020-06-09 Microsoft Technology Licensing, Llc Dynamic joint dividers for application windows
US10592080B2 (en) 2014-07-31 2020-03-17 Microsoft Technology Licensing, Llc Assisted presentation of application windows
GB201413578D0 (en) * 2014-07-31 2014-09-17 Infiniled Ltd A colour iled display on silicon
US10254942B2 (en) 2014-07-31 2019-04-09 Microsoft Technology Licensing, Llc Adaptive sizing and positioning of application windows
KR20220075241A (ko) 2014-08-05 2022-06-07 쿨리케 & 소파 네덜란드 비.브이. 쉬운 조립을 위한 초소형 또는 초박형 개별 컴포넌트의 구성
US10739882B2 (en) 2014-08-06 2020-08-11 Apple Inc. Electronic device display with array of discrete light-emitting diodes
WO2016025468A2 (en) 2014-08-11 2016-02-18 The Board Of Trustees Of The University Of Illinois Devices and related methods for epidermal characterization of biofluids
US10736551B2 (en) 2014-08-11 2020-08-11 The Board Of Trustees Of The University Of Illinois Epidermal photonic systems and methods
KR20170041872A (ko) 2014-08-11 2017-04-17 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 온도 및 열 전달 특성분석을 위한 표피 장치
US10615222B2 (en) * 2014-08-21 2020-04-07 The University Of Hong Kong Flexible GAN light-emitting diodes
KR20170047324A (ko) 2014-08-26 2017-05-04 엑스-셀레프린트 리미티드 마이크로 어셈블링된 하이브리드 디스플레이들 및 조명 엘리먼트들
US10124275B2 (en) 2014-09-05 2018-11-13 Imagine Tf, Llc Microstructure separation filters
US9818725B2 (en) 2015-06-01 2017-11-14 X-Celeprint Limited Inorganic-light-emitter display with integrated black matrix
US9799261B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US20160093600A1 (en) * 2014-09-25 2016-03-31 X-Celeprint Limited Compound micro-assembly strategies and devices
US9799719B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Active-matrix touchscreen
US9537069B1 (en) 2014-09-25 2017-01-03 X-Celeprint Limited Inorganic light-emitting diode with encapsulating reflector
US9991163B2 (en) 2014-09-25 2018-06-05 X-Celeprint Limited Small-aperture-ratio display with electrical component
JP7016259B6 (ja) 2014-09-30 2023-12-15 イェール ユニバーシティー 多孔質窒化ガリウム層およびそれを含む半導体発光デバイス
US9899330B2 (en) 2014-10-03 2018-02-20 Mc10, Inc. Flexible electronic circuits with embedded integrated circuit die
US9425351B2 (en) 2014-10-06 2016-08-23 Wisconsin Alumni Research Foundation Hybrid heterostructure light emitting devices
US10297572B2 (en) 2014-10-06 2019-05-21 Mc10, Inc. Discrete flexible interconnects for modules of integrated circuits
US10026882B2 (en) * 2014-10-07 2018-07-17 Epistar Corporation Using MEMS fabrication incorporating into LED device mounting and assembly
USD781270S1 (en) 2014-10-15 2017-03-14 Mc10, Inc. Electronic device having antenna
JP6483246B2 (ja) * 2014-10-17 2019-03-13 インテル・コーポレーション 微小持ち上げ・接合組立法
GB201418772D0 (en) * 2014-10-22 2014-12-03 Infiniled Ltd Display
GB201418810D0 (en) * 2014-10-22 2014-12-03 Infiniled Ltd Display
US20170236807A1 (en) * 2014-10-28 2017-08-17 The Regents Of The University Of California Iii-v micro-led arrays and methods for preparing the same
US10418527B2 (en) * 2014-10-31 2019-09-17 eLux, Inc. System and method for the fluidic assembly of emissive displays
US10446728B2 (en) * 2014-10-31 2019-10-15 eLux, Inc. Pick-and remove system and method for emissive display repair
US10538028B2 (en) 2014-11-17 2020-01-21 The Board Of Trustees Of The University Of Illinois Deterministic assembly of complex, three-dimensional architectures by compressive buckling
JP6620318B2 (ja) * 2014-11-27 2019-12-18 パナソニックIpマネジメント株式会社 シート状伸縮性構造体
US11018231B2 (en) 2014-12-01 2021-05-25 Yale University Method to make buried, highly conductive p-type III-nitride layers
DK3229958T3 (da) 2014-12-08 2020-11-30 Berkeley Lights Inc Mikrofluidanordning, der omfatter laterale/vertikale transistorstrukturer, samt fremgangsmåde til fremstilling og anvendelse heraf
DE112016000533T5 (de) * 2015-01-30 2017-10-19 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement
US9513480B2 (en) 2015-02-09 2016-12-06 Microsoft Technology Licensing, Llc Waveguide
US9429692B1 (en) 2015-02-09 2016-08-30 Microsoft Technology Licensing, Llc Optical components
US11086216B2 (en) 2015-02-09 2021-08-10 Microsoft Technology Licensing, Llc Generating electronic components
US10317677B2 (en) 2015-02-09 2019-06-11 Microsoft Technology Licensing, Llc Display system
US9535253B2 (en) 2015-02-09 2017-01-03 Microsoft Technology Licensing, Llc Display system
US9423360B1 (en) 2015-02-09 2016-08-23 Microsoft Technology Licensing, Llc Optical components
US9827209B2 (en) 2015-02-09 2017-11-28 Microsoft Technology Licensing, Llc Display system
US10018844B2 (en) 2015-02-09 2018-07-10 Microsoft Technology Licensing, Llc Wearable image display system
US9372347B1 (en) 2015-02-09 2016-06-21 Microsoft Technology Licensing, Llc Display system
US10758849B2 (en) 2015-02-18 2020-09-01 Imagine Tf, Llc Three dimensional filter devices and apparatuses
US10477354B2 (en) 2015-02-20 2019-11-12 Mc10, Inc. Automated detection and configuration of wearable devices based on on-body status, location, and/or orientation
WO2016140961A1 (en) 2015-03-02 2016-09-09 Mc10, Inc. Perspiration sensor
US9402322B1 (en) 2015-03-04 2016-07-26 Lockheed Martin Corporation Metal-free monolithic epitaxial graphene-on-diamond PWB with optical waveguide
KR102239626B1 (ko) * 2015-03-06 2021-04-12 엘지이노텍 주식회사 발광 소자
CN107624197A (zh) 2015-03-18 2018-01-23 密歇根大学董事会 通过预图案化台面进行的减轻应变的外延剥离
DE102015104138A1 (de) * 2015-03-19 2016-09-22 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung von optoelektronischen Halbleiterbauelementen und optoelektronisches Halbleiterbauelement
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
US20160293596A1 (en) * 2015-03-30 2016-10-06 Texas Instruments Incorporated Normally off iii-nitride transistor
US9467190B1 (en) 2015-04-23 2016-10-11 Connor Sport Court International, Llc Mobile electronic device covering
US9640715B2 (en) * 2015-05-15 2017-05-02 X-Celeprint Limited Printable inorganic semiconductor structures
US10554017B2 (en) 2015-05-19 2020-02-04 Yale University Method and device concerning III-nitride edge emitting laser diode of high confinement factor with lattice matched cladding layer
KR20180034342A (ko) 2015-06-01 2018-04-04 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 대안적인 자외선 감지방법
BR112017025609A2 (pt) 2015-06-01 2018-08-07 The Board Of Trustees Of The University Of Illinois sistemas eletrônicos miniaturizados com potência sem fio e capacidades de comunicação de campo próximo
US9871345B2 (en) 2015-06-09 2018-01-16 X-Celeprint Limited Crystalline color-conversion device
KR101880596B1 (ko) * 2015-06-10 2018-07-20 성균관대학교산학협력단 양자점 또는 염료를 함유하는 대면적 필름 및 이의 제조 방법
US11061276B2 (en) 2015-06-18 2021-07-13 X Display Company Technology Limited Laser array display
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
US10139693B2 (en) * 2015-06-19 2018-11-27 International Business Machines Corporation Synaptic electronic devices with electrochromic device
US9907210B2 (en) * 2015-06-25 2018-02-27 International Business Machines Corporation Active perforation for advanced server cooling
US10136563B2 (en) 2015-06-25 2018-11-20 International Business Machines Corporation Active perforation for advanced server cooling
US9841548B2 (en) 2015-06-30 2017-12-12 Apple Inc. Electronic devices with soft input-output components
US10026721B2 (en) 2015-06-30 2018-07-17 Apple Inc. Electronic devices with soft input-output components
US11160489B2 (en) 2015-07-02 2021-11-02 The Board Of Trustees Of The University Of Illinois Wireless optofluidic systems for programmable in vivo pharmacology and optogenetics
US10118842B2 (en) 2015-07-09 2018-11-06 Imagine Tf, Llc Deionizing fluid filter devices and methods of use
KR101676465B1 (ko) * 2015-07-10 2016-11-15 건국대학교 산학협력단 전자장치 제조방법
US10653332B2 (en) 2015-07-17 2020-05-19 Mc10, Inc. Conductive stiffener, method of making a conductive stiffener, and conductive adhesive and encapsulation layers
US9704821B2 (en) 2015-08-11 2017-07-11 X-Celeprint Limited Stamp with structured posts
US10380834B2 (en) 2015-07-22 2019-08-13 Mark A. Litman Replaceable flexible electronic table top with display function for gaming tables
US10255834B2 (en) 2015-07-23 2019-04-09 X-Celeprint Limited Parallel redundant chiplet system for controlling display pixels
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
US9640108B2 (en) 2015-08-25 2017-05-02 X-Celeprint Limited Bit-plane pulse width modulated digital display system
US10709384B2 (en) 2015-08-19 2020-07-14 Mc10, Inc. Wearable heat flux devices and methods of use
US10479046B2 (en) 2015-08-19 2019-11-19 Imagine Tf, Llc Absorbent microstructure arrays and methods of use
US10380930B2 (en) 2015-08-24 2019-08-13 X-Celeprint Limited Heterogeneous light emitter display system
US10468361B2 (en) * 2015-08-27 2019-11-05 Mikro Mesa Technology Co., Ltd. Method of manufacturing light emitting diodes having a supporting layer attached to temporary adhesive
US20180337082A1 (en) * 2015-08-31 2018-11-22 Stc.Unm Mixed group-v sacrificial layers for release and transfer of membranes
US10345905B2 (en) * 2015-09-08 2019-07-09 Apple Inc. Electronic devices with deformable displays
US9899556B2 (en) 2015-09-14 2018-02-20 Wisconsin Alumni Research Foundation Hybrid tandem solar cells with improved tunnel junction structures
US10230048B2 (en) 2015-09-29 2019-03-12 X-Celeprint Limited OLEDs for micro transfer printing
US10300371B2 (en) 2015-10-01 2019-05-28 Mc10, Inc. Method and system for interacting with a virtual environment
WO2017105581A2 (en) 2015-10-02 2017-06-22 Semprius, Inc. Wafer-integrated, ultra-low profile concentrated photovoltaics (cpv) for space applications
US10532211B2 (en) 2015-10-05 2020-01-14 Mc10, Inc. Method and system for neuromodulation and stimulation
US9997391B2 (en) 2015-10-19 2018-06-12 QROMIS, Inc. Lift off process for chip scale package solid state devices on engineered substrate
EP3365271B1 (en) * 2015-10-22 2022-04-13 X Display Company Technology Limited Structures for controlling release of transferable semiconductor structures
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
FR3044467B1 (fr) * 2015-11-26 2018-08-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Dalle lumineuse et procede de fabrication d'une telle dalle lumineuse
US9859213B2 (en) * 2015-12-07 2018-01-02 Dyi-chung Hu Metal via structure
US10066819B2 (en) 2015-12-09 2018-09-04 X-Celeprint Limited Micro-light-emitting diode backlight system
US9930277B2 (en) 2015-12-23 2018-03-27 X-Celeprint Limited Serial row-select matrix-addressed system
US9786646B2 (en) 2015-12-23 2017-10-10 X-Celeprint Limited Matrix addressed device repair
US10091446B2 (en) 2015-12-23 2018-10-02 X-Celeprint Limited Active-matrix displays with common pixel control
US9928771B2 (en) 2015-12-24 2018-03-27 X-Celeprint Limited Distributed pulse width modulation control
US11230471B2 (en) 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
US10361677B2 (en) 2016-02-18 2019-07-23 X-Celeprint Limited Transverse bulk acoustic wave filter
US10200013B2 (en) 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10109753B2 (en) 2016-02-19 2018-10-23 X-Celeprint Limited Compound micro-transfer-printed optical filter device
EP3420732B8 (en) 2016-02-22 2020-12-30 Medidata Solutions, Inc. System, devices, and method for on-body data and power transmission
KR102651054B1 (ko) * 2016-02-22 2024-03-26 삼성디스플레이 주식회사 전사 장치, 이를 이용한 전사 방법 및 표시 장치
WO2017147053A1 (en) 2016-02-22 2017-08-31 Mc10, Inc. System, device, and method for coupled hub and sensor node on-body acquisition of sensor information
WO2017144573A1 (en) 2016-02-25 2017-08-31 X-Celeprint Limited Efficiently micro-transfer printing micro-scale devices onto large-format substrates
US10193025B2 (en) 2016-02-29 2019-01-29 X-Celeprint Limited Inorganic LED pixel structure
KR101787435B1 (ko) * 2016-02-29 2017-10-19 피에스아이 주식회사 나노 로드 제조방법
US10150325B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid banknote with electronic indicia
US10150326B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid document with variable state
US10153256B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-transfer printable electronic component
US10153257B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-printed display
US10193031B2 (en) * 2016-03-11 2019-01-29 Rohinni, LLC Method for applying phosphor to light emitting diodes and apparatus thereof
JP6447548B2 (ja) 2016-03-14 2019-01-09 日亜化学工業株式会社 発光装置の製造方法
KR102455039B1 (ko) * 2016-03-18 2022-10-17 삼성디스플레이 주식회사 신축성 디스플레이 장치
US10917953B2 (en) 2016-03-21 2021-02-09 X Display Company Technology Limited Electrically parallel fused LEDs
WO2017173339A1 (en) 2016-04-01 2017-10-05 The Board Of Trustees Of The University Of Illinois Implantable medical devices for optogenetics
US10008483B2 (en) 2016-04-05 2018-06-26 X-Celeprint Limited Micro-transfer printed LED and color filter structure
US10199546B2 (en) 2016-04-05 2019-02-05 X-Celeprint Limited Color-filter device
US10198890B2 (en) 2016-04-19 2019-02-05 X-Celeprint Limited Hybrid banknote with electronic indicia using near-field-communications
CN109310340A (zh) 2016-04-19 2019-02-05 Mc10股份有限公司 用于测量汗液的方法和系统
US9997102B2 (en) 2016-04-19 2018-06-12 X-Celeprint Limited Wirelessly powered display and system
US10360846B2 (en) 2016-05-10 2019-07-23 X-Celeprint Limited Distributed pulse-width modulation system with multi-bit digital storage and output device
US10622700B2 (en) 2016-05-18 2020-04-14 X-Celeprint Limited Antenna with micro-transfer-printed circuit element
US9997501B2 (en) 2016-06-01 2018-06-12 X-Celeprint Limited Micro-transfer-printed light-emitting diode device
US10453826B2 (en) 2016-06-03 2019-10-22 X-Celeprint Limited Voltage-balanced serial iLED pixel and display
GB201609875D0 (en) 2016-06-06 2016-07-20 Microsoft Technology Licensing Llc A display on a stretchable substrate
WO2017214540A1 (en) * 2016-06-10 2017-12-14 Applied Materials, Inc. Maskless parallel pick-and-place transfer of micro-devices
US11137641B2 (en) 2016-06-10 2021-10-05 X Display Company Technology Limited LED structure with polarized light emission
US11756982B2 (en) 2016-06-10 2023-09-12 Applied Materials, Inc. Methods of parallel transfer of micro-devices using mask layer
US11776989B2 (en) 2016-06-10 2023-10-03 Applied Materials, Inc. Methods of parallel transfer of micro-devices using treatment
WO2017218878A1 (en) 2016-06-17 2017-12-21 The Board Of Trustees Of The University Of Illinois Soft, wearable microfluidic systems capable of capture, storage, and sensing of biofluids
US9966301B2 (en) * 2016-06-27 2018-05-08 New Fab, LLC Reduced substrate effects in monolithically integrated RF circuits
US10168555B1 (en) 2016-06-30 2019-01-01 Google Llc Wiring in a head-mountable device
US10475876B2 (en) 2016-07-26 2019-11-12 X-Celeprint Limited Devices with a single metal layer
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
US10447347B2 (en) 2016-08-12 2019-10-15 Mc10, Inc. Wireless charger and high speed data off-loader
US9722134B1 (en) * 2016-08-16 2017-08-01 Mikro Mesa Technology Co., Ltd. Method for transferring semiconductor structure
US9997399B2 (en) * 2016-08-16 2018-06-12 Mikro Mesa Technology Co., Ltd. Method for transferring semiconductor structure
US9980341B2 (en) 2016-09-22 2018-05-22 X-Celeprint Limited Multi-LED components
EP3520098B8 (de) * 2016-09-27 2024-02-21 INURU GmbH Destruktionslose integration von elektronik
US10157880B2 (en) 2016-10-03 2018-12-18 X-Celeprint Limited Micro-transfer printing with volatile adhesive layer
US10141469B1 (en) * 2016-10-17 2018-11-27 Stc.Unm Radially stacked solar cells based on 2D atomic crystals and methods for their production
US10782002B2 (en) 2016-10-28 2020-09-22 X Display Company Technology Limited LED optical components
US10141215B2 (en) 2016-11-03 2018-11-27 Rohinni, LLC Compliant needle for direct transfer of semiconductor devices
US10347168B2 (en) 2016-11-10 2019-07-09 X-Celeprint Limited Spatially dithered high-resolution
WO2018091459A1 (en) 2016-11-15 2018-05-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10600671B2 (en) 2016-11-15 2020-03-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10395966B2 (en) 2016-11-15 2019-08-27 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10471545B2 (en) 2016-11-23 2019-11-12 Rohinni, LLC Top-side laser for direct transfer of semiconductor devices
CN206602107U (zh) * 2016-11-23 2017-10-31 创智能科技股份有限公司 指纹感测辨识封装结构
US10504767B2 (en) 2016-11-23 2019-12-10 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
US10978530B2 (en) * 2016-11-25 2021-04-13 Vuereal Inc. Integration of microdevices into system substrate
US10998352B2 (en) 2016-11-25 2021-05-04 Vuereal Inc. Integration of microdevices into system substrate
US10916523B2 (en) 2016-11-25 2021-02-09 Vuereal Inc. Microdevice transfer setup and integration of micro-devices into system substrate
KR102323197B1 (ko) * 2016-11-30 2021-11-10 한국전자통신연구원 반도체 소자의 제조 방법
US11287563B2 (en) 2016-12-01 2022-03-29 Ostendo Technologies, Inc. Polarized light emission from micro-pixel displays and methods of fabrication thereof
CN108155254A (zh) * 2016-12-06 2018-06-12 超晶科技(北京)有限公司 二维材料柔性衬底结构、焦平面光探测器阵列及制作方法
US10438859B2 (en) 2016-12-19 2019-10-08 X-Celeprint Limited Transfer printed device repair
US10297502B2 (en) 2016-12-19 2019-05-21 X-Celeprint Limited Isolation structure for micro-transfer-printable devices
US10366674B1 (en) * 2016-12-27 2019-07-30 Facebook Technologies, Llc Display calibration in electronic displays
US10832609B2 (en) * 2017-01-10 2020-11-10 X Display Company Technology Limited Digital-drive pulse-width-modulated output system
DE102017100716A1 (de) 2017-01-16 2018-07-19 Osram Opto Semiconductors Gmbh Optoelektronisches Halbleiterbauteil
US10062588B2 (en) 2017-01-18 2018-08-28 Rohinni, LLC Flexible support substrate for transfer of semiconductor devices
US10168475B2 (en) * 2017-01-18 2019-01-01 Juniper Networks, Inc. Atomic layer deposition bonding for heterogeneous integration of photonics and electronics
US10332868B2 (en) 2017-01-26 2019-06-25 X-Celeprint Limited Stacked pixel structures
US10468391B2 (en) 2017-02-08 2019-11-05 X-Celeprint Limited Inorganic light-emitting-diode displays with multi-ILED pixels
TWI675402B (zh) * 2017-02-17 2019-10-21 美商美國亞德諾半導體公司 轉印方法及平行轉印方法
CN108461519A (zh) * 2017-02-21 2018-08-28 京东方科技集团股份有限公司 柔性显示面板及其制备方法、显示装置
US20180247584A1 (en) * 2017-02-27 2018-08-30 Shenzhen China Star Optoelectronics Technology Co., Ltd. Micro light emitting diode array substrates and display panels
US10244230B2 (en) * 2017-03-01 2019-03-26 Avalon Holographics Inc. Directional pixel for multiple view display
US10396137B2 (en) 2017-03-10 2019-08-27 X-Celeprint Limited Testing transfer-print micro-devices on wafer
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
TWI756384B (zh) * 2017-03-16 2022-03-01 美商康寧公司 用於大量轉移微型led的方法及製程
KR102299465B1 (ko) 2017-03-24 2021-09-07 위스콘신 얼럼나이 리서어치 화운데이션 다층 p형 접촉자를 갖는 III-V족 질화물계 발광 소자
US11024608B2 (en) 2017-03-28 2021-06-01 X Display Company Technology Limited Structures and methods for electrical connection of micro-devices and substrates
CN107017274B (zh) * 2017-03-28 2019-08-20 厦门市三安光电科技有限公司 一种led显示组件及其制作方法
GB201705365D0 (en) 2017-04-03 2017-05-17 Optovate Ltd Illumination apparatus
GB201705364D0 (en) 2017-04-03 2017-05-17 Optovate Ltd Illumination apparatus
CN110710072B (zh) 2017-04-12 2022-07-22 感应光子公司 具有结合光束转向的超小型垂直腔表面发射激光发射器的器件
US10468397B2 (en) 2017-05-05 2019-11-05 X-Celeprint Limited Matrix addressed tiles and arrays
CN110945654A (zh) * 2017-05-09 2020-03-31 光引研创股份有限公司 用于不可见光应用的光学装置
FR3066320B1 (fr) * 2017-05-11 2019-07-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un dispositif d'affichage emissif a led
KR102160225B1 (ko) 2017-06-12 2020-09-28 유니카르타, 인크. 개별 부품들의 기판 상으로의 병렬적 조립
CN109037250B (zh) * 2017-06-12 2021-11-05 上海耕岩智能科技有限公司 一种影像侦测显示装置、器件及其制备方法
DE102017113380A1 (de) 2017-06-19 2018-12-20 Schreiner Group Gmbh & Co. Kg Folienaufbau mit Erzeugen von sichtbarem Licht mittels LED-Technologie
DE102017113375A1 (de) 2017-06-19 2018-12-20 Schreiner Group Gmbh & Co. Kg Folienaufbau mit Erzeugen von sichtbarem Licht mittels LED-Technologie
US10804880B2 (en) 2018-12-03 2020-10-13 X-Celeprint Limited Device structures with acoustic wave transducers and connection posts
KR102236769B1 (ko) 2017-07-18 2021-04-06 삼성전자주식회사 엘이디 모듈 제조장치 및 엘이디 모듈 제조방법
US10943946B2 (en) 2017-07-21 2021-03-09 X Display Company Technology Limited iLED displays with substrate holes
KR102015383B1 (ko) * 2017-08-07 2019-08-29 한국생산기술연구원 고 신축성 배선구조 및 이의 제조방법
US11302842B2 (en) * 2017-08-08 2022-04-12 PlayNitride Inc. Micro light emitting diode device and manufacturing method thereof
US10832935B2 (en) 2017-08-14 2020-11-10 X Display Company Technology Limited Multi-level micro-device tethers
US10290785B2 (en) * 2017-10-03 2019-05-14 Center For Integrated Smart Sensors Foundation Laminating structure of electronic device using transferring element, transferring apparatus for fabricating the electronic device and method for fabricating the electronic device
US10217897B1 (en) 2017-10-06 2019-02-26 Wisconsin Alumni Research Foundation Aluminum nitride-aluminum oxide layers for enhancing the efficiency of group III-nitride light-emitting devices
CN107887452A (zh) * 2017-10-10 2018-04-06 南京大学 一种ZnO基自支撑薄膜的制备方法
CN107739020A (zh) * 2017-10-18 2018-02-27 苏州大学 一种柔性可拉伸的电磁波吸收结构及其制备方法
US10319705B2 (en) * 2017-10-20 2019-06-11 Facebook Technologies, Llc Elastomeric layer fabrication for light emitting diodes
US10777429B2 (en) * 2017-10-24 2020-09-15 Icdat Ltd. Method of production of a structure including a high thermal conductivity substrates and devices on it
CN109755370A (zh) * 2017-11-03 2019-05-14 展晶科技(深圳)有限公司 发光二极管微晶粒的制作方法
US10836200B2 (en) 2017-11-13 2020-11-17 X Display Company Technology Limited Rigid micro-modules with ILED and light conductor
EP3487269A1 (en) 2017-11-21 2019-05-22 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Light induced selective transfer of components
CN108231968B (zh) * 2017-12-11 2020-02-11 厦门市三安光电科技有限公司 微发光二极管及其转移方法
US10236195B1 (en) 2017-12-20 2019-03-19 Mikro Mesa Technology Co., Ltd. Method for transferring device
US10297585B1 (en) 2017-12-21 2019-05-21 X-Celeprint Limited Multi-resolution compound micro-devices
US10879431B2 (en) 2017-12-22 2020-12-29 Lumileds Llc Wavelength converting layer patterning for LED arrays
GB201800574D0 (en) 2018-01-14 2018-02-28 Optovate Ltd Illumination apparatus
US11423928B1 (en) 2018-01-19 2022-08-23 Seagate Technology Llc Processing for forming single-grain near-field transducer
US10763135B2 (en) * 2018-01-30 2020-09-01 Facebook Technologies, Llc Integrated elastomeric interface layer formation and singulation for light emitting diodes
US10692996B1 (en) 2018-02-05 2020-06-23 United States Of America As Represented By The Secretary Of The Air Force Systems, methods and apparatus for radio frequency devices
KR102095215B1 (ko) * 2018-02-08 2020-04-23 한국과학기술원 전사부재 및 선택적 전사 기술을 이용한 액티브 매트릭스 rgb 수직형 마이크로led 디스플레이
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11189605B2 (en) 2018-02-28 2021-11-30 X Display Company Technology Limited Displays with transparent bezels
US10690920B2 (en) 2018-02-28 2020-06-23 X Display Company Technology Limited Displays with transparent bezels
GB201803767D0 (en) 2018-03-09 2018-04-25 Optovate Ltd Illumination apparatus
FR3079345B1 (fr) * 2018-03-26 2020-02-21 Soitec Procede de fabrication d'un substrat pour dispositif radiofrequence
US11699687B2 (en) 2018-04-25 2023-07-11 Intel Corporation Micro light-emitting diode display driver architecture and pixel structure
US10910355B2 (en) 2018-04-30 2021-02-02 X Display Company Technology Limited Bezel-free displays
US10505079B2 (en) 2018-05-09 2019-12-10 X-Celeprint Limited Flexible devices and methods using laser lift-off
US10410905B1 (en) 2018-05-12 2019-09-10 Rohinni, LLC Method and apparatus for direct transfer of multiple semiconductor devices
GB201807747D0 (en) 2018-05-13 2018-06-27 Optovate Ltd Colour micro-LED display apparatus
US11006184B2 (en) * 2018-05-16 2021-05-11 Quantum Radius Corporation Enhanced distribution image system
EP3803976A4 (en) 2018-05-24 2022-03-02 Lumiode, Inc. LED DISPLAY STRUCTURES AND THEIR MANUFACTURE
KR102498084B1 (ko) * 2018-06-01 2023-02-10 삼성전자주식회사 디스플레이 패널
CN110634433A (zh) * 2018-06-01 2019-12-31 三星电子株式会社 显示面板
US10832934B2 (en) 2018-06-14 2020-11-10 X Display Company Technology Limited Multi-layer tethers for micro-transfer printing
US10797197B2 (en) * 2018-06-18 2020-10-06 Alta Devices, Inc. Thin-film, flexible optoelectronic devices incorporating a single lattice-matched dilute nitride junction and methods of fabrication
US10586884B2 (en) * 2018-06-18 2020-03-10 Alta Devices, Inc. Thin-film, flexible multi-junction optoelectronic devices incorporating lattice-matched dilute nitride junctions and methods of fabrication
KR102046982B1 (ko) * 2018-06-19 2019-11-20 한국과학기술원 초박막 투명 플렉서블 수직형 마이크로 발광 다이오드 및 그 제조방법
US10714001B2 (en) 2018-07-11 2020-07-14 X Display Company Technology Limited Micro-light-emitting-diode displays
CN109244026B (zh) * 2018-07-23 2022-02-18 西安电子科技大学 一种半导体器件外延层的转移方法
KR102554461B1 (ko) 2018-07-26 2023-07-10 엘지디스플레이 주식회사 스트레쳐블 표시 장치
US10796971B2 (en) 2018-08-13 2020-10-06 X Display Company Technology Limited Pressure-activated electrical interconnection with additive repair
KR102009346B1 (ko) * 2018-08-14 2019-08-12 (주)현대포멕스 접을 수 있는 회로선과 led가 구비된 조명장치 및 이의 제조방법
KR102568353B1 (ko) 2018-08-16 2023-08-18 삼성디스플레이 주식회사 발광 소자, 이의 제조방법 및 발광 소자를 포함하는 표시 장치
TWI688121B (zh) 2018-08-24 2020-03-11 隆達電子股份有限公司 發光二極體結構
WO2020050062A1 (ja) * 2018-09-04 2020-03-12 Agc株式会社 透明表示装置、透明表示装置付きガラス板、透明表示装置付き合わせガラス、および、移動体
US11152536B2 (en) * 2018-09-17 2021-10-19 The Board Of Trustees Of The University Of Illinois Photoresist contact patterning of quantum dot films
CN109273367B (zh) * 2018-09-25 2019-08-09 清华大学 金属薄膜导线的制造方法
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
CN112740437A (zh) * 2018-10-11 2021-04-30 悟勞茂材料公司 掩模支撑模板及其制造方法与框架一体型掩模的制造方法
US10573544B1 (en) 2018-10-17 2020-02-25 X-Celeprint Limited Micro-transfer printing with selective component removal
US10796938B2 (en) 2018-10-17 2020-10-06 X Display Company Technology Limited Micro-transfer printing with selective component removal
US11528808B2 (en) 2018-12-03 2022-12-13 X Display Company Technology Limited Printing components to substrate posts
US20210002128A1 (en) 2018-12-03 2021-01-07 X-Celeprint Limited Enclosed cavity structures
US11274035B2 (en) 2019-04-24 2022-03-15 X-Celeprint Limited Overhanging device structures and related methods of manufacture
US10790173B2 (en) 2018-12-03 2020-09-29 X Display Company Technology Limited Printed components on substrate posts
US11482979B2 (en) 2018-12-03 2022-10-25 X Display Company Technology Limited Printing components over substrate post edges
US11282786B2 (en) 2018-12-12 2022-03-22 X Display Company Technology Limited Laser-formed interconnects for redundant devices
WO2020131894A1 (en) 2018-12-21 2020-06-25 Lumiode, Inc. Addressing for emissive displays
US11483937B2 (en) 2018-12-28 2022-10-25 X Display Company Technology Limited Methods of making printed structures
WO2020146108A1 (en) * 2019-01-07 2020-07-16 Lumiode, Inc. Processes, articles and apparatus that incorporate semiconductor switches and drive circuitry on compound semiconductor chiplets
US11251139B2 (en) 2019-01-22 2022-02-15 X-Celeprint Limited Secure integrated-circuit systems
US11322460B2 (en) 2019-01-22 2022-05-03 X-Celeprint Limited Secure integrated-circuit systems
US11610868B2 (en) 2019-01-29 2023-03-21 Osram Opto Semiconductors Gmbh μ-LED, μ-LED device, display and method for the same
US11271143B2 (en) 2019-01-29 2022-03-08 Osram Opto Semiconductors Gmbh μ-LED, μ-LED device, display and method for the same
US11302248B2 (en) 2019-01-29 2022-04-12 Osram Opto Semiconductors Gmbh U-led, u-led device, display and method for the same
US11156759B2 (en) 2019-01-29 2021-10-26 Osram Opto Semiconductors Gmbh μ-LED, μ-LED device, display and method for the same
US10748793B1 (en) 2019-02-13 2020-08-18 X Display Company Technology Limited Printing component arrays with different orientations
US11088121B2 (en) 2019-02-13 2021-08-10 X Display Company Technology Limited Printed LED arrays with large-scale uniformity
WO2020182278A1 (en) * 2019-03-08 2020-09-17 Osram Opto Semiconductors Gmbh Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device
US11164934B2 (en) 2019-03-12 2021-11-02 X Display Company Technology Limited Tiled displays with black-matrix support screens
US11094870B2 (en) 2019-03-12 2021-08-17 X Display Company Technology Limited Surface-mountable pixel packages and pixel engines
CN109873053B (zh) 2019-04-01 2021-02-09 北京京东方传感技术有限公司 阵列基板、其制备方法及数字微流控芯片
US20220076858A1 (en) * 2019-04-10 2022-03-10 Shenzhen Institutes Of Advanced Technology Chinese Academy Of Sciences Flexible conductive thin film based on silver powder and pdms, and preparation method therefor
TWI737312B (zh) * 2019-04-18 2021-08-21 台灣積體電路製造股份有限公司 回焊裝置以及接合方法
US11538852B2 (en) 2019-04-23 2022-12-27 Osram Opto Semiconductors Gmbh μ-LED, μ-LED device, display and method for the same
US20220231193A1 (en) * 2019-04-23 2022-07-21 Osram Opto Semiconductors Gmbh Led module, led display module and method of manufacturing the same
US10910316B2 (en) * 2019-04-23 2021-02-02 Innolux Corporation Electronic device
US11569091B2 (en) 2019-05-07 2023-01-31 Meta Platforms Technologies, Llc Hybrid-bonded and run-out compensated light emitting diodes
KR102579748B1 (ko) * 2019-05-08 2023-09-19 삼성전자주식회사 디스플레이 모듈 및 디스플레이 모듈 몰딩 방법
US10714374B1 (en) 2019-05-09 2020-07-14 X Display Company Technology Limited High-precision printed structures
CN114303236A (zh) * 2019-05-13 2022-04-08 奥斯兰姆奥普托半导体股份有限两合公司 多芯片承载结构
TWI706537B (zh) 2019-05-28 2020-10-01 友達光電股份有限公司 自發光元件及發光裝置的製造方法
TWI682531B (zh) * 2019-06-04 2020-01-11 友達光電股份有限公司 顯示裝置及其製造方法
US11488943B2 (en) 2019-06-14 2022-11-01 X Display Company Technology Limited Modules with integrated circuits and devices
US10944027B2 (en) 2019-06-14 2021-03-09 X Display Company Technology Limited Pixel modules with controllers and light emitters
EP3991209A1 (en) 2019-06-25 2022-05-04 Lumileds LLC Phosphor layer for micro-led applications
TW202102883A (zh) 2019-07-02 2021-01-16 美商瑞爾D斯帕克有限責任公司 定向顯示設備
US11101417B2 (en) 2019-08-06 2021-08-24 X Display Company Technology Limited Structures and methods for electrically connecting printed components
US10989735B2 (en) * 2019-08-21 2021-04-27 Facebook Technologies, Llc Atomic force microscopy tips for interconnection
CN114270508A (zh) * 2019-08-23 2022-04-01 塞尔法雷公司 拉伸受体衬底以调节组件的布置的方法及系统
EP4018236A4 (en) 2019-08-23 2023-09-13 RealD Spark, LLC DEVICE FOR DIRECTIONAL LIGHTING AND VISIBILITY DISPLAY
US11424236B2 (en) 2019-09-06 2022-08-23 Tokyo Electron Limited Facilitating alignment of stacked chiplets
US11163101B2 (en) 2019-09-11 2021-11-02 Reald Spark, Llc Switchable illumination apparatus and privacy display
EP4028804A4 (en) 2019-09-11 2023-10-25 RealD Spark, LLC DEVICE FOR DIRECTIONAL LIGHTING AND VISIBILITY DISPLAY
US11572621B2 (en) * 2019-09-24 2023-02-07 Akhan Semiconductor, Inc. Protective diamond coating system and method
JP2022550540A (ja) 2019-10-03 2022-12-02 リアルディー スパーク エルエルシー 受動光学ナノ構造を備える照明装置
JP2022550938A (ja) * 2019-10-03 2022-12-06 リアルディー スパーク エルエルシー 受動光学ナノ構造を含む照射装置
US11362243B2 (en) 2019-10-09 2022-06-14 Lumileds Llc Optical coupling layer to improve output flux in LEDs
US11038088B2 (en) 2019-10-14 2021-06-15 Lextar Electronics Corporation Light emitting diode package
WO2021075728A1 (en) 2019-10-15 2021-04-22 Samsung Electronics Co., Ltd. Display module and manufacturing method thereof
US11626856B2 (en) 2019-10-30 2023-04-11 X-Celeprint Limited Non-linear tethers for suspended devices
US11637540B2 (en) 2019-10-30 2023-04-25 X-Celeprint Limited Non-linear tethers for suspended devices
US11127889B2 (en) 2019-10-30 2021-09-21 X Display Company Technology Limited Displays with unpatterned layers of light-absorbing material
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
CN111063816A (zh) * 2019-12-19 2020-04-24 深圳市华星光电半导体显示技术有限公司 一种有机发光二极管、制备方法及显示装置
US11062936B1 (en) 2019-12-19 2021-07-13 X Display Company Technology Limited Transfer stamps with multiple separate pedestals
US11315909B2 (en) 2019-12-20 2022-04-26 X Display Company Technology Limited Displays with embedded light emitters
WO2021148895A1 (en) 2020-01-22 2021-07-29 King Abdullah University Of Science And Technology Light processing device array and method for manufacturing thereof
US11037912B1 (en) 2020-01-31 2021-06-15 X Display Company Technology Limited LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel
CN115136065A (zh) 2020-02-20 2022-09-30 瑞尔D斯帕克有限责任公司 照明和显示设备
US20210342659A1 (en) * 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia
US11538849B2 (en) 2020-05-28 2022-12-27 X Display Company Technology Limited Multi-LED structures with reduced circuitry
US11699677B2 (en) 2020-06-30 2023-07-11 Openlight Photonics, Inc. Die-to-wafer bonding utilizing micro-transfer printing
CN116745898A (zh) * 2020-07-13 2023-09-12 洛克利光子有限公司 用于制备用于微转移印刷的器件试样的方法、包括所述器件试样的器件晶片以及由所述器件晶片制造的光电器件
KR20220028524A (ko) * 2020-08-28 2022-03-08 삼성전자주식회사 마이크로 led 패키지 및 이를 구비한 디스플레이 모듈
DE102020211360A1 (de) 2020-09-10 2022-03-10 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Bereitstellen eines Schichtelementes in einer Schichtanordnung
KR20220037044A (ko) * 2020-09-16 2022-03-24 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
WO2022065556A1 (ko) * 2020-09-28 2022-03-31 엘지전자 주식회사 반도체 발광소자 패키지의 제조방법, 그 방법에 의해 제조된 반도체 발광소자 패키지 및 이를 포함하는 디스플레이 장치
US11952266B2 (en) 2020-10-08 2024-04-09 X-Celeprint Limited Micro-device structures with etch holes
KR20220055526A (ko) 2020-10-26 2022-05-04 삼성디스플레이 주식회사 반도체 구조물을 포함하는 적층 구조물 및 이의 제조 방법
WO2022104074A1 (en) * 2020-11-13 2022-05-19 The Regents Of The University Of California Epitaxy-enabled substrate transfer
KR20220080770A (ko) 2020-12-07 2022-06-15 삼성전자주식회사 반도체 소자
DE102021106332A1 (de) 2021-03-16 2022-09-22 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelektronische baugruppe, displayanordnung und verfahren
KR20230013890A (ko) * 2021-07-20 2023-01-27 삼성전자주식회사 디스플레이 모듈을 포함하는 디스플레이 장치 및 그 제조 방법
WO2023034608A1 (en) * 2021-09-03 2023-03-09 The Regents Of The University Of California Iii-nitride-based devices grown on or above a strain compliant template
GB2611748A (en) * 2021-10-05 2023-04-19 Rockley Photonics Ltd Source wafer, method, and optoelectronic devices
DE102021130076A1 (de) 2021-11-17 2023-05-17 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung μLED PACKUNG UND VERFAHREN ZU DESSEN HERSTELLUNG
CN114242850A (zh) * 2021-12-08 2022-03-25 广东省科学院半导体研究所 一种微型led成品及微型led成品制备方法
US11906133B2 (en) 2022-03-31 2024-02-20 Alliance Sports Group, L.P. Outdoor lighting apparatus
WO2023204155A1 (ja) * 2022-04-20 2023-10-26 東レ株式会社 表示装置
FR3135160A1 (fr) * 2022-05-02 2023-11-03 Stmicroelectronics (Crolles 2) Sas Procédé de fabrication de dispositifs optoélectroniques
WO2024039177A1 (ko) * 2022-08-16 2024-02-22 서울대학교산학협력단 스트레처블 마이크로 led 표시 장치의 보상방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060255341A1 (en) 2005-04-21 2006-11-16 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20070032044A1 (en) 2005-08-08 2007-02-08 Silicon Genesis Corporation Method and structure for fabricating devices using one or more films provided by a layer transfer process and etch back
US20080108171A1 (en) * 2006-09-20 2008-05-08 Rogers John A Release strategies for making transferable semiconductor structures, devices and device components
WO2008143635A1 (en) * 2007-01-17 2008-11-27 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US20090014748A1 (en) * 2007-07-11 2009-01-15 Sony Corporation Method of electrically connecting element to wiring, method of producing light-emitting element assembly, and light-emitting element assembly
WO2009034349A2 (en) 2007-09-12 2009-03-19 Photonstar Led Limited Electrically isolated vertical light emitting diode structure

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058418A (en) 1974-04-01 1977-11-15 Solarex Corporation Fabrication of thin film solar cells utilizing epitaxial deposition onto a liquid surface to obtain lateral growth
US3949410A (en) 1975-01-23 1976-04-06 International Business Machines Corporation Jet nozzle structure for electrohydrodynamic droplet formation and ink jet printing system therewith
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
US4487162A (en) 1980-11-25 1984-12-11 Cann Gordon L Magnetoplasmadynamic apparatus for the separation and deposition of materials
US4392451A (en) 1980-12-31 1983-07-12 The Boeing Company Apparatus for forming thin-film heterojunction solar cells employing materials selected from the class of I-III-VI2 chalcopyrite compounds
US4761335A (en) 1985-03-07 1988-08-02 National Starch And Chemical Corporation Alpha-particle protection of semiconductor devices
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4663828A (en) 1985-10-11 1987-05-12 Energy Conversion Devices, Inc. Process and apparatus for continuous production of lightweight arrays of photovoltaic cells
US4766670A (en) 1987-02-02 1988-08-30 International Business Machines Corporation Full panel electronic packaging structure and method of making same
US5086785A (en) 1989-08-10 1992-02-11 Abrams/Gentille Entertainment Inc. Angular displacement sensors
EP0455067B1 (de) 1990-05-03 2003-02-26 F. Hoffmann-La Roche Ag Mikrooptischer Sensor
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5204144A (en) 1991-05-10 1993-04-20 Celestech, Inc. Method for plasma deposition on apertured substrates
JPH06118441A (ja) 1991-11-05 1994-04-28 Tadanobu Kato 表示セル
US5313094A (en) 1992-01-28 1994-05-17 International Business Machines Corportion Thermal dissipation of integrated circuits using diamond paths
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5793107A (en) 1993-10-29 1998-08-11 Vlsi Technology, Inc. Polysilicon pillar heat sinks for semiconductor on insulator circuits
US5427096A (en) 1993-11-19 1995-06-27 Cmc Assemblers, Inc. Water-degradable electrode
US6864570B2 (en) 1993-12-17 2005-03-08 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US5545291A (en) 1993-12-17 1996-08-13 The Regents Of The University Of California Method for fabricating self-assembling microstructures
US5904545A (en) 1993-12-17 1999-05-18 The Regents Of The University Of California Apparatus for fabricating self-assembling microstructures
US5824186A (en) 1993-12-17 1998-10-20 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US5514242A (en) 1993-12-30 1996-05-07 Saint Gobain/Norton Industrial Ceramics Corporation Method of forming a heat-sinked electronic component
DE69534888T2 (de) 1994-04-06 2006-11-02 Denso Corp., Kariya Herstellungsverfahren für Halbleiterbauelement mit Graben
US5434751A (en) 1994-04-11 1995-07-18 Martin Marietta Corporation Reworkable high density interconnect structure incorporating a release layer
US5753529A (en) 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5525815A (en) 1994-10-03 1996-06-11 General Electric Company Diamond film structure with high thermal conductivity
US5767578A (en) 1994-10-12 1998-06-16 Siliconix Incorporated Surface mount and flip chip technology with diamond film passivation for total integated circuit isolation
US5686697A (en) 1995-01-06 1997-11-11 Metatech Corporation Electrical circuit suspension system
US6639578B1 (en) 1995-07-20 2003-10-28 E Ink Corporation Flexible displays
US6459418B1 (en) 1995-07-20 2002-10-01 E Ink Corporation Displays combining active and non-active inks
WO1997006012A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Stamp for a lithographic process
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5790151A (en) 1996-03-27 1998-08-04 Imaging Technology International Corp. Ink jet printhead and method of making
US6784023B2 (en) 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
JP3944915B2 (ja) 1996-10-17 2007-07-18 セイコーエプソン株式会社 半導体装置の製造方法
DE19643550A1 (de) 1996-10-24 1998-05-14 Leybold Systems Gmbh Lichttransparentes, Wärmestrahlung reflektierendes Schichtensystem
US5691245A (en) 1996-10-28 1997-11-25 He Holdings, Inc. Methods of forming two-sided HDMI interconnect structures
US6498074B2 (en) * 1996-10-29 2002-12-24 Tru-Si Technologies, Inc. Thinning and dicing of semiconductor wafers using dry etch, and obtaining semiconductor chips with rounded bottom edges and corners
US6980196B1 (en) 1997-03-18 2005-12-27 Massachusetts Institute Of Technology Printable electronic display
US6059812A (en) * 1997-03-21 2000-05-09 Schneider (Usa) Inc. Self-expanding medical device for centering radioactive treatment sources in body vessels
US5998291A (en) 1997-04-07 1999-12-07 Raytheon Company Attachment method for assembly of high density multiple interconnect structures
US5907189A (en) 1997-05-29 1999-05-25 Lsi Logic Corporation Conformal diamond coating for thermal improvement of electronic packages
JPH1126344A (ja) 1997-06-30 1999-01-29 Hitachi Ltd パターン形成方法及び装置並びに半導体装置の製造方法
DE19829309B4 (de) 1997-07-04 2008-02-07 Fuji Electric Co., Ltd., Kawasaki Verfahren zur Herstellung eines thermischen Oxidfilms auf Siliciumcarbid
US5928001A (en) 1997-09-08 1999-07-27 Motorola, Inc. Surface mountable flexible interconnect
FR2769640B1 (fr) 1997-10-15 1999-12-17 Sgs Thomson Microelectronics Amelioration de la resistance mecanique d'une tranche de silicium monocristallin
JP3406207B2 (ja) 1997-11-12 2003-05-12 シャープ株式会社 表示用トランジスタアレイパネルの形成方法
JP3219043B2 (ja) 1998-01-07 2001-10-15 日本電気株式会社 半導体装置のパッケージ方法および半導体装置
US5955781A (en) 1998-01-13 1999-09-21 International Business Machines Corporation Embedded thermal conductors for semiconductor chips
US6316283B1 (en) 1998-03-25 2001-11-13 Asulab Sa Batch manufacturing method for photovoltaic cells
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
AU2373200A (en) 1999-02-05 2000-08-25 Alien Technology Corporation Apparatuses and methods for forming assemblies
US6274508B1 (en) 1999-02-05 2001-08-14 Alien Technology Corporation Apparatuses and methods used in forming assemblies
US6555408B1 (en) 1999-02-05 2003-04-29 Alien Technology Corporation Methods for transferring elements from a template to a substrate
US6850312B2 (en) 1999-03-16 2005-02-01 Alien Technology Corporation Apparatuses and methods for flexible displays
US6281038B1 (en) 1999-02-05 2001-08-28 Alien Technology Corporation Methods for forming assemblies
US6683663B1 (en) 1999-02-05 2004-01-27 Alien Technology Corporation Web fabrication of devices
US6380729B1 (en) 1999-02-16 2002-04-30 Alien Technology Corporation Testing integrated circuit dice
US6291896B1 (en) 1999-02-16 2001-09-18 Alien Technology Corporation Functionally symmetric integrated circuit die
US6606079B1 (en) 1999-02-16 2003-08-12 Alien Technology Corporation Pixel integrated circuit
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6468638B2 (en) 1999-03-16 2002-10-22 Alien Technology Corporation Web process interconnect in electronic assemblies
US6316278B1 (en) 1999-03-16 2001-11-13 Alien Technology Corporation Methods for fabricating a multiple modular assembly
KR100434537B1 (ko) 1999-03-31 2004-06-05 삼성전자주식회사 다공질 실리콘 혹은 다공질 산화 실리콘을 이용한 두꺼운 희생층을 가진 다층 구조 웨이퍼 및 그 제조방법
US6433401B1 (en) 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
US6276775B1 (en) 1999-04-29 2001-08-21 Hewlett-Packard Company Variable drop mass inkjet drop generator
US6225149B1 (en) 1999-05-03 2001-05-01 Feng Yuan Gan Methods to fabricate thin film transistors and circuits
JP3447619B2 (ja) 1999-06-25 2003-09-16 株式会社東芝 アクティブマトリクス基板の製造方法、中間転写基板
JP4948726B2 (ja) 1999-07-21 2012-06-06 イー インク コーポレイション 電子ディスプレイを制御するための電子回路素子を作製する好適な方法
AU1348901A (en) 1999-10-28 2001-05-08 P1 Diamond, Inc. Improved diamond thermal management components
US6479395B1 (en) 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
US6527964B1 (en) 1999-11-02 2003-03-04 Alien Technology Corporation Methods and apparatuses for improved flow in performing fluidic self assembly
US6420266B1 (en) 1999-11-02 2002-07-16 Alien Technology Corporation Methods for creating elements of predetermined shape and apparatuses using these elements
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
US6451191B1 (en) 1999-11-18 2002-09-17 3M Innovative Properties Company Film based addressable programmable electronic matrix articles and methods of manufacturing and using the same
US6787052B1 (en) 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
US6403397B1 (en) 2000-06-28 2002-06-11 Agere Systems Guardian Corp. Process for fabricating organic semiconductor device involving selective patterning
JP4120184B2 (ja) 2000-06-30 2008-07-16 セイコーエプソン株式会社 実装用微小構造体および光伝送装置
WO2002003142A2 (en) 2000-06-30 2002-01-10 President And Fellows Of Harvard College Electric microcontact printing method and apparatus
US6723576B2 (en) 2000-06-30 2004-04-20 Seiko Epson Corporation Disposing method for semiconductor elements
AU2001281743A1 (en) 2000-07-21 2002-02-05 Micro Managed Photons A/S Surface plasmon polariton band gap structures
DE10037715A1 (de) 2000-08-02 2002-02-14 Endress Hauser Gmbh Co Vorrichtung zur Messung des Füllstands eines Füllguts in einem Behälter
US6780696B1 (en) 2000-09-12 2004-08-24 Alien Technology Corporation Method and apparatus for self-assembly of functional blocks on a substrate facilitated by electrode pairs
JP2002092984A (ja) 2000-09-18 2002-03-29 Hitachi Maxell Ltd スタンパ及びその製造方法、並びにプラスチック基板
US6980184B1 (en) 2000-09-27 2005-12-27 Alien Technology Corporation Display devices and integrated circuits
US6814898B1 (en) 2000-10-17 2004-11-09 Seagate Technology Llc Imprint lithography utilizing room temperature embossing
AU2002239287A1 (en) 2000-11-21 2002-06-03 Avery Dennison Corporation Display device and methods of manufacture and control
JP2004521485A (ja) 2000-11-27 2004-07-15 コピン コーポレーション 格子整合されたベース層を有するバイポーラトランジスタ
US6743982B2 (en) 2000-11-29 2004-06-01 Xerox Corporation Stretchable interconnects using stress gradient films
GB0029312D0 (en) 2000-12-01 2001-01-17 Philips Corp Intellectual Pty Flexible electronic device
US20070031607A1 (en) 2000-12-19 2007-02-08 Alexander Dubson Method and apparatus for coating medical implants
US6655286B2 (en) 2001-01-19 2003-12-02 Lucent Technologies Inc. Method for preventing distortions in a flexibly transferred feature pattern
US20020110766A1 (en) 2001-02-09 2002-08-15 Industrial Technology Research Institute Process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array
FR2820952B1 (fr) * 2001-02-16 2003-05-16 Lucas Sa G Melangeuse distributrice de produits pour l'alimentation du betail
JP3665579B2 (ja) 2001-02-26 2005-06-29 ソニーケミカル株式会社 電気装置製造方法
EP1368699B8 (en) 2001-03-06 2016-07-13 Samsung Electronics Co., Ltd. Display device
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts NANOFABRICATION
US6417025B1 (en) 2001-04-02 2002-07-09 Alien Technology Corporation Integrated circuit packages assembled utilizing fluidic self-assembly
US6667548B2 (en) 2001-04-06 2003-12-23 Intel Corporation Diamond heat spreading and cooling technique for integrated circuits
US6864435B2 (en) 2001-04-25 2005-03-08 Alien Technology Corporation Electrical contacts for flexible displays
AU2002257289A1 (en) 2001-05-17 2002-11-25 The Board Of Trustees Of The Leland Stanford Junior University Device and method for three-dimensional spatial localization and functional interconnection of different types of cells
US6988667B2 (en) 2001-05-31 2006-01-24 Alien Technology Corporation Methods and apparatuses to identify devices
US6606247B2 (en) 2001-05-31 2003-08-12 Alien Technology Corporation Multi-feature-size electronic structures
JP2005514906A (ja) 2001-06-05 2005-05-26 エクセリクシス・インコーポレイテッド p53経路のモディファイヤーとしてのLCEsおよび使用方法
US6900094B2 (en) 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US20030006527A1 (en) 2001-06-22 2003-01-09 Rabolt John F. Method of fabricating micron-and submicron-scale elastomeric templates for surface patterning
US6984934B2 (en) 2001-07-10 2006-01-10 The Trustees Of Princeton University Micro-lens arrays for display intensity enhancement
US6657289B1 (en) 2001-07-13 2003-12-02 Alien Technology Corporation Apparatus relating to block configurations and fluidic self-assembly processes
US6590346B1 (en) 2001-07-16 2003-07-08 Alien Technology Corporation Double-metal background driven displays
US6917061B2 (en) 2001-07-20 2005-07-12 Microlink Devices, Inc. AlGaAs or InGaP low turn-on voltage GaAs-based heterojunction bipolar transistor
US6661037B2 (en) 2001-07-20 2003-12-09 Microlink Devices, Inc. Low emitter resistance contacts to GaAs high speed HBT
AU2002322581A1 (en) 2001-07-20 2003-03-03 Microlink Devices, Inc. Graded base gaassb for high speed gaas hbt
US6706402B2 (en) 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
US6949199B1 (en) 2001-08-16 2005-09-27 Seagate Technology Llc Heat-transfer-stamp process for thermal imprint lithography
US6863219B1 (en) 2001-08-17 2005-03-08 Alien Technology Corporation Apparatuses and methods for forming electronic assemblies
US6731353B1 (en) 2001-08-17 2004-05-04 Alien Technology Corporation Method and apparatus for transferring blocks
JP2003077940A (ja) 2001-09-06 2003-03-14 Sony Corp 素子の転写方法及びこれを用いた素子の配列方法、画像表示装置の製造方法
US7193504B2 (en) 2001-10-09 2007-03-20 Alien Technology Corporation Methods and apparatuses for identification
TW594947B (en) 2001-10-30 2004-06-21 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP4301950B2 (ja) 2001-12-04 2009-07-22 オリジン エナジー ソーラー ピーティーワイ リミテッド 太陽電池用の薄いシリコンシートを製造する方法
US6844673B1 (en) 2001-12-06 2005-01-18 Alien Technology Corporation Split-fabrication for light emitting display structures
US6887450B2 (en) 2002-01-02 2005-05-03 Zyvex Corporation Directional assembly of carbon nanotube strings
KR20040105705A (ko) 2002-01-23 2004-12-16 에이리언 테크놀로지 코포레이션 소형 및 대형 부품을 갖는 장치 및 그 장치의 제조 방법
US6608370B1 (en) 2002-01-28 2003-08-19 Motorola, Inc. Semiconductor wafer having a thin die and tethers and methods of making the same
US6693384B1 (en) 2002-02-01 2004-02-17 Alien Technology Corporation Interconnect structure for electronic devices
JP3975272B2 (ja) 2002-02-21 2007-09-12 独立行政法人産業技術総合研究所 超微細流体ジェット装置
DE60310282T2 (de) 2002-03-01 2007-05-10 Dai Nippon Printing Co., Ltd. Thermisch übertragbares Bildschutzblatt, Verfahren zur Schutzschicht-Bildung und durch das Verfahren hergestellte Aufnahme
EP2015135B1 (en) 2002-03-07 2012-02-01 Acreo AB Electrochemical device
JP3889700B2 (ja) 2002-03-13 2007-03-07 三井金属鉱業株式会社 Cofフィルムキャリアテープの製造方法
US6950220B2 (en) 2002-03-18 2005-09-27 E Ink Corporation Electro-optic displays, and methods for driving same
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US20040026684A1 (en) 2002-04-02 2004-02-12 Nanosys, Inc. Nanowire heterostructures for encoding information
JP2005524110A (ja) 2002-04-24 2005-08-11 イー−インク コーポレイション 電子表示装置
US6946205B2 (en) 2002-04-25 2005-09-20 Matsushita Electric Industrial Co., Ltd. Wiring transfer sheet and method for producing the same, and wiring board and method for producing the same
DE10219120A1 (de) 2002-04-29 2003-11-20 Infineon Technologies Ag Oberflächenfunktionalisierte anorganische Halbleiterpartikel als elektrische Halbleiter für mikroelektronische Anwendungen
EP1506568B1 (en) 2002-04-29 2016-06-01 Samsung Electronics Co., Ltd. Direct-connect signaling system
JP4052631B2 (ja) 2002-05-17 2008-02-27 株式会社東芝 アクティブマトリクス型表示装置
US20040136866A1 (en) 2002-06-27 2004-07-15 Nanosys, Inc. Planar nanowire based sensor elements, devices, systems and methods for using and making same
US7371963B2 (en) 2002-07-31 2008-05-13 Kyocera Corporation Photovoltaic power generation system
US7117581B2 (en) 2002-08-02 2006-10-10 Symbol Technologies, Inc. Method for high volume assembly of radio frequency identification tags
EP1530510A1 (de) 2002-08-27 2005-05-18 Nanosys GMBH Verfahren zur hydrophobierung der oberfläche eines porösen substrats unter beibehaltung seiner porosität
AU2003298998A1 (en) 2002-09-05 2004-04-08 Nanosys, Inc. Oriented nanostructures and methods of preparing
CA2497451A1 (en) 2002-09-05 2004-03-18 Nanosys, Inc. Organic species that facilitate charge transfer to or from nanostructures
US6878871B2 (en) 2002-09-05 2005-04-12 Nanosys, Inc. Nanostructure and nanocomposite based compositions and photovoltaic devices
EP2399970A3 (en) 2002-09-05 2012-04-18 Nanosys, Inc. Nanocomposites
AU2003283973B2 (en) 2002-09-30 2008-10-30 Oned Material Llc Large-area nanoenabled macroelectronic substrates and uses therefor
WO2004032191A2 (en) 2002-09-30 2004-04-15 Nanosys, Inc. Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
US7051945B2 (en) 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
CA2499944A1 (en) 2002-09-30 2004-04-15 Nanosys, Inc. Integrated displays using nanowire transistors
WO2004034025A2 (en) 2002-10-10 2004-04-22 Nanosys, Inc. Nano-chem-fet based biosensors
TWI239606B (en) 2002-11-07 2005-09-11 Kobe Steel Ltd Heat spreader and semiconductor device and package using the same
US6825559B2 (en) * 2003-01-02 2004-11-30 Cree, Inc. Group III nitride based flip-chip intergrated circuit and method for fabricating
DE10304777B4 (de) * 2003-02-05 2006-11-23 Infineon Technologies Ag Verfahren zur Herstellung eines Chipnutzens mittels eines Hitze- und Druckprozesses unter Verwendung eines thermoplastischen Materials und Vorrichtung zur Durchführung des Verfahrens
WO2005022120A2 (en) 2003-03-11 2005-03-10 Nanosys, Inc. Process for producing nanocrystals and nanocrystals produced thereby
US7253735B2 (en) 2003-03-24 2007-08-07 Alien Technology Corporation RFID tags and processes for producing RFID tags
US7491892B2 (en) 2003-03-28 2009-02-17 Princeton University Stretchable and elastic interconnects
US20050227389A1 (en) 2004-04-13 2005-10-13 Rabin Bhattacharya Deformable organic devices
US7465678B2 (en) 2003-03-28 2008-12-16 The Trustees Of Princeton University Deformable organic devices
US7056409B2 (en) 2003-04-17 2006-06-06 Nanosys, Inc. Structures, systems and methods for joining articles and materials and uses therefor
US7074294B2 (en) 2003-04-17 2006-07-11 Nanosys, Inc. Structures, systems and methods for joining articles and materials and uses therefor
US20050038498A1 (en) 2003-04-17 2005-02-17 Nanosys, Inc. Medical device applications of nanostructured surfaces
JP4871726B2 (ja) 2003-04-28 2012-02-08 ナノシス・インク. 超疎液性表面、その作製法及び用途
US20040211458A1 (en) 2003-04-28 2004-10-28 General Electric Company Tandem photovoltaic cell stacks
TWI427709B (zh) 2003-05-05 2014-02-21 Nanosys Inc 用於增加表面面積之應用的奈米纖維表面
AU2003902270A0 (en) 2003-05-09 2003-05-29 Origin Energy Solar Pty Ltd Separating and assembling semiconductor strips
US7244326B2 (en) 2003-05-16 2007-07-17 Alien Technology Corporation Transfer assembly for manufacturing electronic devices
US7265298B2 (en) 2003-05-30 2007-09-04 The Regents Of The University Of California Serpentine and corduroy circuits to enhance the stretchability of a stretchable electronic device
EP1637551B1 (en) * 2003-05-30 2013-02-27 Nippon Soda Co., Ltd. Process for producing polymer
US7494896B2 (en) 2003-06-12 2009-02-24 International Business Machines Corporation Method of forming magnetic random access memory (MRAM) devices on thermally-sensitive substrates using laser transfer
US7033961B1 (en) 2003-07-15 2006-04-25 Rf Micro Devices, Inc. Epitaxy/substrate release layer
US7439158B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7091120B2 (en) 2003-08-04 2006-08-15 Nanosys, Inc. System and process for producing nanowire composites and electronic substrates therefrom
WO2005015480A2 (en) 2003-08-09 2005-02-17 Alien Technology Corporation Methods and apparatuses to identify devices
US7029951B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Cooling system for a semiconductor device and method of fabricating same
JP2007507101A (ja) 2003-09-24 2007-03-22 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体装置、半導体装置の製造方法、識別ラベル及び情報担体
GB0323285D0 (en) 2003-10-04 2003-11-05 Koninkl Philips Electronics Nv Device and method of making a device having a patterned layer on a flexible substrate
GB0323286D0 (en) 2003-10-04 2003-11-05 Koninkl Philips Electronics Nv Device and method of making a device having a flexible layer structure
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
DE10349963A1 (de) 2003-10-24 2005-06-02 Leonhard Kurz Gmbh & Co. Kg Verfahren zur Herstellung einer Folie
WO2005046470A1 (en) 2003-11-06 2005-05-26 The Regents Of The University Of Colorado, A Body Corporate Shape-memory polymer coated electrodes
WO2005054119A2 (en) 2003-12-01 2005-06-16 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating three-dimensional nanoscale structures
US20050124712A1 (en) 2003-12-05 2005-06-09 3M Innovative Properties Company Process for producing photonic crystals
DE10361940A1 (de) 2003-12-24 2005-07-28 Restate Patent Ag Degradationssteuerung biodegradierbarer Implantate durch Beschichtung
TWI299358B (en) 2004-03-12 2008-08-01 Hon Hai Prec Ind Co Ltd Thermal interface material and method for making same
US7052924B2 (en) 2004-03-29 2006-05-30 Articulated Technologies, Llc Light active sheet and methods for making the same
MXPA06011114A (es) 2004-03-29 2007-01-25 Articulated Technologies Llc Hoja luminosa fabricada de rodillo a rodillo y dispositivos encapsulados de circuito semiconductor.
CN100383213C (zh) 2004-04-02 2008-04-23 清华大学 一种热界面材料及其制造方法
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
WO2005104756A2 (en) 2004-04-27 2005-11-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
WO2005106934A1 (en) 2004-04-28 2005-11-10 Iufc-Hyu Flexible electro-optical apparatus and method for manufacturing the same
JP2005322858A (ja) 2004-05-11 2005-11-17 Shinko Electric Ind Co Ltd 半導体装置の製造方法
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7943491B2 (en) 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
WO2005122285A2 (en) 2004-06-04 2005-12-22 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7629691B2 (en) 2004-06-16 2009-12-08 Honeywell International Inc. Conductor geometry for electronic circuits fabricated on flexible substrates
US7425523B2 (en) 2004-07-05 2008-09-16 Dai Nippon Printing Co., Ltd. Thermal transfer recording material and thermal transfer recording method
US7687886B2 (en) 2004-08-19 2010-03-30 Microlink Devices, Inc. High on-state breakdown heterojunction bipolar transistor
US7621044B2 (en) 2004-10-22 2009-11-24 Formfactor, Inc. Method of manufacturing a resilient contact
CN101057132B (zh) 2004-11-04 2012-04-18 雷尼绍诊断有限公司 用于增强的拉曼光谱学的金属纳米孔光子晶体
US7695602B2 (en) 2004-11-12 2010-04-13 Xerox Corporation Systems and methods for transporting particles
US20060127817A1 (en) 2004-12-10 2006-06-15 Eastman Kodak Company In-line fabrication of curved surface transistors
US7229901B2 (en) 2004-12-16 2007-06-12 Wisconsin Alumni Research Foundation Fabrication of strained heterojunction structures
US20060132025A1 (en) 2004-12-22 2006-06-22 Eastman Kodak Company Flexible display designed for minimal mechanical strain
US7374968B2 (en) 2005-01-28 2008-05-20 Hewlett-Packard Development Company, L.P. Method of utilizing a contact printing stamp
WO2006130558A2 (en) 2005-06-01 2006-12-07 The Board Of Trustees Of The University Of Illinois Flexible structures for sensors and electronics
WO2006130721A2 (en) 2005-06-02 2006-12-07 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7763353B2 (en) 2005-06-10 2010-07-27 Ut-Battelle, Llc Fabrication of high thermal conductivity arrays of carbon nanotubes and their composites
WO2007000037A1 (en) 2005-06-29 2007-01-04 Mitchell, Richard, J. Bendable high flux led array
KR100758699B1 (ko) 2005-08-29 2007-09-14 재단법인서울대학교산학협력재단 고종횡비 나노구조물 형성방법 및 이를 이용한 미세패턴형성방법
KR100752348B1 (ko) * 2005-10-20 2007-08-27 (주) 비앤피 사이언스 수직 구조 발광 다이오드 제조 방법
US7829909B2 (en) * 2005-11-15 2010-11-09 Verticle, Inc. Light emitting diodes and fabrication methods thereof
TWI333228B (en) * 2006-01-13 2010-11-11 Ind Tech Res Inst Method of fabricating field emission display device and cathode plate thereof
JP4741383B2 (ja) * 2006-02-17 2011-08-03 富士通セミコンダクター株式会社 電子部品の樹脂封止方法
JP2009528254A (ja) 2006-03-03 2009-08-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 空間的に配列したナノチューブ及びナノチューブアレイの作製方法
US7682850B2 (en) * 2006-03-17 2010-03-23 Philips Lumileds Lighting Company, Llc White LED for backlight with phosphor plates
US20070227586A1 (en) 2006-03-31 2007-10-04 Kla-Tencor Technologies Corporation Detection and ablation of localized shunting defects in photovoltaics
WO2008030666A2 (en) 2006-07-25 2008-03-13 The Board Of Trustees Of The University Of Illinois Multispectral plasmonic crystal sensors
DE102006037433B4 (de) 2006-08-09 2010-08-19 Ovd Kinegram Ag Verfahren zur Herstellung eines Mehrschichtkörpers sowie Mehrschichtkörper
TWI378747B (en) 2006-08-18 2012-12-01 Ind Tech Res Inst Flexible electronic assembly
KR101814683B1 (ko) 2006-09-06 2018-01-05 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 2차원 인장 가능하고 구부릴 수 있는 장치
DE102006051745B4 (de) * 2006-09-28 2024-02-08 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung LED-Halbleiterkörper und Verwendung eines LED-Halbleiterkörpers
JP5584616B2 (ja) 2007-06-08 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 光出力デバイス
US9061494B2 (en) 2007-07-19 2015-06-23 The Board Of Trustees Of The University Of Illinois High resolution electrohydrodynamic jet printing for manufacturing systems
CN102113089B (zh) 2008-03-05 2014-04-23 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
WO2010005707A1 (en) 2008-06-16 2010-01-14 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US8679888B2 (en) 2008-09-24 2014-03-25 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells
US8476668B2 (en) 2009-04-06 2013-07-02 Cree, Inc. High voltage low current surface emitting LED
EP2430652B1 (en) 2009-05-12 2019-11-20 The Board of Trustees of the University of Illionis Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
JP6046491B2 (ja) 2009-12-16 2016-12-21 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ コンフォーマル電子機器を使用した生体内での電気生理学
US9057994B2 (en) 2010-01-08 2015-06-16 The Board Of Trustees Of The University Of Illinois High resolution printing of charge
CN102892356B (zh) 2010-03-17 2016-01-13 伊利诺伊大学评议会 基于生物可吸收基质的可植入生物医学装置
WO2012016130A2 (en) 2010-07-30 2012-02-02 California Institute Of Technology Polymer-doped vertically-aligned nematic liquid crystals
US8035284B2 (en) 2010-09-22 2011-10-11 Bridgelux, Inc. Distributed LED-based light source
US8562095B2 (en) 2010-11-01 2013-10-22 The Board Of Trustees Of The University Of Illinois High resolution sensing and control of electrohydrodynamic jet printing
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
EP2713863B1 (en) 2011-06-03 2020-01-15 The Board of Trustees of the University of Illionis Conformable actively multiplexed high-density surface electrode array for brain interfacing
US9555644B2 (en) 2011-07-14 2017-01-31 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
KR101979354B1 (ko) 2011-12-01 2019-08-29 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 프로그램 변형을 실행하도록 설계된 과도 장치
CN105283122B (zh) 2012-03-30 2020-02-18 伊利诺伊大学评议会 可共形于表面的可安装于附肢的电子器件
US10497633B2 (en) 2013-02-06 2019-12-03 The Board Of Trustees Of The University Of Illinois Stretchable electronic systems with fluid containment
JP6578562B2 (ja) 2013-04-12 2019-09-25 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 無機及び有機の過渡電子デバイス
EP3052017B1 (en) 2013-10-02 2019-12-11 The Board of Trustees of the University of Illionis Organ mounted electronics
CN106463553A (zh) 2014-01-16 2017-02-22 伊利诺斯州大学信托董事会 基于印刷的多结、多端光伏装置
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060255341A1 (en) 2005-04-21 2006-11-16 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20070032044A1 (en) 2005-08-08 2007-02-08 Silicon Genesis Corporation Method and structure for fabricating devices using one or more films provided by a layer transfer process and etch back
US20080108171A1 (en) * 2006-09-20 2008-05-08 Rogers John A Release strategies for making transferable semiconductor structures, devices and device components
WO2008143635A1 (en) * 2007-01-17 2008-11-27 The Board Of Trustees Of The University Of Illinois Optical systems fabricated by printing-based assembly
US20090014748A1 (en) * 2007-07-11 2009-01-15 Sony Corporation Method of electrically connecting element to wiring, method of producing light-emitting element assembly, and light-emitting element assembly
WO2009034349A2 (en) 2007-09-12 2009-03-19 Photonstar Led Limited Electrically isolated vertical light emitting diode structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11270983B2 (en) 2018-10-15 2022-03-08 Semtech Corporation System and method for providing mechanical isolation of assembled diodes
US11476387B2 (en) 2019-11-22 2022-10-18 Tectus Corporation Ultra-dense array of LEDs with half cavities and reflective sidewalls, and hybrid bonding methods
WO2022159348A1 (en) * 2021-01-21 2022-07-28 Tectus Corporation Ultra-dense array of leds with half cavities and reflective sidewalls, and hybrid bonding methods
CN113448004A (zh) * 2021-07-15 2021-09-28 天津华慧芯科技集团有限公司 一种在二维材料上加工光栅的方法

Also Published As

Publication number Publication date
KR20170018112A (ko) 2017-02-15
TWI573185B (zh) 2017-03-01
US10546841B2 (en) 2020-01-28
TW201606863A (zh) 2016-02-16
TWI592996B (zh) 2017-07-21
EP2430652A4 (en) 2015-03-04
TW201113940A (en) 2011-04-16
EP2430652B1 (en) 2019-11-20
US20100317132A1 (en) 2010-12-16
US9647171B2 (en) 2017-05-09
KR101706915B1 (ko) 2017-02-15
EP2430652A1 (en) 2012-03-21
WO2010132552A1 (en) 2010-11-18
TWI671811B (zh) 2019-09-11
KR20120065273A (ko) 2012-06-20
TW201711095A (zh) 2017-03-16
US20170200707A1 (en) 2017-07-13
US20150132873A1 (en) 2015-05-14
US8865489B2 (en) 2014-10-21

Similar Documents

Publication Publication Date Title
KR101870690B1 (ko) 변형가능 및 반투과 디스플레이를 위한 초박형, 미세구조 무기발광다이오드의 인쇄 어셈블리
US9765934B2 (en) Thermally managed LED arrays assembled by printing
CN107078094B (zh) 用于制备用于微组装的GaN及相关材料的系统及方法
JP6245767B2 (ja) 印刷ベースの組立により製作される光学システム
TWI654770B (zh) 二維可延伸且可撓曲設備及其製造方法
US9324733B2 (en) Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
TW201834133A (zh) 微轉印可印刷覆晶結構及方法
JP2020043209A (ja) マイクロledアレイの製造方法、及びマイクロledディスプレイの製造方法、並びにマイクロledアレイ、及びマイクロledディスプレイ
Lee et al. Non-deterministic transfer-printing of LED chips with controllable pitch using stretchable elastomeric stamps
CN113629095B (zh) 发光显示装置以及发光显示装置的制作方法
Oswalt et al. Interposing of Microelectronics by Micro Transfer Printing to Create 3-D Structures
KR101718652B1 (ko) 무전사 플렉서블 수직형 발광다이오드 및 이의 제작 방법
Brueckner Fabrication and transfer assembly of microscale, solid-state light emitting diodes and solar cells for transparent and flexible electronics applications

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant