CN104081512B - 腔室密封构件 - Google Patents
腔室密封构件 Download PDFInfo
- Publication number
- CN104081512B CN104081512B CN201280057466.4A CN201280057466A CN104081512B CN 104081512 B CN104081512 B CN 104081512B CN 201280057466 A CN201280057466 A CN 201280057466A CN 104081512 B CN104081512 B CN 104081512B
- Authority
- CN
- China
- Prior art keywords
- containment member
- reaction chamber
- receiver
- containment
- lower area
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000006243 chemical reaction Methods 0.000 claims abstract description 56
- 239000000758 substrate Substances 0.000 claims abstract description 28
- 238000012545 processing Methods 0.000 claims abstract description 14
- 238000000034 method Methods 0.000 claims description 41
- 230000008569 process Effects 0.000 claims description 33
- 239000007789 gas Substances 0.000 claims description 22
- 239000007921 spray Substances 0.000 claims description 5
- 239000010453 quartz Substances 0.000 claims description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 4
- 230000009977 dual effect Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- 238000004891 communication Methods 0.000 description 3
- 238000009434 installation Methods 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 230000009471 action Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005520 cutting process Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000003607 modifier Substances 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 238000004062 sedimentation Methods 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- DMFGNRRURHSENX-UHFFFAOYSA-N beryllium copper Chemical compound [Be].[Cu] DMFGNRRURHSENX-UHFFFAOYSA-N 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000005265 energy consumption Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000008187 granular material Substances 0.000 description 1
- 229910001026 inconel Inorganic materials 0.000 description 1
- 238000002372 labelling Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N titanium dioxide Inorganic materials O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- -1 yittrium oxide Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/0053—Details of the reactor
- B01J19/0073—Sealings
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J8/00—Chemical or physical processes in general, conducted in the presence of fluids and solid particles; Apparatus for such processes
- B01J8/0015—Feeding of the particles in the reactor; Evacuation of the particles out of the reactor
- B01J8/0035—Periodical feeding or evacuation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4409—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4585—Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
Abstract
一种反应腔室包括用于处理衬底的上部区域、用于加载衬底的下部区域、可在反应腔室内移动的承受器、定位在承受器周界上的第一密封构件、定位在上部区域和下部区域之间的第二密封构件,其中,第一和第二密封构件有选择地彼此啮合,以限制上部区域和下部区域之间的连通。
Description
相关申请的交互参照
本申请要求对2011年11月23日提交的美国专利临时申请No.61/563,232的优先权益,本文以参见方式引入其披露内容。
背景技术
薄膜沉积反应腔室一般地制造成有单一腔室或双腔室。在双腔室布置中,两个腔室可定向成使一个腔室垂直地在另一腔室上方。上部腔室用于衬底的处理,而下部腔室用于衬底加载和卸载。双腔室反应器中通常出现的问题是沉积颗粒涂敷在下部腔室壁上,并需要经常进行腔室清洁。
在衬底处理工具中加热正在处理的衬底也会是困难的。衬底加热中的变化可导致衬底内温度变化。如此的衬底内温度变化可导致衬底内处理的不均匀性。在某些设置中,呈现如此不均匀性的衬底可产生有缺陷的器件。此外,沉积产物可沉积在下部处理腔室中,导致反应腔室内温度降低,因此,为克服不充分的加热而增加了能耗。此外,沉积产物在腔室内积聚可导致过早清洁腔室的要求并增加成本。
发明内容
本发明的各方面涉及用于处理衬底的反应腔室。在一个方面,反应腔室包括用于处理衬底的上部区域、用于加载衬底的下部区域、可在反应腔室内移动的承受器、定位在承受器周界上的第一密封构件、定位在上部区域和下部区域之间的第二密封构件,其中,第一和第二密封构件有选择地彼此啮合,以限制上部区域和下部区域之间的连通。
在一种实施方式中,第一密封构件可移除地定位在承受器上。第二密封构件可移除地定位在上部区域和下部区域之间。反应腔室还可包括承受器周界和第一密封构件之间的间隙。当反应腔室处于处理温度下时,该间隙可减小。第一密封构件可随承受器垂直地移动。反应腔室还可包括上部区域中的喷淋头,其中,第二密封构件固定在喷淋头和第一密封构件之间。当承受器处于处理位置中时,处理气体可在第一密封构件和第二密封构件之间移动。
第一密封构件和第二密封构件可由石英组成。密封构件可自行对中在承受器上。第一密封构件还可包括至少一个向上延伸的突出部。第二密封构还可包括至少一个向下延伸的突出部。当承受器处于处理位置中时,第一密封构件的至少一个突出部和第二密封构件的至少一个突出部可嵌套在一起。蜿蜒曲折路径可形成在第一密封构件和第二密封构件之间。第一密封构件和第二密封构件的至少一个突出部还可各包括三个突出部。
第二密封构件可以是柔性的,当承受器处于处理位置中时,第一密封构件可使第二密封构件偏移。第二密封构件可固定到下部区域内的反应腔室。第二密封构件还可包括多个凹口。第二密封构件还可包括多个径向对齐的狭槽。第二密封构件还可包括两个带有彼此偏离定位的径向对齐狭槽的第二密封构件。
在另一方面,反应腔室隔离装置包括可在反应腔室内移动并可定位在承受器上的第一密封构件、定位在反应腔室内的上部区域和下部区域之间的第二密封构件,其中,第一和第二密封构件有选择地彼此啮合,以限制上部区域和下部区域之间的连通。
在一种实施方式中,第一密封构件还可包括至少一个向上延伸的突出部,第二密封构件还可包括至少一个向下延伸的突出部。当承受器处于处理位置中时,第一密封构件的至少一个突出部和第二密封构件的至少一个突出部可嵌套在一起。蜿蜒曲折路径可形成在第一密封构件和第二密封构件之间。第二密封构件是柔性的,当承受器处于处理位置中时,第一密封构件可使第二密封构件偏移。
以下在附图和详细描述中,对这里给出的本发明的各个方面和实施方式进行描述。除非有具体指出,本说明书和权利要求书中的词语,对于本技术领域内的技术人员来说都是平常、普通和惯常的含义。发明人完全知道,如果需要的话,则他们可以自己是辞典编纂者。发明人自己作为编纂者专门作出选择,除非发明人清楚地另有所述,然后进一步阐述该术语的“特殊”定义,并解释它如何不同于平淡和普通的含义,否则在说明书和权利要求书中只使用术语的平淡和普通的含义。没有如此清楚陈述的意图来应用“特殊”定义,正是发明人的意图和愿望,用术语的简单、平淡和普通的含义来诠释说明书和权利要求书。
发明人还知道英语语法的一般规则。因此,如果一个名词、术语或词语用来进一步表示特征、规定或某种方式的狭义化,则根据英语语法的一般规则,如此的名词、术语或词语将清楚地包括附加的形容词、描述性术语或其他修饰语。不使用如此的形容词、描述性术语或其他修饰语,对于本技术领域内技术人员,如上所述地,给予如此的名词、术语或词语以平淡的和普通的英语含义正是发明人的意图。
从详细描述和附图以及从权利要求书中,本技术领域内的技术人员将会明白上述的和其他的方面、特征和优点。
附图说明
下面将结合附图来描述本发明的实施例,其中,相同的附图标记表示相同的元件,以及:
图1示意地示出衬底处理腔室,其包括处于衬底加载位置中的第一密封构件和第二密封构件。
图2示意地示出衬底处理腔室,其带有承受器、处于处理位置中的第一密封构件和第二密封构件。
图3示意地示出承受器和密封构件的一部分的仰视立体图。
图4示意地示出承受器和密封构件的分解的立体分解图。
图5示意地示出图2中标以图5的区域的剖视图。
图6示意地示出图2中标以图5的区域的剖视图,该区域带有第二实施方式的密封构件。
图7示意地示出衬底处理腔室,其包括处于衬底加载位置中的第一密封构件和第二密封构件。
图8示意地示出衬底处理腔室,其带有承受器、处于处理位置中的第一密封构件和第二密封构件。
图9示意地示出第三实施方式的第二密封构件的立体图。
图10示意地示出图8中标以图10的区域的剖视图。
图11示意地示出第四实施方式的第二密封构件的立体图。
图12示意地示出图8中标以图10的区域的剖视图,其带有第四实施方式的第二密封构件,第一和第二密封构件脱开啮合。
图13示意地示出大致围绕图12中线13-13剖切的剖视图。
图14示意地示出大致围绕图13中线14-14剖切的剖视图。
图15示意地示出图8中标以图10的区域的剖视图,其带有第四实施方式的第二密封构件,第一和第二密封构件啮合中一起。
具体实施方式
本发明的各方面和实施方式可用功能方块部件和各种处理步骤来描述。如此的功能方块可用任何数量的硬件或软件部件来实现,它们构造成执行规定的功能并达到各种结果。例如,本发明的诸方面可使用各种传感器、探测器、流量控制装置、加热器等,它们可执行各种功能。此外,本发明的各个方面和实施方式可结合任何数量的处理方法来实践,所述装置和系统可使用任何数量的处理方法,所述装置和系统只是本发明应用的实例。
图1和2分别示出处于加载/卸载位置中和处理位置中的反应腔室20的视图。反应腔室20可包括上部区域22和下部区域24,两个区域可被接口板26分开。一般地说,处理发生在上部区域22内,而衬底加载和卸载发生在下部区域24内。承受器28包括衬底安装表面30并连接到可垂直移动的提升器32,以使承受器在衬底加载位置和衬底处理位置之间位移。衬底34可定位在衬底安装表面30上,当所定位的承受器28沿着图所示的箭头38的方向向上移动时,衬底34可位于处理区域36内,喷淋头40形成处理区域的上表面。正如下文中要详细讨论的,第一密封构件42可定位在承受器28上并可移离承受器28,而第二密封构件44可定位在上部区域22和下部区域24之间。具体来说,第二密封构件44可定位成至少部分地搁靠在接口板26上,或可连接到接口板或反应腔室20的任何其他合适部分,这不脱离本发明的精神和范围。
现参照图3和4,承受器28显示有分别为附连的和脱开附连的第一密封构件42和第二密封构件44。承受器28包括外表面46和向外表面46外延伸的壁架48。壁架48可大致靠近承受器下表面50定位,并布置成接纳第一密封构件42。第一密封构件42包括下部52和上部54,在一个实施方式中,使下部大致径向地定位在上部54内,但仍可使用任何合适的结构。下部52可包括底表面56,当使用第一密封构件来分离上部区域22和下部区域24时,底表面56布置成搁靠在承受器28的壁架48上。上部54可包括上表面58,外表面通过形成一部分蜿蜒曲折的路径而有助于密封,这将在下文中详细讨论。此外,下部52可包括内表面60和外表面62,而上部54可包括内表面64和外表面66。因此,上部54起作突出部的功能,其从下部52向上延伸成为第一密封构件42的部分。
第二密封构件44可包括具有底表面70的外安装环68,该外安装环68部分地形成通道72。当使用第二密封构件44来提供上部区域22和下部区域24之间的分离时,底表面70通常与接口板26接触。外安装环68还可包括多个凹口74,其可用来确保与反应腔室内其他部件恒定的和一致的对齐。第二密封构件44还可包括大致向下延伸的外突出部76和内突出部78。外突出部76可包括外表面80和内表面82,而内突出部可包括外表面84和内表面86。在该结构布置中,外突出部76的外表面80还形成第二密封构件44内的通道72,而内突出部78的外表面84和外突出部76的内表面82至少部分地形成通道88,当承受器28向上移入处理位置时,通道88用来接纳第一密封构件42的上部54。在一个实施方式中,内突出部78和外突出部76可向下延伸超出底表面70,因为底表面定位在反应腔室内的接口板上。在另一个实施方式中,内突出部78可向下延伸比外突出部76延伸短的距离。本技术领域内技术人员将会立即认识到,在不脱离本发明的精神和范围的前提下,对于密封构件可作出许多变化。
图5示出当承受器28向上移入处理位置中时,嵌套在第二密封构件44一部分内的第一密封构件42的放大剖视图。具体来说,第一密封构件42的上部54显示定位在通道88内,通道88至少部分地形成在外突出部76、内突出部78和壁90之间。由于第一和第二密封构件形成的路径蜿蜒曲折,用箭头92表示的气体路径通常不易将气体从上部区域22内传送到下部区域24。气体必须移动通过由第一密封构件42的内表面60和64与承受器28的外表面46之间的间隙94形成的蜿蜒曲折路径,通过其中定位有上部54的通道88,并最后进入下部区域24。蜿蜒曲折路径的宽度和长度可进行优化,以在上部和下部区域之间允许有可变的连通量,或在上部和下部区域之间没有连通,视处理的要求而定。
第一密封构件42和第二密封构件44可由石英、金红石、氧化钇、氧化锆、铬镍铁合金、钛、铍-铜,或任何其他合适材料组成。在某些实施方式中,第一和第二密封构件尺寸可增大或减小,由反应腔室20内温度决定。例如,当第一密封构件42由石英组成时,当反应腔室内温度增加时,特别是当温度增大接近400℃时,第一密封构件42趋于膨胀,或生长。此外,当第一密封构件42生长时,间隙94可减小,且第一密封构件42提供承受器28上的自对中功能。
现参照图6,第一密封构件42和第二密封构件44的另一方面显示为剖视图,第一密封构件42与承受器28形成一体。具体来说,除了从承受器28向上延伸的突出部98、100和102之外,第二密封构件44内还纳入了中间突出部96。在该结构布置中,第一方面的壁架48用三个突出部98、100和102代替,三个突出部嵌套在通道88内,通道88形成在从第二密封构件44向下延伸的各个不同突出部之间。在该结构布置中,气体路径92需要循着蜿蜒曲折路径通过具有突出部98、100和102的多个通道88,以进一步阻碍气体流动路径。因此,当蜿蜒曲折路径包括附加的突出部时,在上部区域22和下部区域24之间甚至获得较小气体流动连通。因此,可以看到,在第一和第二密封构件中可利用任何数量的突出部,视工具或过程所需的气体流动限制情况而定。此外,突出部之间的距离和其间的敞开空间可根据需要进行优化。最后,第一密封构件42中的各突出部可形成为分离的可移除块的部分,或可与承受器形成一体,而第二密封构件44中的突出部可形成为分离的可移除块,或可合适地与与反应腔室20或接口板形成一体。
现参照图7-15,图中示出另一方面的腔室密封装置,但其余部件是相同的和/或类似的。第一密封构件104可移除地定位在承受器28的壁架48上,而第二密封构件106大致定位在下部区域24内并可移除地附连到接口板26。具体来说,第二密封构件106用安装板108和多个螺栓110可移除地固定到接口板26。第二密封构件106可在弹簧密封构件114的外周界处用安装板108固定在下部区域24或上部区域22内。弹簧密封构件114还可包括内周界116,当承受器28沿箭头38相关的方向移动直到到达处理位置时,该内周界可被第一密封构件104偏移。
参照图9和11,弹簧密封构件114显示为在该弹簧密封构件的外周界112上有凹口118。在一种实施方式中,包括24个凹口,使用螺栓将弹簧密封构件在各个凹口处固定到安装板108并固定在接口板26和安装板108之间。如图11中还可见,可围绕弹簧密封构件114的内周界116纳入多个狭槽120,以在反应腔室的上部和下部区域之间提供最小和受控的传输率。在一种实施方式中,各狭槽120可沿着内周界116径向地对齐,并可包括大约100个狭槽,或任何其他合适的数量。本技术领域内技术人员将会认识到,可使用任何合适数量的凹口或狭槽,只要弹簧密封构件合适地固定,且上部区域和下部区域之间的气体流动速率受到控制就可。
图7、8和10示出处于未偏置位置(图7)和偏置或偏移位置(图8和10)的弹簧密封构件114视图。在偏置或偏移的视图中,弹簧密封构件114通过第一密封构件104的顶表面122弹性地向上偏移。具体来说,顶表面122定位在通过间隔部分126而与壁架48分开的架子124的平坦部分上。在该结构布置中,弹簧密封构件114通过在顶表面122处的接触而向上弯曲,由此,限制上部区域和下部区域之间的连通。流动控制环128、弹簧密封构件114、承受器28和间隙94之间的区域可收集处理或吹扫气体的某些部分,但这在衬底卸载时,处理气体最后可通过真空方法、吹扫,或使第一和第二密封构件之间脱开连接而被耗散掉。
现参照图11-15,两个弹簧密封构件114一个定位在另一个顶上,特别地如图12所示。具体来说,两个弹簧密封构件114定位在安装板108和接口板26之间,用螺栓110来保持部件之间的关系。图13和14示出两个弹簧密封构件114的布置,各个密封构件中的狭槽120彼此偏置地对齐,使得上部弹簧密封构件上的狭槽120定位在下部弹簧密封构件内的狭槽120之间。在该布置中,当承受器28如参照图7-10所讨论那样处于处理位置中时,下部区域内的气体流动被阻止流入下部区域内,但狭槽120为例外。例如,如图14所示的两个弹簧密封构件114的定向,可允许气体从上部区域在狭槽120处流过上部弹簧密封构件,然后,流过下部弹簧密封构件内的狭槽120。在该布置中,再次形成蜿蜒曲折的路径,由此,按照过程可能要求的,可在上部区域和下部区域之间连通有限的和受控的气体流动量。双弹簧密封构件的结构布置功能类似于以上讨论的单个弹簧密封构件,且仅在承受器28和第一密封构件104沿着箭头38相关的方向向上移动并且承受器处于处理位置中时,才限制和/或阻止气体在上部和下部区域之间的流动。本技术领域内的技术人员将会立即认识到,根据上部和下部区域之间要求的连通,可使用任何数量的狭槽120,而不会脱离本发明的精神和范围。
在全部的描述中,任何的气体流动连通已经以控制和/或限制的方式从上部区域22通到下部区域24。然而,提供气体从下部区域到上部区域的流动仍在本发明的精神和范围之内。例如,可在下部区域内提供吹扫的惰性气体或其他气体流动,下部区域内的气体流动压力大于下部区域内的气体流动压力。在该实例中,下部区域内较高的压力然后允许气体,通过上文描述和定义的各种蜿蜒曲折路径从下部区域流动而连通到上部区域。该结构布置可通过限制上部区域内凹腔和间隙内的谐振来减少吹扫时间,或减少积聚在下部区域内的颗粒。不管怎样,各种密封构件的气体流动连通可有选择地进行调谐,以控制上部区域和下部区域之间气体流动量和流动方向。
在操作中,第一密封构件42或104定位在承受器28上,如果适用的话,可具体地定位在壁架48上。第二密封构件44或106然后大致定位在上部和下部区域之间,或与接口板26接触,在第二密封构件44的情形中为上方,而在第二密封构件106的情形中为下方。随着第一和第二密封构件就位,承受器28下降到衬底加载位置,在该位置,衬底定位在提升销上。接下来,承受器沿着与箭头38相关的方向向上移动,直到第一密封构件与第二密封构件形成蜿蜒曲折路径为止。在某些实施方式中,当承受器处于处理位置中时,第一和第二密封构件彼此接触,而在其他的实施方式中,在第一和第二密封构件之间保持小的间隙,但一般地被纳入在蜿蜒曲折路径内。不管采用哪一种方面和实施方式,当承受器处于处理位置中时,上部和下部区域之间的气体流动受到控制和/或减到最小。在过程完成之后,承受器下降到下部区域内,上部区域和下部区域之间可再建立起规则的连通,直到另一衬底加载到承受器上,且承受器再一次移入处理位置中。
用于腔室密封构件的方法和装置的上述的和其他的实施例,可包括参照以上所述腔室密封构件的装置的实施例所描述的概念、实施例和构造。所示和所描述的特殊实施方式仅是用来说明本发明和其最佳实施方式,并不意图用任何方式另外来限制诸方面的范围和实施方式。的确,为了简洁起见,系统的传统制造、连接、准备和其他功能性方面可不作详细描述。此外,各种图中所示的连接线旨在代表各种元件之间示范的功能性关系和/或物理偶联。许多替代的或附加的功能性关系或物理偶联可出现在实用的系统中,和/或在某些实施例中可不出现它们。
如文中使用的,术语“包括”、“包含”或其任何的变体,用来指非排外的纳入,这样,包括元件清单的过程、方法、物件、组成物或装置不仅包括这些所例举的元件,而且还包括未明白列出的或如此过程、方法、物件、组成物或装置固有的其他元件。除了未予具体列出的之外,本发明实践中所用的上述结构、布置、应用、比例、元件、材料或部件的其他的组合和/或修改可以改变,或其他方式特别地适用于特别的环境、制造技术规格书、设计参数或其他操作要求,而不会脱离它们的一般原理。
应该理解到,文中所描述的构造和/或方法本质上是示范性的,这些实施例或实例不应被认为是限制意义的,因为许多变化都是可能的。文中所述的特殊程序或方法可代表任何多种处理策略中的一种或多种。因此,所示的各种动作可按所示顺序或其他顺序执行,或在某些情形中可省略掉。
本发明的主题内容包括各种过程、系统和构造和其他特征、功能、动作和/或文中所述的特性,以及任何它们的等价物和全部的等价物的所有新颖和非凡的组合和子组合。
Claims (26)
1.一种反应腔室,包括:
用于处理衬底的上部区域;
用于加载衬底的下部区域;
所述上部区域与所述下部区域之间的接口板;
在所述反应腔室内可移动的承受器;
当所述承受器位于所述下部区域内时定位在所述承受器周界上的第一密封构件;
具有与所述接口板的顶部啮合的底部且定位在所述上部区域和所述下部区域之间的第二密封构件;
其中,所述第一和第二密封构件有选择地彼此啮合,以限制所述上部区域和所述下部区域之间的连通。
2.如权利要求1所述的反应腔室,其特征在于,所述第一密封构件可移除地定位在所述承受器上。
3.如权利要求1所述的反应腔室,其特征在于,所述第二密封构件可移除地定位在所述上部区域和所述下部区域之间。
4.如权利要求1所述的反应腔室,其特征在于,还包括所述承受器周界和所述第一密封构件之间的间隙。
5.如权利要求4所述的反应腔室,其特征在于,当所述反应腔室处于处理温度下时,所述间隙减小。
6.如权利要求1所述的反应腔室,其特征在于,所述第一密封构件随所述承受器垂直地移动。
7.如权利要求1所述的反应腔室,其特征在于,还包括所述上部区域中的喷淋头,其中,所述第二密封构件固定在所述喷淋头和所述第一密封构件之间。
8.如权利要求1所述的反应腔室,其特征在于,当所述承受器处于处理位置中时,处理气体在所述第一密封构件和所述第二密封构件之间行进。
9.如权利要求1所述的反应腔室,其特征在于,所述第一密封构件和所述第二密封构件由石英组成。
10.如权利要求1所述的反应腔室,其特征在于,所述第一密封构件自对中在所述承受器上。
11.如权利要求1所述的反应腔室,其特征在于,所述第一密封构件还包括至少一个向上延伸的突出部。
12.如权利要求11所述的反应腔室,其特征在于,所述第二密封构件还包括至少一个向下延伸的突出部。
13.如权利要求12所述的反应腔室,其特征在于,当所述承受器处于处理位置中时,所述第一密封构件的至少一个突出部和所述第二密封构件的至少一个突出部嵌套在一起。
14.如权利要求13所述的反应腔室,其特征在于,蜿蜒曲折路径形成在所述第一密封构件和所述第二密封构件之间。
15.如权利要求12所述的反应腔室,其特征在于,所述第一密封构件和所述第二密封构件中的一个或两个包括三个突出部。
16.如权利要求1所述的反应腔室,其特征在于,所述第二密封构件是挠性的,当所述承受器处于处理位置中时,所述第一密封构件使第二密封构件偏移。
17.如权利要求16所述的反应腔室,其特征在于,所述第二密封构件在所述下部区域内固定到所述反应腔室。
18.如权利要求16所述的反应腔室,其特征在于,所述第二密封构件还包括多个凹口。
19.如权利要求16所述的反应腔室,其特征在于,所述第二密封构件还包括多个径向对齐的狭槽。
20.如权利要求19所述的反应腔室,其特征在于,所述第二密封构件还包括两个带有彼此偏离定位的径向对齐狭槽的弹簧密封构件。
21.一种反应腔室隔离装置,包括:
在反应腔室内可移动并可定位在承受器上的第一密封构件,所述第一密封构件包括上部和下部,所述下部位于所述上部内部;
在反应腔室内的上部区域和下部区域之间可定位在接口板上的第二密封构件;以及
其中,第一和第二密封构件有选择地彼此啮合,以限制所述上部区域和所述下部区域之间的连通。
22.如权利要求21所述的反应腔室隔离装置,其特征在于,所述第一密封构件还包括至少一个向上延伸的突出部,所述第二密封构件还包括至少一个向下延伸的突出部。
23.如权利要求22所述的反应腔室隔离装置,其特征在于,当所述承受器处于处理位置中时,所述第一密封构件的至少一个突出部和所述第二密封构件的至少一个突出部嵌套在一起。
24.如权利要求23所述的反应腔室隔离装置,其特征在于,蜿蜒曲折路径形成在所述第一密封构件和所述第二密封构件之间。
25.如权利要求21所述的反应腔室隔离装置,其特征在于,所述第二密封构件是挠性的,当所述承受器处于处理位置中时,所述第一密封构件使第二密封构件偏移。
26.一种反应腔室隔离装置,包括:
在反应腔室内可移动并可移除定位在承受器上的第一密封构件;以及
在所述反应腔室内在上部区域和下部区域之间可定位在接口板上的第二密封构件;以及
其中,第一和第二密封构件有选择地彼此啮合,以形成蜿蜒曲折路径,所述蜿蜒曲折路径包括所述第一密封构件的内表面与所述承受器的外表面之间的间隙。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161563232P | 2011-11-23 | 2011-11-23 | |
US61/563,232 | 2011-11-23 | ||
PCT/US2012/065343 WO2013078065A1 (en) | 2011-11-23 | 2012-11-15 | Chamber sealing member |
Publications (2)
Publication Number | Publication Date |
---|---|
CN104081512A CN104081512A (zh) | 2014-10-01 |
CN104081512B true CN104081512B (zh) | 2016-07-27 |
Family
ID=47501408
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201280057466.4A Active CN104081512B (zh) | 2011-11-23 | 2012-11-15 | 腔室密封构件 |
Country Status (6)
Country | Link |
---|---|
US (2) | US9005539B2 (zh) |
KR (2) | KR101860924B1 (zh) |
CN (1) | CN104081512B (zh) |
DE (1) | DE112012004880B4 (zh) |
TW (1) | TWI575106B (zh) |
WO (1) | WO2013078065A1 (zh) |
Families Citing this family (386)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8986456B2 (en) * | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
USD795315S1 (en) * | 2014-12-12 | 2017-08-22 | Ebara Corporation | Dresser disk |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) * | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
JP5951095B1 (ja) * | 2015-09-08 | 2016-07-13 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラム |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10358721B2 (en) * | 2015-10-22 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor manufacturing system including deposition apparatus |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
CN110234793B (zh) | 2017-02-08 | 2020-10-02 | 皮考逊公司 | 具有可移动结构的沉积或清洁装置和操作方法 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872803B2 (en) * | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) * | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
KR20190092154A (ko) | 2018-01-30 | 2019-08-07 | 삼성전자주식회사 | 반도체 설비의 실링 장치 및 기류 산포 제어 장치 |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
JP7225599B2 (ja) * | 2018-08-10 | 2023-02-21 | 東京エレクトロン株式会社 | 成膜装置 |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
CN111058093B (zh) * | 2018-10-16 | 2020-11-10 | 北京北方华创微电子装备有限公司 | 腔室密封组件及生长炉 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US10998209B2 (en) | 2019-05-31 | 2021-05-04 | Applied Materials, Inc. | Substrate processing platforms including multiple processing chambers |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US20210032750A1 (en) * | 2019-07-31 | 2021-02-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Deposition apparatus and method of forming metal oxide layer using the same |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
US11631571B2 (en) | 2019-08-12 | 2023-04-18 | Kurt J. Lesker Company | Ultra high purity conditions for atomic scale processing |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
WO2021257773A1 (en) * | 2020-06-17 | 2021-12-23 | Applied Materials, Inc. | High temperature chemical vapor deposition lid |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12080571B2 (en) | 2020-07-08 | 2024-09-03 | Applied Materials, Inc. | Substrate processing module and method of moving a workpiece |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11817331B2 (en) | 2020-07-27 | 2023-11-14 | Applied Materials, Inc. | Substrate holder replacement with protective disk during pasting process |
US11749542B2 (en) | 2020-07-27 | 2023-09-05 | Applied Materials, Inc. | Apparatus, system, and method for non-contact temperature monitoring of substrate supports |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
US11600507B2 (en) | 2020-09-09 | 2023-03-07 | Applied Materials, Inc. | Pedestal assembly for a substrate processing chamber |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11610799B2 (en) | 2020-09-18 | 2023-03-21 | Applied Materials, Inc. | Electrostatic chuck having a heating and chucking capabilities |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11674227B2 (en) | 2021-02-03 | 2023-06-13 | Applied Materials, Inc. | Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US12002668B2 (en) | 2021-06-25 | 2024-06-04 | Applied Materials, Inc. | Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool |
CN117980532A (zh) * | 2021-08-25 | 2024-05-03 | 应用材料公司 | 使用与反应器框架配合的弹性物体的工艺气体围堵 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (388)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2745640A (en) | 1953-09-24 | 1956-05-15 | American Viscose Corp | Heat exchanging apparatus |
US2990045A (en) | 1959-09-18 | 1961-06-27 | Lipe Rollway Corp | Thermally responsive transmission for automobile fan |
US4393013A (en) | 1970-05-20 | 1983-07-12 | J. C. Schumacher Company | Vapor mass flow control system |
US3833492A (en) | 1971-09-22 | 1974-09-03 | Pollution Control Ind Inc | Method of producing ozone |
US3862397A (en) | 1972-03-24 | 1975-01-21 | Applied Materials Tech | Cool wall radiantly heated reactor |
US3854443A (en) | 1973-12-19 | 1974-12-17 | Intel Corp | Gas reactor for depositing thin films |
US3887790A (en) | 1974-10-07 | 1975-06-03 | Vernon H Ferguson | Wrap-around electric resistance heater |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
US4194536A (en) | 1976-12-09 | 1980-03-25 | Eaton Corporation | Composite tubing product |
US4176630A (en) | 1977-06-01 | 1979-12-04 | Dynair Limited | Automatic control valves |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4322592A (en) | 1980-08-22 | 1982-03-30 | Rca Corporation | Susceptor for heating semiconductor substrates |
US4436674A (en) | 1981-07-30 | 1984-03-13 | J.C. Schumacher Co. | Vapor mass flow control system |
US4512113A (en) | 1982-09-23 | 1985-04-23 | Budinger William D | Workpiece holder for polishing operation |
US4499354A (en) | 1982-10-06 | 1985-02-12 | General Instrument Corp. | Susceptor for radiant absorption heater system |
US4570328A (en) | 1983-03-07 | 1986-02-18 | Motorola, Inc. | Method of producing titanium nitride MOS device gate electrode |
US4735259A (en) | 1984-02-21 | 1988-04-05 | Hewlett-Packard Company | Heated transfer line for capillary tubing |
USD288556S (en) | 1984-02-21 | 1987-03-03 | Pace, Incorporated | Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards |
US4653541A (en) | 1985-06-26 | 1987-03-31 | Parker Hannifin Corporation | Dual wall safety tube |
US4789294A (en) | 1985-08-30 | 1988-12-06 | Canon Kabushiki Kaisha | Wafer handling apparatus and method |
US4722298A (en) | 1986-05-19 | 1988-02-02 | Machine Technology, Inc. | Modular processing apparatus for processing semiconductor wafers |
US4882199A (en) | 1986-08-15 | 1989-11-21 | Massachusetts Institute Of Technology | Method of forming a metal coating on a substrate |
US4753192A (en) | 1987-01-08 | 1988-06-28 | Btu Engineering Corporation | Movable core fast cool-down furnace |
US4821674A (en) | 1987-03-31 | 1989-04-18 | Deboer Wiebe B | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
US4827430A (en) | 1987-05-11 | 1989-05-02 | Baxter International Inc. | Flow measurement system |
US5221556A (en) | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
NO161941C (no) | 1987-06-25 | 1991-04-30 | Kvaerner Eng | Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs. |
US5062386A (en) | 1987-07-27 | 1991-11-05 | Epitaxy Systems, Inc. | Induction heated pancake epitaxial reactor |
US4986215A (en) | 1988-09-01 | 1991-01-22 | Kyushu Electronic Metal Co., Ltd. | Susceptor for vapor-phase growth system |
US5119760A (en) | 1988-12-27 | 1992-06-09 | Symetrix Corporation | Methods and apparatus for material deposition |
JPH0834187B2 (ja) | 1989-01-13 | 1996-03-29 | 東芝セラミックス株式会社 | サセプタ |
DE4011933C2 (de) | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür |
US5167716A (en) * | 1990-09-28 | 1992-12-01 | Gasonics, Inc. | Method and apparatus for batch processing a semiconductor wafer |
JP3323530B2 (ja) | 1991-04-04 | 2002-09-09 | 株式会社日立製作所 | 半導体装置の製造方法 |
US5243195A (en) | 1991-04-25 | 1993-09-07 | Nikon Corporation | Projection exposure apparatus having an off-axis alignment system and method of alignment therefor |
US5199603A (en) | 1991-11-26 | 1993-04-06 | Prescott Norman F | Delivery system for organometallic compounds |
US5326427A (en) | 1992-09-11 | 1994-07-05 | Lsi Logic Corporation | Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation |
IT1257434B (it) | 1992-12-04 | 1996-01-17 | Cselt Centro Studi Lab Telecom | Generatore di vapori per impianti di deposizione chimica da fase vapore |
US5421893A (en) | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
US6122036A (en) | 1993-10-21 | 2000-09-19 | Nikon Corporation | Projection exposure apparatus and method |
US5681779A (en) | 1994-02-04 | 1997-10-28 | Lsi Logic Corporation | Method of doping metal layers for electromigration resistance |
JPH07283149A (ja) | 1994-04-04 | 1995-10-27 | Nissin Electric Co Ltd | 薄膜気相成長装置 |
US5730801A (en) * | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
FI97730C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
US5518549A (en) | 1995-04-18 | 1996-05-21 | Memc Electronic Materials, Inc. | Susceptor and baffle therefor |
JP3360098B2 (ja) | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
JPH08335558A (ja) | 1995-06-08 | 1996-12-17 | Nissin Electric Co Ltd | 薄膜気相成長装置 |
NO953217L (no) | 1995-08-16 | 1997-02-17 | Aker Eng As | Metode og innretning ved rörbunter |
US5736314A (en) | 1995-11-16 | 1998-04-07 | Microfab Technologies, Inc. | Inline thermo-cycler |
US5796074A (en) | 1995-11-28 | 1998-08-18 | Applied Materials, Inc. | Wafer heater assembly |
US5632919A (en) | 1996-01-25 | 1997-05-27 | T.G.M., Inc. | Temperature controlled insulation system |
SE9600705D0 (sv) | 1996-02-26 | 1996-02-26 | Abb Research Ltd | A susceptor for a device for epitaxially growing objects and such a device |
US5837320A (en) | 1996-02-27 | 1998-11-17 | The University Of New Mexico | Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands |
US5732744A (en) | 1996-03-08 | 1998-03-31 | Control Systems, Inc. | Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components |
US5920798A (en) | 1996-05-28 | 1999-07-06 | Matsushita Battery Industrial Co., Ltd. | Method of preparing a semiconductor layer for an optical transforming device |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US5928389A (en) | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US5836483A (en) | 1997-02-05 | 1998-11-17 | Aerotech Dental Systems, Inc. | Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles |
US6367410B1 (en) | 1996-12-16 | 2002-04-09 | Applied Materials, Inc. | Closed-loop dome thermal control apparatus for a semiconductor wafer processing system |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
JP3752578B2 (ja) | 1997-04-21 | 2006-03-08 | 株式会社フジキン | 流体制御器用加熱装置 |
US6201999B1 (en) | 1997-06-09 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
US6083321A (en) | 1997-07-11 | 2000-07-04 | Applied Materials, Inc. | Fluid delivery system and method |
US6312525B1 (en) | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
US6099596A (en) | 1997-07-23 | 2000-08-08 | Applied Materials, Inc. | Wafer out-of-pocket detection tool |
US6013553A (en) | 1997-07-24 | 2000-01-11 | Texas Instruments Incorporated | Zirconium and/or hafnium oxynitride gate dielectric |
KR100385946B1 (ko) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US6161500A (en) | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
US6248168B1 (en) | 1997-12-15 | 2001-06-19 | Tokyo Electron Limited | Spin coating apparatus including aging unit and solvent replacement unit |
US6125789A (en) | 1998-01-30 | 2000-10-03 | Applied Materials, Inc. | Increasing the sensitivity of an in-situ particle monitor |
US6015465A (en) | 1998-04-08 | 2000-01-18 | Applied Materials, Inc. | Temperature control system for semiconductor process chamber |
JPH11343571A (ja) | 1998-05-29 | 1999-12-14 | Ngk Insulators Ltd | サセプター |
US6148761A (en) | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6302964B1 (en) | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US20010001384A1 (en) | 1998-07-29 | 2001-05-24 | Takeshi Arai | Silicon epitaxial wafer and production method therefor |
USD451893S1 (en) | 1998-10-15 | 2001-12-11 | Meto International Gmbh | Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
JP2002529594A (ja) | 1998-10-29 | 2002-09-10 | アプライド マテリアルズ インコーポレイテッド | 半導体ウエハ処理システムにおいて加工物を貫通して電力を結合する装置 |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
IT1308606B1 (it) | 1999-02-12 | 2002-01-08 | Lpe Spa | Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore |
US6326597B1 (en) | 1999-04-15 | 2001-12-04 | Applied Materials, Inc. | Temperature control system for process chamber |
FR2795745B1 (fr) | 1999-06-30 | 2001-08-03 | Saint Gobain Vitrage | Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu |
US6579833B1 (en) | 1999-09-01 | 2003-06-17 | The Board Of Trustees Of The University Of Illinois | Process for converting a metal carbide to carbon by etching in halogens |
JP2001077088A (ja) | 1999-09-02 | 2001-03-23 | Tokyo Electron Ltd | プラズマ処理装置 |
US6429146B2 (en) | 1999-09-02 | 2002-08-06 | Micron Technology, Inc. | Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6355153B1 (en) | 1999-09-17 | 2002-03-12 | Nutool, Inc. | Chip interconnect and packaging deposition methods and structures |
US6420792B1 (en) | 1999-09-24 | 2002-07-16 | Texas Instruments Incorporated | Semiconductor wafer edge marking |
KR100369324B1 (ko) | 1999-12-02 | 2003-01-24 | 한국전자통신연구원 | 평면형 마이크로 공동구조 제조 방법 |
FI118804B (fi) | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
JP2001176952A (ja) | 1999-12-21 | 2001-06-29 | Toshiba Mach Co Ltd | ウェーハ位置ずれ検出装置 |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6407435B1 (en) | 2000-02-11 | 2002-06-18 | Sharp Laboratories Of America, Inc. | Multilayer dielectric stack and method |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
US6598559B1 (en) | 2000-03-24 | 2003-07-29 | Applied Materials, Inc. | Temperature controlled chamber |
JP2001342570A (ja) | 2000-03-30 | 2001-12-14 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法および半導体製造装置 |
AU2001247685A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
JP2001345263A (ja) | 2000-03-31 | 2001-12-14 | Nikon Corp | 露光装置及び露光方法、並びにデバイス製造方法 |
KR100367662B1 (ko) | 2000-05-02 | 2003-01-10 | 주식회사 셈테크놀러지 | 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치 |
DE10021871A1 (de) | 2000-05-05 | 2001-11-15 | Infineon Technologies Ag | Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht |
US6863019B2 (en) | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
KR100467366B1 (ko) | 2000-06-30 | 2005-01-24 | 주식회사 하이닉스반도체 | 원자층 증착법을 이용한 지르코늄산화막 형성방법 |
US6874480B1 (en) | 2000-07-03 | 2005-04-05 | Combustion Dynamics Corp. | Flow meter |
AU2001288225A1 (en) | 2000-07-24 | 2002-02-05 | The University Of Maryland College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
US6660660B2 (en) | 2000-10-10 | 2003-12-09 | Asm International, Nv. | Methods for making a dielectric stack in an integrated circuit |
US7204887B2 (en) | 2000-10-16 | 2007-04-17 | Nippon Steel Corporation | Wafer holding, wafer support member, wafer boat and heat treatment furnace |
JP4156788B2 (ja) | 2000-10-23 | 2008-09-24 | 日本碍子株式会社 | 半導体製造装置用サセプター |
US6824665B2 (en) | 2000-10-25 | 2004-11-30 | Shipley Company, L.L.C. | Seed layer deposition |
JP2002158178A (ja) | 2000-11-21 | 2002-05-31 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
US20020064592A1 (en) | 2000-11-29 | 2002-05-30 | Madhav Datta | Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects |
US7208428B2 (en) | 2000-12-05 | 2007-04-24 | Tokyo Electron Limited | Method and apparatus for treating article to be treated |
JP5068402B2 (ja) | 2000-12-28 | 2012-11-07 | 公益財団法人国際科学振興財団 | 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法 |
US7172497B2 (en) | 2001-01-05 | 2007-02-06 | Asm Nutool, Inc. | Fabrication of semiconductor interconnect structures |
US20020108670A1 (en) | 2001-02-12 | 2002-08-15 | Baker John Eric | High purity chemical container with external level sensor and removable dip tube |
JP4487135B2 (ja) | 2001-03-05 | 2010-06-23 | 東京エレクトロン株式会社 | 流体制御装置 |
US6521295B1 (en) | 2001-04-17 | 2003-02-18 | Pilkington North America, Inc. | Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby |
US6482331B2 (en) | 2001-04-18 | 2002-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing contamination in a plasma process chamber |
US6847014B1 (en) | 2001-04-30 | 2005-01-25 | Lam Research Corporation | Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support |
JP2002343790A (ja) | 2001-05-21 | 2002-11-29 | Nec Corp | 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法 |
US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6420279B1 (en) | 2001-06-28 | 2002-07-16 | Sharp Laboratories Of America, Inc. | Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate |
TW539822B (en) | 2001-07-03 | 2003-07-01 | Asm Inc | Source chemical container assembly |
US6677254B2 (en) | 2001-07-23 | 2004-01-13 | Applied Materials, Inc. | Processes for making a barrier between a dielectric and a conductor and products produced therefrom |
WO2003012843A1 (fr) | 2001-07-31 | 2003-02-13 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Procede et appareil de nettoyage et procede et appareil de gravure |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
JP2003060076A (ja) | 2001-08-21 | 2003-02-28 | Nec Corp | 半導体装置及びその製造方法 |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US6720259B2 (en) | 2001-10-02 | 2004-04-13 | Genus, Inc. | Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition |
KR100431658B1 (ko) | 2001-10-05 | 2004-05-17 | 삼성전자주식회사 | 기판 가열 장치 및 이를 갖는 장치 |
TW563176B (en) | 2001-10-26 | 2003-11-21 | Applied Materials Inc | Gas delivery apparatus for atomic layer deposition |
KR20030039247A (ko) | 2001-11-12 | 2003-05-17 | 주성엔지니어링(주) | 서셉터 |
US6926774B2 (en) | 2001-11-21 | 2005-08-09 | Applied Materials, Inc. | Piezoelectric vaporizer |
KR100446619B1 (ko) | 2001-12-14 | 2004-09-04 | 삼성전자주식회사 | 유도 결합 플라즈마 장치 |
JP3891267B2 (ja) | 2001-12-25 | 2007-03-14 | キヤノンアネルバ株式会社 | シリコン酸化膜作製方法 |
US20030141820A1 (en) | 2002-01-30 | 2003-07-31 | Applied Materials, Inc. | Method and apparatus for substrate processing |
US6734090B2 (en) | 2002-02-20 | 2004-05-11 | International Business Machines Corporation | Method of making an edge seal for a semiconductor device |
US6594550B1 (en) | 2002-03-29 | 2003-07-15 | Asm America, Inc. | Method and system for using a buffer to track robotic movement |
US7045430B2 (en) | 2002-05-02 | 2006-05-16 | Micron Technology Inc. | Atomic layer-deposited LaAlO3 films for gate dielectrics |
US7122844B2 (en) | 2002-05-13 | 2006-10-17 | Cree, Inc. | Susceptor for MOCVD reactor |
US6682973B1 (en) | 2002-05-16 | 2004-01-27 | Advanced Micro Devices, Inc. | Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications |
US20060014384A1 (en) | 2002-06-05 | 2006-01-19 | Jong-Cheol Lee | Method of forming a layer and forming a capacitor of a semiconductor device having the same layer |
US7195693B2 (en) | 2002-06-05 | 2007-03-27 | Advanced Thermal Sciences | Lateral temperature equalizing system for large area surfaces during processing |
US7135421B2 (en) | 2002-06-05 | 2006-11-14 | Micron Technology, Inc. | Atomic layer-deposited hafnium aluminum oxide |
JP2004014952A (ja) | 2002-06-10 | 2004-01-15 | Tokyo Electron Ltd | 処理装置および処理方法 |
US7067439B2 (en) | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US6858547B2 (en) | 2002-06-14 | 2005-02-22 | Applied Materials, Inc. | System and method for forming a gate dielectric |
US7601225B2 (en) | 2002-06-17 | 2009-10-13 | Asm International N.V. | System for controlling the sublimation of reactants |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US7357138B2 (en) | 2002-07-18 | 2008-04-15 | Air Products And Chemicals, Inc. | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
AU2003268000A1 (en) | 2002-07-19 | 2004-02-09 | Mykrolis Corporation | Liquid flow controller and precision dispense apparatus and system |
US6921062B2 (en) | 2002-07-23 | 2005-07-26 | Advanced Technology Materials, Inc. | Vaporizer delivery ampoule |
JP4585852B2 (ja) | 2002-07-30 | 2010-11-24 | エーエスエム アメリカ インコーポレイテッド | 基板処理システム、基板処理方法及び昇華装置 |
JP4034145B2 (ja) | 2002-08-09 | 2008-01-16 | 住友大阪セメント株式会社 | サセプタ装置 |
TW200408015A (en) | 2002-08-18 | 2004-05-16 | Asml Us Inc | Atomic layer deposition of high K metal silicates |
TW200408323A (en) | 2002-08-18 | 2004-05-16 | Asml Us Inc | Atomic layer deposition of high k metal oxides |
US20040036129A1 (en) | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
JP2004091848A (ja) | 2002-08-30 | 2004-03-25 | Tokyo Electron Ltd | 薄膜形成装置の原料ガス供給系および薄膜形成装置 |
US6884066B2 (en) | 2002-09-10 | 2005-04-26 | Fsi International, Inc. | Thermal process station with heated lid |
US20040069227A1 (en) | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
KR100460841B1 (ko) | 2002-10-22 | 2004-12-09 | 한국전자통신연구원 | 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법 |
US6858524B2 (en) | 2002-12-03 | 2005-02-22 | Asm International, Nv | Method of depositing barrier layer for metal gates |
US7122414B2 (en) | 2002-12-03 | 2006-10-17 | Asm International, Inc. | Method to fabricate dual metal CMOS devices |
US20040144980A1 (en) | 2003-01-27 | 2004-07-29 | Ahn Kie Y. | Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers |
US7129165B2 (en) | 2003-02-04 | 2006-10-31 | Asm Nutool, Inc. | Method and structure to improve reliability of copper interconnects |
CN100429753C (zh) | 2003-02-06 | 2008-10-29 | 东京毅力科创株式会社 | 等离子体处理方法、半导体基板以及等离子体处理装置 |
TWI338323B (en) | 2003-02-17 | 2011-03-01 | Nikon Corp | Stage device, exposure device and manufacguring method of devices |
US7091453B2 (en) | 2003-02-27 | 2006-08-15 | Dainippon Screen Mfg. Co., Ltd. | Heat treatment apparatus by means of light irradiation |
US6930059B2 (en) | 2003-02-27 | 2005-08-16 | Sharp Laboratories Of America, Inc. | Method for depositing a nanolaminate film by atomic layer deposition |
US20040168627A1 (en) | 2003-02-27 | 2004-09-02 | Sharp Laboratories Of America, Inc. | Atomic layer deposition of oxide film |
US7192892B2 (en) | 2003-03-04 | 2007-03-20 | Micron Technology, Inc. | Atomic layer deposited dielectric layers |
JP2004273766A (ja) | 2003-03-07 | 2004-09-30 | Watanabe Shoko:Kk | 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法 |
US20040198069A1 (en) | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
US7037376B2 (en) | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
US7601223B2 (en) | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7033113B2 (en) | 2003-05-01 | 2006-04-25 | Shell Oil Company | Mid-line connector and method for pipe-in-pipe electrical heating |
EP1623454A2 (en) | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
US7192824B2 (en) | 2003-06-24 | 2007-03-20 | Micron Technology, Inc. | Lanthanide oxide / hafnium oxide dielectric layers |
KR20050001793A (ko) | 2003-06-26 | 2005-01-07 | 삼성전자주식회사 | 단원자층 증착 공정의 실시간 분석 방법 |
US7547363B2 (en) | 2003-07-08 | 2009-06-16 | Tosoh Finechem Corporation | Solid organometallic compound-filled container and filling method thereof |
JP4298421B2 (ja) | 2003-07-23 | 2009-07-22 | エスペック株式会社 | サーマルプレートおよび試験装置 |
US6909839B2 (en) | 2003-07-23 | 2005-06-21 | Advanced Technology Materials, Inc. | Delivery systems for efficient vaporization of precursor source material |
JP4417669B2 (ja) * | 2003-07-28 | 2010-02-17 | 日本エー・エス・エム株式会社 | 半導体処理装置および半導体ウエハーの導入方法 |
WO2005017963A2 (en) | 2003-08-04 | 2005-02-24 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
JP2005072405A (ja) | 2003-08-27 | 2005-03-17 | Sony Corp | 薄膜の形成方法および半導体装置の製造方法 |
KR20060064067A (ko) | 2003-09-03 | 2006-06-12 | 동경 엘렉트론 주식회사 | 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법 |
US7414281B1 (en) | 2003-09-09 | 2008-08-19 | Spansion Llc | Flash memory with high-K dielectric material between substrate and gate |
KR100551138B1 (ko) | 2003-09-09 | 2006-02-10 | 어댑티브프라즈마테크놀로지 주식회사 | 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스 |
CN101914760B (zh) | 2003-09-19 | 2012-08-29 | 株式会社日立国际电气 | 半导体装置的制造方法及衬底处理装置 |
US7156380B2 (en) | 2003-09-29 | 2007-01-02 | Asm International, N.V. | Safe liquid source containers |
US6875677B1 (en) | 2003-09-30 | 2005-04-05 | Sharp Laboratories Of America, Inc. | Method to control the interfacial layer for deposition of high dielectric constant films |
US7205247B2 (en) | 2003-09-30 | 2007-04-17 | Aviza Technology, Inc. | Atomic layer deposition of hafnium-based high-k dielectric |
WO2005042160A2 (en) | 2003-10-29 | 2005-05-12 | Asm America, Inc. | Reaction system for growing a thin film |
US7329947B2 (en) | 2003-11-07 | 2008-02-12 | Sumitomo Mitsubishi Silicon Corporation | Heat treatment jig for semiconductor substrate |
US7071118B2 (en) | 2003-11-12 | 2006-07-04 | Veeco Instruments, Inc. | Method and apparatus for fabricating a conformal thin film on a substrate |
KR100550641B1 (ko) | 2003-11-22 | 2006-02-09 | 주식회사 하이닉스반도체 | 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법 |
US7071051B1 (en) | 2004-01-20 | 2006-07-04 | Advanced Micro Devices, Inc. | Method for forming a thin, high quality buffer layer in a field effect transistor and related structure |
DE102004005385A1 (de) | 2004-02-03 | 2005-10-20 | Infineon Technologies Ag | Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten |
US20050187647A1 (en) | 2004-02-19 | 2005-08-25 | Kuo-Hua Wang | Intelligent full automation controlled flow for a semiconductor furnace tool |
US20050214458A1 (en) | 2004-03-01 | 2005-09-29 | Meiere Scott H | Low zirconium hafnium halide compositions |
US20060062910A1 (en) | 2004-03-01 | 2006-03-23 | Meiere Scott H | Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof |
US20050214457A1 (en) | 2004-03-29 | 2005-09-29 | Applied Materials, Inc. | Deposition of low dielectric constant films by N2O addition |
CN1292092C (zh) | 2004-04-01 | 2006-12-27 | 南昌大学 | 用于金属有机化学气相沉积设备的双层进气喷头 |
US7273526B2 (en) | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
USD553104S1 (en) | 2004-04-21 | 2007-10-16 | Tokyo Electron Limited | Absorption board for an electric chuck used in semiconductor manufacture |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US20060019033A1 (en) | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US7651583B2 (en) | 2004-06-04 | 2010-01-26 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US7132360B2 (en) | 2004-06-10 | 2006-11-07 | Freescale Semiconductor, Inc. | Method for treating a semiconductor surface to form a metal-containing layer |
KR100589062B1 (ko) | 2004-06-10 | 2006-06-12 | 삼성전자주식회사 | 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법 |
JP4534619B2 (ja) | 2004-06-21 | 2010-09-01 | 株式会社Sumco | 半導体シリコン基板用熱処理治具 |
KR100578819B1 (ko) | 2004-07-15 | 2006-05-11 | 삼성전자주식회사 | 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법 |
ATE378443T1 (de) | 2004-07-30 | 2007-11-15 | Lpe Spa | Epitaxiereaktor mit suszeptorgesteuerter positionierung |
ITMI20041677A1 (it) | 2004-08-30 | 2004-11-30 | E T C Epitaxial Technology Ct | Processo di pulitura e processo operativo per un reattore cvd. |
US8158488B2 (en) | 2004-08-31 | 2012-04-17 | Micron Technology, Inc. | Method of increasing deposition rate of silicon dioxide on a catalyst |
US20060060930A1 (en) | 2004-09-17 | 2006-03-23 | Metz Matthew V | Atomic layer deposition of high dielectric constant gate dielectrics |
DE102005045081B4 (de) | 2004-09-29 | 2011-07-07 | Covalent Materials Corp. | Suszeptor |
US7241475B2 (en) | 2004-09-30 | 2007-07-10 | The Aerospace Corporation | Method for producing carbon surface films by plasma exposure of a carbide compound |
US20060257563A1 (en) | 2004-10-13 | 2006-11-16 | Seok-Joo Doh | Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique |
US7780440B2 (en) | 2004-10-19 | 2010-08-24 | Canon Anelva Corporation | Substrate supporting/transferring tray |
JP2006135161A (ja) | 2004-11-08 | 2006-05-25 | Canon Inc | 絶縁膜の形成方法及び装置 |
TWI538013B (zh) | 2004-11-18 | 2016-06-11 | 尼康股份有限公司 | A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method |
CN100573803C (zh) * | 2004-11-24 | 2009-12-23 | Oc欧瑞康巴尔斯公司 | 用于非常大面积基片的真空处理室 |
US20060113675A1 (en) | 2004-12-01 | 2006-06-01 | Chung-Liang Chang | Barrier material and process for Cu interconnect |
US7235501B2 (en) | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
US7396732B2 (en) | 2004-12-17 | 2008-07-08 | Interuniversitair Microelektronica Centrum Vzw (Imec) | Formation of deep trench airgaps and related applications |
JP2006186271A (ja) | 2004-12-28 | 2006-07-13 | Sharp Corp | 気相成長装置および成膜済基板の製造方法 |
US7560395B2 (en) | 2005-01-05 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposited hafnium tantalum oxide dielectrics |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7298009B2 (en) | 2005-02-01 | 2007-11-20 | Infineon Technologies Ag | Semiconductor method and device with mixed orientation substrate |
US6972478B1 (en) | 2005-03-07 | 2005-12-06 | Advanced Micro Devices, Inc. | Integrated circuit and method for its manufacture |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
JP4694878B2 (ja) | 2005-04-20 | 2011-06-08 | Okiセミコンダクタ株式会社 | 半導体製造装置および半導体装置の製造方法 |
US20070155138A1 (en) | 2005-05-24 | 2007-07-05 | Pierre Tomasini | Apparatus and method for depositing silicon germanium films |
US20090029564A1 (en) | 2005-05-31 | 2009-01-29 | Tokyo Electron Limited | Plasma treatment apparatus and plasma treatment method |
US8435905B2 (en) | 2005-06-13 | 2013-05-07 | Hitachi Kokusai Electric Inc. | Manufacturing method of semiconductor device, and substrate processing apparatus |
JP4753173B2 (ja) | 2005-06-17 | 2011-08-24 | 株式会社フジキン | 流体制御装置 |
US7575990B2 (en) | 2005-07-01 | 2009-08-18 | Macronix International Co., Ltd. | Method of forming self-aligned contacts and local interconnects |
KR20080028963A (ko) | 2005-07-08 | 2008-04-02 | 에비자 테크놀로지, 인크. | 실리콘 함유 필름의 증착 방법 |
US20070010072A1 (en) | 2005-07-09 | 2007-01-11 | Aviza Technology, Inc. | Uniform batch film deposition process and films so produced |
US7314838B2 (en) | 2005-07-21 | 2008-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a high density dielectric film by chemical vapor deposition |
TWI313486B (en) | 2005-07-28 | 2009-08-11 | Nuflare Technology Inc | Position measurement apparatus and method and writing apparatus and method |
US20070028842A1 (en) | 2005-08-02 | 2007-02-08 | Makoto Inagawa | Vacuum chamber bottom |
US20070037412A1 (en) | 2005-08-05 | 2007-02-15 | Tokyo Electron Limited | In-situ atomic layer deposition |
US7718225B2 (en) | 2005-08-17 | 2010-05-18 | Applied Materials, Inc. | Method to control semiconductor film deposition characteristics |
USD557226S1 (en) | 2005-08-25 | 2007-12-11 | Hitachi High-Technologies Corporation | Electrode cover for a plasma processing apparatus |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US7393736B2 (en) | 2005-08-29 | 2008-07-01 | Micron Technology, Inc. | Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics |
JP2007088113A (ja) | 2005-09-21 | 2007-04-05 | Sony Corp | 半導体装置の製造方法 |
US20070065578A1 (en) | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
US7691204B2 (en) | 2005-09-30 | 2010-04-06 | Applied Materials, Inc. | Film formation apparatus and methods including temperature and emissivity/pattern compensation |
JP4940635B2 (ja) | 2005-11-14 | 2012-05-30 | 東京エレクトロン株式会社 | 加熱装置、熱処理装置及び記憶媒体 |
GB2432363B (en) | 2005-11-16 | 2010-06-23 | Epichem Ltd | Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition |
US20070116873A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
JP4666496B2 (ja) | 2005-12-07 | 2011-04-06 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
KR101296911B1 (ko) | 2005-12-28 | 2013-08-14 | 엘지디스플레이 주식회사 | 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법 |
TWI284390B (en) | 2006-01-10 | 2007-07-21 | Ind Tech Res Inst | Manufacturing method of charge store device |
US8088248B2 (en) | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
JP2007191792A (ja) | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
US8673413B2 (en) | 2006-01-27 | 2014-03-18 | Tosoh Finechem Corporation | Method for packing solid organometallic compound and packed container |
US7794546B2 (en) | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7740705B2 (en) * | 2006-03-08 | 2010-06-22 | Tokyo Electron Limited | Exhaust apparatus configured to reduce particle contamination in a deposition system |
KR20070093493A (ko) | 2006-03-14 | 2007-09-19 | 엘지이노텍 주식회사 | 서셉터 및 반도체 제조장치 |
JP2007266464A (ja) | 2006-03-29 | 2007-10-11 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
US8951478B2 (en) | 2006-03-30 | 2015-02-10 | Applied Materials, Inc. | Ampoule with a thermally conductive coating |
US7410852B2 (en) | 2006-04-21 | 2008-08-12 | International Business Machines Corporation | Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors |
US7537804B2 (en) | 2006-04-28 | 2009-05-26 | Micron Technology, Inc. | ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
JP4193883B2 (ja) | 2006-07-05 | 2008-12-10 | 住友電気工業株式会社 | 有機金属気相成長装置 |
KR100799735B1 (ko) | 2006-07-10 | 2008-02-01 | 삼성전자주식회사 | 금속 산화물 형성 방법 및 이를 수행하기 위한 장치 |
KR100791334B1 (ko) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속 산화막 형성 방법 |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
KR100753020B1 (ko) | 2006-08-30 | 2007-08-30 | 한국화학연구원 | 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법 |
US20080057659A1 (en) | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Hafnium aluminium oxynitride high-K dielectric and metal gates |
US20080241805A1 (en) | 2006-08-31 | 2008-10-02 | Q-Track Corporation | System and method for simulated dosimetry using a real time locating system |
US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
US7976898B2 (en) | 2006-09-20 | 2011-07-12 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US7723648B2 (en) | 2006-09-25 | 2010-05-25 | Tokyo Electron Limited | Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
KR101480971B1 (ko) | 2006-10-10 | 2015-01-09 | 에이에스엠 아메리카, 인코포레이티드 | 전구체 전달 시스템 |
USD593969S1 (en) | 2006-10-10 | 2009-06-09 | Tokyo Electron Limited | Processing chamber for manufacturing semiconductors |
US7749574B2 (en) | 2006-11-14 | 2010-07-06 | Applied Materials, Inc. | Low temperature ALD SiO2 |
US7776395B2 (en) | 2006-11-14 | 2010-08-17 | Applied Materials, Inc. | Method of depositing catalyst assisted silicates of high-k materials |
US7976634B2 (en) | 2006-11-21 | 2011-07-12 | Applied Materials, Inc. | Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems |
DE102007002962B3 (de) | 2007-01-19 | 2008-07-31 | Qimonda Ag | Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators |
US7833353B2 (en) | 2007-01-24 | 2010-11-16 | Asm Japan K.K. | Liquid material vaporization apparatus for semiconductor processing apparatus |
US20080216077A1 (en) | 2007-03-02 | 2008-09-04 | Applied Materials, Inc. | Software sequencer for integrated substrate processing system |
US7833913B2 (en) | 2007-03-20 | 2010-11-16 | Tokyo Electron Limited | Method of forming crystallographically stabilized doped hafnium zirconium based films |
KR100829759B1 (ko) | 2007-04-04 | 2008-05-15 | 삼성에스디아이 주식회사 | 카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자 |
US7575968B2 (en) | 2007-04-30 | 2009-08-18 | Freescale Semiconductor, Inc. | Inverse slope isolation and dual surface orientation integration |
JP5103056B2 (ja) | 2007-05-15 | 2012-12-19 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
CN100590804C (zh) | 2007-06-22 | 2010-02-17 | 中芯国际集成电路制造(上海)有限公司 | 原子层沉积方法以及形成的半导体器件 |
US20090000550A1 (en) | 2007-06-29 | 2009-01-01 | Applied Materials, Inc. | Manifold assembly |
JP4900110B2 (ja) | 2007-07-20 | 2012-03-21 | 東京エレクトロン株式会社 | 薬液気化タンク及び薬液処理システム |
US7720560B2 (en) | 2007-07-26 | 2010-05-18 | International Business Machines Corporation | Semiconductor manufacturing process monitoring |
US8004045B2 (en) | 2007-07-27 | 2011-08-23 | Panasonic Corporation | Semiconductor device and method for producing the same |
US8334015B2 (en) | 2007-09-05 | 2012-12-18 | Intermolecular, Inc. | Vapor based combinatorial processing |
JP5347294B2 (ja) | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP4986784B2 (ja) | 2007-09-18 | 2012-07-25 | 東京エレクトロン株式会社 | 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体 |
US20090085156A1 (en) | 2007-09-28 | 2009-04-02 | Gilbert Dewey | Metal surface treatments for uniformly growing dielectric layers |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
US20090095221A1 (en) | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US20090139657A1 (en) | 2007-12-04 | 2009-06-04 | Applied Materials, Inc. | Etch system |
US20090214777A1 (en) | 2008-02-22 | 2009-08-27 | Demetrius Sarigiannis | Multiple ampoule delivery systems |
KR100968132B1 (ko) | 2008-02-29 | 2010-07-06 | (주)얼라이드 테크 파인더즈 | 안테나 및 이를 구비한 반도체 장치 |
US8252114B2 (en) | 2008-03-28 | 2012-08-28 | Tokyo Electron Limited | Gas distribution system and method for distributing process gas in a processing system |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
CN102007597B (zh) | 2008-04-17 | 2014-02-19 | 应用材料公司 | 低温薄膜晶体管工艺、装置特性和装置稳定性改进 |
US8076237B2 (en) | 2008-05-09 | 2011-12-13 | Asm America, Inc. | Method and apparatus for 3D interconnect |
JP2009295932A (ja) | 2008-06-09 | 2009-12-17 | Canon Inc | 露光装置及びデバイス製造方法 |
US8726837B2 (en) | 2008-06-23 | 2014-05-20 | Applied Materials, Inc. | Semiconductor process chamber vision and monitoring system |
US20100025796A1 (en) | 2008-08-04 | 2010-02-04 | Amir Massoud Dabiran | Microchannel plate photocathode |
KR20100015213A (ko) | 2008-08-04 | 2010-02-12 | 삼성전기주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
JP2010087467A (ja) | 2008-09-04 | 2010-04-15 | Tokyo Electron Ltd | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US9711373B2 (en) | 2008-09-22 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a gate dielectric for high-k metal gate devices |
TWD135511S1 (zh) | 2008-10-03 | 2010-06-21 | 日本碍子股份有限公司 | 靜電夾頭 |
CN102177571A (zh) | 2008-10-07 | 2011-09-07 | 应用材料公司 | 用于从蚀刻基板有效地移除卤素残余物的设备 |
KR20110084275A (ko) | 2008-10-27 | 2011-07-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 삼원 화합물의 기상 증착 방법 |
JP2010153769A (ja) | 2008-11-19 | 2010-07-08 | Tokyo Electron Ltd | 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体 |
US20100130017A1 (en) | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US8216380B2 (en) | 2009-01-08 | 2012-07-10 | Asm America, Inc. | Gap maintenance for opening to process chamber |
CN102308380B (zh) | 2009-02-04 | 2014-06-04 | 马特森技术有限公司 | 用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法 |
US8287648B2 (en) | 2009-02-09 | 2012-10-16 | Asm America, Inc. | Method and apparatus for minimizing contamination in semiconductor processing chamber |
JP5221421B2 (ja) | 2009-03-10 | 2013-06-26 | 東京エレクトロン株式会社 | シャワーヘッド及びプラズマ処理装置 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
SG174993A1 (en) | 2009-04-21 | 2011-11-28 | Applied Materials Inc | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US8071452B2 (en) | 2009-04-27 | 2011-12-06 | Asm America, Inc. | Atomic layer deposition of hafnium lanthanum oxides |
JP5136574B2 (ja) | 2009-05-01 | 2013-02-06 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US8071451B2 (en) | 2009-07-29 | 2011-12-06 | Axcelis Technologies, Inc. | Method of doping semiconductors |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
USD634719S1 (en) | 2009-08-27 | 2011-03-22 | Ebara Corporation | Elastic membrane for semiconductor wafer polishing apparatus |
US20110061810A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US8465791B2 (en) | 2009-10-16 | 2013-06-18 | Msp Corporation | Method for counting particles in a gas |
US20110097901A1 (en) | 2009-10-26 | 2011-04-28 | Applied Materials, Inc. | Dual mode inductively coupled plasma reactor with adjustable phase coil assembly |
JP5451324B2 (ja) | 2009-11-10 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8367528B2 (en) | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US8709551B2 (en) | 2010-03-25 | 2014-04-29 | Novellus Systems, Inc. | Smooth silicon-containing films |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9443753B2 (en) | 2010-07-30 | 2016-09-13 | Applied Materials, Inc. | Apparatus for controlling the flow of a gas in a process chamber |
US9449858B2 (en) | 2010-08-09 | 2016-09-20 | Applied Materials, Inc. | Transparent reflector plate for rapid thermal processing chamber |
WO2012061278A1 (en) | 2010-11-05 | 2012-05-10 | Synos Technology, Inc. | Radical reactor with multiple plasma chambers |
JP5573666B2 (ja) | 2010-12-28 | 2014-08-20 | 東京エレクトロン株式会社 | 原料供給装置及び成膜装置 |
JP5820731B2 (ja) | 2011-03-22 | 2015-11-24 | 株式会社日立国際電気 | 基板処理装置および固体原料補充方法 |
JP5203482B2 (ja) | 2011-03-28 | 2013-06-05 | 株式会社小松製作所 | 加熱装置 |
US8900402B2 (en) | 2011-05-10 | 2014-12-02 | Lam Research Corporation | Semiconductor processing system having multiple decoupled plasma sources |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US20120304935A1 (en) | 2011-05-31 | 2012-12-06 | Oosterlaken Theodorus G M | Bubbler assembly and method for vapor flow control |
US9175392B2 (en) | 2011-06-17 | 2015-11-03 | Intermolecular, Inc. | System for multi-region processing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9644796B2 (en) | 2011-09-29 | 2017-05-09 | Applied Materials, Inc. | Methods for in-situ calibration of a flow controller |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8927059B2 (en) | 2011-11-08 | 2015-01-06 | Applied Materials, Inc. | Deposition of metal films using alane-based precursors |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
USD691974S1 (en) | 2011-12-22 | 2013-10-22 | Tokyo Electron Limited | Holding pad for transferring a wafer |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP5960028B2 (ja) | 2012-10-31 | 2016-08-02 | 東京エレクトロン株式会社 | 熱処理装置 |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
CN105164791A (zh) | 2013-06-26 | 2015-12-16 | 应用材料公司 | 沉积金属合金膜的方法 |
USD705745S1 (en) | 2013-07-08 | 2014-05-27 | Witricity Corporation | Printed resonator coil |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
USD716742S1 (en) | 2013-09-13 | 2014-11-04 | Asm Ip Holding B.V. | Substrate supporter for semiconductor deposition apparatus |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
-
2012
- 2012-11-14 US US13/677,151 patent/US9005539B2/en active Active
- 2012-11-15 WO PCT/US2012/065343 patent/WO2013078065A1/en active Application Filing
- 2012-11-15 TW TW101142581A patent/TWI575106B/zh active
- 2012-11-15 KR KR1020147017112A patent/KR101860924B1/ko active IP Right Grant
- 2012-11-15 CN CN201280057466.4A patent/CN104081512B/zh active Active
- 2012-11-15 KR KR1020187013945A patent/KR101904855B1/ko active IP Right Grant
- 2012-11-15 DE DE112012004880.6T patent/DE112012004880B4/de active Active
-
2015
- 2015-02-27 US US14/634,342 patent/US9340874B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
KR20140091766A (ko) | 2014-07-22 |
CN104081512A (zh) | 2014-10-01 |
TW201341585A (zh) | 2013-10-16 |
DE112012004880B4 (de) | 2024-10-02 |
WO2013078065A1 (en) | 2013-05-30 |
KR101860924B1 (ko) | 2018-05-24 |
WO2013078065A8 (en) | 2014-04-03 |
TWI575106B (zh) | 2017-03-21 |
KR20180056792A (ko) | 2018-05-29 |
US9340874B2 (en) | 2016-05-17 |
KR101904855B1 (ko) | 2018-10-08 |
US20130129577A1 (en) | 2013-05-23 |
US20150167159A1 (en) | 2015-06-18 |
US9005539B2 (en) | 2015-04-14 |
DE112012004880T5 (de) | 2014-08-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN104081512B (zh) | 腔室密封构件 | |
TWI712098B (zh) | 基板容器、用於基板容器之閥總成、沖洗模組及其替換方法 | |
JP5301589B2 (ja) | ウエハー容器をパージする方法 | |
US9997388B2 (en) | Substrate container with purge ports | |
KR102188285B1 (ko) | 오리피스 내장 밸브 및 압력식 유량 제어 장치 | |
US6478877B1 (en) | Gas collector for epitaxial reactors | |
US8444933B2 (en) | Microfluidic device and method of manufacturing the same | |
US11427911B2 (en) | Valve device, fluid control device and semiconductor manufacturing apparatus using the valve device | |
US20080066810A1 (en) | Anti-siphon control valve | |
JP2007221042A (ja) | インターフェースシール | |
WO2008106622B1 (en) | Purge system for a substrate container | |
EP2108617B1 (en) | Reagent dispensing apparatus | |
EP2167855B1 (en) | Msm component and associated gas panel assembly | |
US20070074661A1 (en) | CVD reactor with stabilized process chamber height | |
TW201714241A (zh) | 淨化裝置、淨化倉儲及淨化氣體之供給方法 | |
CN109764147A (zh) | 具有金属阀座的隔膜阀 | |
WO2019159369A1 (ja) | ガスパージ用ポート | |
KR102592722B1 (ko) | 다이어프램 밸브 및 그것을 사용한 질량 유량 제어 장치 | |
US20230029366A1 (en) | Fluid delivery module | |
US20240044422A1 (en) | Non-elastomeric, non-polymeric, non-metallic membrane valves for semiconductor processing equipment | |
US20240145284A1 (en) | Substrate storing container | |
KR101091369B1 (ko) | 반도체 제조장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |