US20200227325A1 - Method for forming a semiconductor device structure and related semiconductor device structures - Google Patents

Method for forming a semiconductor device structure and related semiconductor device structures Download PDF

Info

Publication number
US20200227325A1
US20200227325A1 US16/834,657 US202016834657A US2020227325A1 US 20200227325 A1 US20200227325 A1 US 20200227325A1 US 202016834657 A US202016834657 A US 202016834657A US 2020227325 A1 US2020227325 A1 US 2020227325A1
Authority
US
United States
Prior art keywords
metal
semiconductor device
work function
device structure
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US16/834,657
Inventor
Qi Xie
Chiyu Zhu
Kiran Shrestha
Pauline Calka
Oreste Madia
Jan Willem Maes
Michael Eugene Givens
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to US16/834,657 priority Critical patent/US20200227325A1/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MADIA, Oreste, CALKA, PAULINE, GIVENS, MICHAEL EUGENE, MAES, JAN WILLEM, SHRESTHA, KIRAN, XIE, QI, ZHU, CHIYU
Publication of US20200227325A1 publication Critical patent/US20200227325A1/en
Priority to US18/522,867 priority patent/US20240096711A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present disclosure relates generally to methods for forming a semiconductor device structure and related semiconductor device structures; in particular, the disclosure relates to methods for forming gate electrodes for both NMOS and PMOS device structures.
  • CMOS Complementary metal-oxide-semiconductor
  • CMOS Complementary metal-oxide-semiconductor
  • doped polysilicon may not be an ideal gate electrode material for advanced technology node applications.
  • doped polysilicon is conductive, there may still be a surface region which can be depleted of carriers under bias. This depleted region may appear as an extra gate insulator thickness, commonly referred to as gate depletion, and may contribute to the equivalent oxide thickness. While the gate depletion region may be thin, on the order of a few Angstroms, it may become significant as the gate oxide thicknesses are reduced in advance technology node applications.
  • polysilicon does not exhibit an ideal effective work function (eWF) for both NMOS and PMOS devices.
  • eWF effective work function
  • a threshold voltage adjustment implantation may be utilized.
  • the threshold voltage adjustment implantation processes may become increasingly complex.
  • the non-ideal doped polysilicon gate material may be replaced with alternative materials, such as, for example, metals, metal nitrides and metal carbides.
  • the properties of a metal, a metal nitride, or a metal carbide may be utilized to provide a more ideal effective work function for both NMOS and PMOS device, wherein the effective work function of the gate electrode, i.e., the energy need to extract an electron, may be compatible with the barrier height of the semiconductor material.
  • the effective work function of the gate electrode i.e., the energy need to extract an electron
  • the effective work function of the gate electrode i.e., the energy need to extract an electron
  • the effective work function of the gate electrode i.e., the energy need to extract an electron
  • the effective work function is approximately 5.0 ⁇ 5.2 eV
  • the effective work function is approximately 4.1 ⁇ 4.3 eV. Accordingly, methods are desired for forming gate electrode for both NMOS and PMOS devices with preferred effective work functions.
  • methods for forming a semiconductor device structure may comprise depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric.
  • a semiconductor device structure may be provided.
  • the semiconductor device structure may comprise: a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a metal nitride film disposed directly over the PMOS gate dielectric and a molybdenum film disposed directly over the metal nitride film.
  • a semiconductor device structure may be provided.
  • the semiconductor device structure may comprise, a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a metal nitride film disposed directly over the NMOS gate dielectric, a first work function metal disposed directly over the metal nitride film, an additional metal nitride film disposed over the first work function metal and a molybdenum film disposed over the additional metal nitride film.
  • a complementary metal-oxide-semiconductor device structure may be provided.
  • the CMOS device structure may comprise: a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a first titanium nitride film disposed directly over the PMOS gate dielectric and a molybdenum film disposed directly over the first titanium nitride film.
  • the CMOS device structure may also comprise: a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a second titanium nitride film disposed directly over the NMOS gate dielectric, a first work function metal disposed directly over the second titanium nitride film, a third titanium nitride film disposed over the first work function metal and a molybdenum film disposed over the third titanium nitride film.
  • FIGS. 1-11 are simplified cross sectional views of semiconductor device structures including gate electrodes formed according to the embodiments of the disclosure.
  • cyclic deposition may refer to the sequential introduction of precursors (reactants) into a reaction chamber to deposit a film over a substrate and includes deposition techniques such as atomic layer deposition and cyclical chemical vapor deposition.
  • cyclical chemical vapor deposition may refer to any process wherein a substrate is sequentially exposed to two or more volatile precursors, which react and/or decompose on a substrate to produce a desired deposition.
  • substrate may refer to any underlying material or materials that may be used, or upon which, a device, a circuit or a film may be formed.
  • the term “atomic layer deposition” may refer to a vapor deposition process in which deposition cycles, preferably a plurality of consecutive deposition cycles, are conducted in a process chamber.
  • a deposition surface e.g., a substrate surface or a previously deposited underlying surface such as material from a previous ALD cycle
  • a reactant e.g., another precursor or reaction gas
  • this reactant is capable of further reaction with the precursor.
  • purging steps may also be utilized during each cycle to remove excess precursor from the process chamber and/or remove excess reactant and/or reaction byproducts from the process chamber after conversion of the chemisorbed precursor.
  • atomic layer deposition is also meant to include processes designated by related terms such as, “chemical vapor atomic layer deposition”, “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, or organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor composition(s), reactive gas, and purge (e.g., inert carrier) gas.
  • film and “thin film” may refer to any continuous or non-continuous structures and material deposited by the methods disclosed herein.
  • film and “thin film” could include 2D materials, nanorods, nanotubes, or nanoparticles or even partial or full molecular layers or partial or full atomic layers or clusters of atoms and/or molecules.
  • Finm and “thin film” may comprise material or a layer with pinholes, but still be at least partially continuous.
  • work function metal may refer to any conductive metal-containing material that results in an appropriate effective work function when formed in, on or over the gate dielectric of a semiconductor device.
  • the present disclosure includes methods and semiconductor device structures that may be used to form gate electrodes comprising one or more work function metals, wherein the work function metals may be formed utilizing cyclical deposition processes, such as, for example, atomic layer deposition processes.
  • the existing work function metals that may be utilized in the ALD formation of gate electrodes may have limitations due to their unsuitable effective work function values. For example, it is known that the effective work function of a material may vary as a function of its thickness. Therefore, as device geometries decrease in advance technology node applications, the thickness of the corresponding device films, such as the work function metal(s) of the gate electrode, may also decrease in thickness with an associated change in the value of effective work function.
  • Such a change in the effective work function of the gate electrode may result in a non-ideal effective work function for both NMOS and PMOS device structures.
  • Methods and structures are therefore required to provide a more desirable gate electrode. Examples of such methods and structures are disclosed in further detail herein.
  • CMOS process flow commonly referred to in the art as “gate last”, wherein the gate electrode may be formed after source/drain region formation and associated high temperature activation processes.
  • gate last is non-limiting example embodiments of the processes that may be utilized in the formation of example CMOS device structures, including NMOS and PMOS device structures.
  • the methods and semiconductor device structures of the embodiments of the disclosure described herein may also include a CMOS process flow commonly referred to in the art as “gate first”, wherein the gate electrode may be formed prior to source/drain formation and associated high temperature activation processes.
  • gate first Common CMOS process flows are described in U.S. Pat. No. 9,136,180, issued to Machkaoutsan et al., and incorporated by reference herein.
  • the methods of the disclosure may comprise depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric.
  • a semiconductor device structure 100 may comprise a semiconductor substrate 102 and the sacrificial gate structures 104 formed over a surface 106 of the semiconductor substrate 102 .
  • the semiconductor substrate 102 may further comprise a PMOS region 108 and an NMOS region 110 , wherein the PMOS and NMOS source/drain and channel regions may be subsequently formed.
  • a single PMOS region 108 and a single NMOS region 110 are illustrate in FIG. 1 ; however, it should be appreciated that the methods and structures disclosed herein also apply to semiconductor device structures including a large number of PMOS regions and NMOS regions.
  • the semiconductor substrate 102 may also comprise a shallow trench isolation (STI) 112 , wherein the STI 112 separates and delineates the PMOS region 108 from the NMOS region 110 .
  • STI shallow trench isolation
  • the semiconductor substrate 102 may comprise, for example, a bulk silicon substrate or a silicon-on-insulator (SOI) substrate, wherein the SOI substrate further includes a support substrate and an intermediate buried oxide layer (not shown).
  • the semiconductor substrate 102 may comprise at least one of silicon, germanium, silicon germanium, silicon carbide, or a III-V material.
  • the semiconductor substrate 102 may be in the form of a fin structure for use in a FinFET type device, whereas in FIG. 1 , semiconductor substrate 102 comprises a substantially planar surface 106 .
  • sacrificial gate structures 104 may be formed over the surface 106 of the semiconductor substrate 102 .
  • the sacrificial gate structures 104 may comprise a sacrificial gate 114 and a sacrificial cap 116 , wherein the sacrificial cap 116 may be formed directly over the sacrificial gate 114 .
  • the sacrificial gate structures 104 may be formed using conventional process steps, such as deposition, photolithography, and etching.
  • the sacrificial gates 114 may comprise a polycrystalline silicon material and the sacrificial cap 116 may comprise a silicon oxide or a silicon nitride.
  • spacers may be formed on either side of the sacrificial gate structures as illustrated in FIG. 2 .
  • FIG. 2 illustrates semiconductor device structure 118 which comprises spacers 120 .
  • the spacers 120 may be formed adjacent to and on the sides of the sacrificial gate structures 104 .
  • the spacers 120 may comprise a silicon nitride material and may be formed by an ALD process.
  • processes for formation of source/drain regions in the semiconductor substrate 102 may be performed, including, but not limited to, etching, epitaxial deposition, stressing techniques, and ion implantation using the sacrificial gate structures as ion implantation masks.
  • Processes for formation of source/drain regions in the semiconductor substrate 102 may result in the formation of PMOS region 108 and NMOS region 110 in semiconductor substrate 102 (details of source/drain and channel regions are not shown for simplicity).
  • Embodiments of the disclosure may continue with the formation of an interlayer dielectric (ILD) comprising a dielectric material surrounding the spacers.
  • FIG. 3 illustrates semiconductor device structure 122 comprising dielectric material 124 .
  • the dielectric material 124 may be formed utilizing deposition techniques, such as, chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD).
  • the dielectric material 124 may be deposited such that it fills the areas between the spacers 120 and covers the spacers 120 and the sacrificial caps 116 .
  • the dielectric material 124 may be subsequently planarized, for example, utilizing a chemical mechanical polishing process to provide a planar surface, the sacrificial caps 116 serving as a polish stop indicator.
  • FIG. 4 illustrates semiconductor device structure 126 which shows the semiconductor device structure 122 of FIG. 3 after the removal of the sacrificial gate structures, whilst leaving the spacers 120 substantially intact.
  • the removal of the sacrificial gate structures may expose surface 106 in the regions between the spacers 120 .
  • Removing the sacrificial gate structures comprises the formation of gate trenches 128 , the gate trenches being bound by spacers 120 and surface 106 .
  • the sacrificial gate structures are removed by an etch process, the etch chemistry being selected such that the spacers 120 and the dielectric material 124 are not etched, or are not etched significantly.
  • the sacrificial gate structure may be removed by a dry etch process, a wet etch process, or a combination thereof.
  • a semiconductor device structure 130 may include an interface layer 132 that may be formed on the surface 106 of semiconductor substrate 102 disposed in the gate trenches 128 .
  • the interface layer 132 may comprise a silicon oxide and may be selectively formed on the surface 106 utilizing a chemical oxidation process to oxidize the surface 106 of semiconductor substrate 102 .
  • methods may comprise forming the interface layer 132 disposed over the channel region of the NMOS region and over the channel region of the PMOS region 108 .
  • the embodiments of the disclosure may further comprise forming a gate dielectric.
  • a conformal layer of high-k dielectric material may be formed over the semiconductor device structure 130 of FIG. 5 , resulting in the semiconductor device structure 134 as illustrate in FIG. 6 .
  • the high-k dielectric material 136 disposed over the NMOS region 110 may be denoted as NMOS dielectric 136 A and the high-k dielectric material 136 disposed over the PMOS region 108 may be denoted as PMOS dielectric 136 B. Therefore in some embodiments of the disclosure, forming the NMOS gate dielectric 136 A and the PMOS gate dielectric 136 B may comprise depositing a high-k dielectric material 136 directly over the interface layer 132 .
  • the high-k dielectric material 136 may comprise at least one of hafnium oxide (HfO 2 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), titanium oxide (TiO 2 ), hafnium silicate (HfSiO x ), aluminum oxide (Al 2 O 3 ) or lanthanum oxide (La 2 O 3 ) or mixtures/laminates thereof.
  • the high-k dielectric material 136 may comprise at least one of a dielectric metal oxide, such as a transition metal oxide or aluminum oxide, metal silicates, dielectric metal oxynitride or mixtures thereof.
  • the high-k dielectric material 136 may be conformally deposited using an atomic layer deposition process. In some embodiments, methods may comprise forming the high-k dielectric material 136 to have a thickness of approximately less than 2 nanometers.
  • Embodiments of the disclosure may further comprise depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric.
  • a portion of an NMOS gate electrode 140 may be deposited over both the NMOS gate dielectric and over the PMOS gate dielectric.
  • the portion of the NMOS gate electrode 140 may comprise a first liner layer 140 A, a first work function metal 140 B and a second liner layer 140 C.
  • the first liner layer 140 A and the second liner layer 140 C may comprise a metal nitride, such as a transition metal nitride, for example at least one of titanium nitride (TiN), tantalum nitride (TaN), or niobium nitride (NbN).
  • the first liner layer 140 A and the second liner layer 140 C may both comprise titanium nitride, i.e., a first titanium nitride film and a second titanium nitride film respectively.
  • the first liner layer 140 A and the second liner layer 140 C both comprise a doped titanium nitride (TiN), wherein the titanium nitride film may be doped with at least one of carbon (C), silicon (Si), or boron (B).
  • TiN titanium nitride
  • C carbon
  • Si silicon
  • B boron
  • the first work function metal may comprise a metal carbide, such as transition metal carbide, for example at least one of titanium carbide (TiC x ), tantalum carbide (TaC), titanium aluminum carbide (TiAlC x ) or niobium aluminum carbide or mixtures/laminates thereof.
  • the first work function metal may comprise a transition metal, such as Ti, Ta, or Nb and another metal such as Al and carbon.
  • the portion of the NMOS gate electrode 140 may be deposited by a cyclical deposition method, such as, for example, an atomic layer deposition process or cyclic CVD process.
  • an ALD process is based on typically self-limiting reactions, whereby sequential and alternating pulses of reactants are used to deposit about one atomic (or molecular) monolayer of material per deposition cycle.
  • the deposition conditions and precursors are typically selected to provide self-saturating reactions, such that an absorbed layer of one reactant leaves a surface termination that is non-reactive with the gas phase reactants of the same reactant.
  • the substrate is subsequently contacted with a different reactant that reacts with the previous termination to enable continued deposition.
  • each cycle of alternated pulses typically leaves no more than about monolayer of the desired material.
  • more than one monolayer of material may be deposited, for example, if some gas phase reactions occur despite the alternating nature of the process.
  • one deposition cycle comprises exposing the substrate to a first reactant, removing any unreacted first reactant and reaction byproducts from the reaction space, exposing the substrate to a second reactant, followed by a second removal step.
  • the first vapor phase reactant may comprise a metal precursor, such as a titanium precursor, a tantalum precursor, or a niobium precursor.
  • the second precursor may comprise nitrogen precursor, an aluminum precursor, or a carbon precursor. In some embodiment, the second precursor may comprise both an aluminum precursor and a carbon precursor.
  • the first liner layer 140 A may comprise a first titanium nitride film (TiN) and may be deposited by an atomic layer deposition process directly over the surface of the high-k dielectric material 136 .
  • atomic layer deposition of titanium nitride may comprise a first “metal phase” of a deposition cycle, which comprises contacting the substrate with a first vapor phase reactant which may include a titanium halide, such that the adsorbed monolayer is terminated with halogen ligands.
  • the titanium halide may comprise titanium tetrachloride (TiCl 4 ).
  • the titanium halide e.g., titanium tetrachloride
  • the titanium halide may be pulsed into the reaction space over a time period of less than 20 seconds, or less than 10 seconds, or even less than 5 second.
  • Excess metal precursor e.g., titanium precursor
  • reaction byproducts if any
  • Excess metal precursor and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • the substrate is contacted with a nitrogen containing precursor, such as ammonia (NH 3 ) or hydrazine (N 2 H 2 ).
  • a nitrogen containing precursor such as ammonia (NH 3 ) or hydrazine (N 2 H 2 ).
  • the nitrogen containing precursor may comprise an alkyl-hydrazine precursor including, but not limited to, tertbutylhydrazine (C 4 H 9 N 2 H 3 ), methylhydrazine (CH 3 NHNH 2 ), dimethylhydrazine ((CH 3 ) 2 N 2 H 2 ).
  • the nitrogen containing precursor may comprise nitrogen radicals, atoms, or plasma.
  • the nitrogen precursor e.g., ammonia
  • the nitrogen precursor may be pulsed into the reaction space for a time period of less than 20 seconds, or less than 10 seconds, or even less than 5 seconds.
  • the nitrogen reactant may react with the titanium-containing molecules left on the substrate surface.
  • nitrogen is incorporated in to the film by the interaction of the nitrogen reactant with the monolayer left by the metal precursor.
  • the reaction between the nitrogen reactant and the chemisorbed metal species produces a titanium nitride thin film over the substrate.
  • Excess second source chemical and reaction byproduct, if any, are removed from the substrate surface, for example by a purging gas pulse and/or vacuum generated by a pumping system.
  • Purging gas is preferably any inert as, such as, without limitation, argon (Ar), nitrogen, (N 2 ), or helium (He).
  • a phase is generally considered to immediately follow another phase if a purge (i.e., a purging pulse) or other reactant removal step intervenes.
  • the deposition cycle comprising contacting the substrate with the titanium precursor, purging the reaction space, contacting the substrate with the nitrogen precursor and purging the reaction space may be repeated two or more times until the desired thickness of the first liner layer 140 A (e.g., the first titanium nitride film) is achieved.
  • the first liner layer 140 A comprises titanium nitride (TiN) and is deposited to a thickness of less than 5 Angstroms, or less than 15 Angstroms, or even less than 30 Angstroms nanometers.
  • the substrate may be heated to a desired deposition temperature during the atomic layer deposition processes, therefore the ALD process for the deposition of the first liner layer 140 A may be performed at a substrate temperature of less than approximately 550° C., or less than approximately 350° C., or even less than approximately 150° C.
  • the reaction space in which the atomic layer deposition of the first liner layer 140 A takes place may be placed under a vacuum utilizing a pumping system fluidly connected to the reaction space. Therefore in some embodiments of the disclosure, the ALD process for depositing the first liner layer may take place at reaction space pressure of less than 10 Torr, or even less than 5 Torr, or even less than 1 Torr.
  • the process of depositing the portion of the NMOS gate electrode 140 may proceed by the atomic layer deposition of a first work function metal 140 B directly over the surface of the first liner layer 140 A, e.g., directly over the first titanium nitride film.
  • the first work function metal may comprise at least one of titanium carbide (TiC), tantalum carbide, titanium aluminum carbide (TiAlC), or niobium aluminum carbide (NbAlC).
  • the atomic layer deposition of the first work function metal 140 B may comprise a deposition cycle including contacting the substrate with a first vapor phase reactant comprising a metal precursor, purging the reaction space, contacting the substrate with a second vapor phase reactant comprising a carbon precursor and in some embodiments an additional metal precursor, and purging the reaction space.
  • the deposition of the first work function metal may comprise a deposition cycle which includes a first “metal phase” wherein the first metal phase comprises contacting the substrate with a titanium containing precursor, a tantalum containing precursor, or a niobium containing precursor.
  • the first metal phase of the ALD process comprises contacting the substrate with a titanium halide, such as, for example, titanium tetrachloride (TiCl 4 ).
  • the first metal phase of the ALD process comprises contacting the substrate with a tantalum halide, such as, for example, tantalum pentachloride (TaCl 5 ).
  • the first metal phase of the ALD process comprises contacting the substrate with a niobium halide, such as, for example, niobium pentachloride (NbCl 5 ) or niobium pentafluoride (NbF 5 ).
  • a niobium halide such as, for example, niobium pentachloride (NbCl 5 ) or niobium pentafluoride (NbF 5 ).
  • the first metal phase of the ALD deposition cycle may comprise contacting the substrate with titanium tetrachloride (TiCl 4 )
  • the first metal phase of the ALD deposition cycle may comprise contacting the substrate with niobium pentachloride (NbCl 5 ).
  • the substrate may be contacted with the first metal precursor (e.g., titanium tetrachloride) for a time period of less than 20 seconds, or less than 10 seconds, or even less than 1 second.
  • Excess metal precursor e.g., titanium precursor
  • reaction byproducts if any
  • Excess metal precursor and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • the substrate may be contact with a second vapor phase reactant comprising at least one of carbon and an additional metal containing precursor.
  • the first work function metal 140 B may comprise titanium carbide and the second vapor phase reactant may comprise a carbon containing precursor, including, but not limited to, boron source compounds, silicon source compounds, phosphorus source compounds, or hydrocarbons.
  • the boron source compounds may comprise boron compounds that have at least one boron-carbon bond and may be chosen from the following:
  • Carboranes having the formula C 2 B n H n+x having the formula C 2 B n H n+x , wherein n is an integer from 1 to 10, and x is an even integer, preferably 2, 4 or 6.
  • Examples of carboranes may include closo-carboranes (C 2 B n H n+2 ), nido-carboranes (C 2 B n H n+4 ), and arachno-carboranes (C 2 B n H n+6 ).
  • Amine-borane adducts having the formula R 3 NBX 3 , wherein R is linear or branched C1 to C10, preferably C1 to C4 alkyl or H, and X is linear or branched C1 to C10, preferably C1 to C4 alkyl, H or halogen.
  • Aminoboranes where one or more of the substituents on the B is an amino group according to the formula R 2 N, wherein R is linear or branched C1 to C10, preferably C1 to C4 alkyl or substituted or unsubstituted aryl group.
  • R is linear or branched C1 to C10, preferably C1 to C4 alkyl or substituted or unsubstituted aryl group.
  • An example of a suitable aminoborane is (CH 3 ) 2 NB(CH 3 ) 2 .
  • Alkyl borons or alkyl boranes wherein the alkyl is typically linear or branched C1 to C10 alkyl, preferably C2 to C4 alkyl.
  • the alkyl boron may comprise triethylboron (CH 3 CH 2 ) 3 B.
  • the carbon containing precursor may comprise an alkyl silicon compound, or an alkyl phosphorous compound.
  • the carbon containing precursor may comprise a hydrocarbon, such as, for example, a hydrocarbon with a high hydrogen/carbon ratio.
  • the carbon containing precursor may comprise a metalorganic compound, such as, for example trimethylaluminum (TMA). Atomic layer deposition of transition metal carbides are described in U.S. Pat. No. 6,800,552 issued to Elers et al., and incorporated by reference herein.
  • the first work function metal 140 B may comprise titanium aluminum carbide or niobium aluminum carbide and the second vapor phase reactant may comprise a carbon and aluminum containing precursor, including, but not limited to, aluminum hydrocarbon compounds selected from one or more of the group consisting of trimethylaluminum (TMA), triethylaluminum (TEA), dimethylaluminum hydride (DMAH), dimethylethylaminealane (DMEAA), trimethylaminealane (TEAA), N-methylpyrroridinealane (MPA), tri-isobutylaluminum (TIBA), and tritertbutylaluminum (TTBA).
  • TMA trimethylaluminum
  • TEA triethylaluminum
  • DMAH dimethylaluminum hydride
  • DMEAA dimethylethylaminealane
  • TEAA trimethylaminealane
  • MPA tri-methylpyrroridinealane
  • TIBA tri-isobutylaluminum
  • the aluminum hydrocarbon compound comprises a tertbutyl ligand bonded to aluminum. In some embodiments, the aluminum hydrocarbon compound comprises at least one or more Al—C bonds. In some embodiments, the aluminum hydrocarbon compound comprises two or less Al—H bonds. In some embodiments, the aluminum hydrocarbon does not comprise Al—Al bonds. In some embodiments, the aluminum hydrocarbon compound does not comprise oxygen and/or a halide. In some embodiments, the aluminum hydrocarbon compound comprises only aluminum, hydrogen and carbon and no other elements. In some embodiments of the disclosure, the substrate may be contacted with the second vapor phase reactant (e.g., trimethylaluminum) for a time period of less than 20 seconds, or less than 10 seconds, or even less than 1 seconds.
  • the second vapor phase reactant e.g., trimethylaluminum
  • the deposition cycle for depositing the first work function metal 140 B comprising, contacting the substrate with a first metal containing precursors, purging the reaction space, contacting the substrate with a second vapor phase reactant which comprises at least one of carbon and an additional metal, and purging the reaction space, may be repeated two or more times until the desired thickness of the first work function metal is deposited.
  • the first work function metal 140 B is deposited to thickness of less than 5 nanometers, or less than 4 nanometers, or even less than 3 nanometers.
  • the substrate may be heated to a desired deposition temperature during the atomic layer deposition processes for the first work function metal 140 B, therefore the ALD process for the deposition of the first work function metal 104 B may be performed at a substrate temperature of less than approximately 550° C., or less than approximately 400° C., or even less than approximately 300° C.
  • the reaction space in which the atomic layer deposition of the first work function metal 140 B takes place may be placed under a vacuum utilizing a pumping system fluidly connected to the reaction space. Therefore in some embodiments of the disclosure, the ALD process for depositing the first work function metal layer may take place at reaction space pressure of less than 10 Torr, or even less than 5 Torr, or even less than 1 Torr.
  • Excess second vapor phase reactant e.g., TMA
  • reaction byproducts if any
  • Excess second vapor phase reactant and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • the deposition of a portion of the NMOS gate electrode 140 may proceed with the deposition of the second liner layer 140 C.
  • the second liner layer 140 C may be deposited directly over the surface of the first work function metal 140 B.
  • the second liner layer 140 C may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride.
  • the second liner layer 140 C may comprise titanium nitride, i.e., a second titanium nitride film, and may be deposited by the same or substantially similar atomic layer deposition process as described herein for the first liner layer 140 A.
  • the second liner layer 140 C comprises titanium nitride (TiN) and is deposited to a thickness of less than 4 nanometers, or less than 3 nanometers, or even less than 2 nanometers.
  • the portion of the NMOS gate electrode 140 formed over the semiconductor substrate by a cyclical deposition process may be deposited over a three dimensional structure, as illustrated in FIG. 7 wherein the portion of the NMOS gate electrode 140 is deposited over and in the gate trenches of semiconductor device structure 138 .
  • the step coverage of a portion of NMOS gate electrode 140 may be equal to or greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or greater than about 99%, or even about 100% in structures having aspect ratios (height/width) of more than about 2, more than 5, more than about 10, more than about 25, more than about 50, or even more than about 100.
  • the growth rate of the portion of the NMOS gate electrode 140 may be less than about 5 ⁇ /cycle, or less than about 2 ⁇ /cycle, or even less than about 1 ⁇ /cycle.
  • FIG. 8 illustrates semiconductor device structure 142 which comprises a masking layer 144 which may be disposed over select portions of the first work function metal 140 B.
  • the masking layer 144 may be formed and patterned using common semiconductor processes such as spin-coating, deposition, photolithography, development and etching.
  • the methods of the disclosure may comprise selecting the masking layer 144 to comprise at least one of a silicon oxide, a silicon nitride, a silicon oxynitride, or a polymer material (e.g., a photoresist).
  • the masking layer 144 may be disposed over the portions of the first work function metal 140 B that may remain after a subsequent removal process, i.e., the masking layer may be disposed over the NMOS gate dielectric 136 A.
  • Embodiments of the disclosure may further comprise, removing the first work function metal over the PMOS gate dielectric.
  • FIG. 9 illustrates the semiconductor device structure 146 which comprises the first work function metal after removing a portion of the first work function metal 140 , in addition to removing the masking layer.
  • Removing the first work function metal over the PMOS gate dielectric may comprise selectively etching the second liner layer 140 C, e.g., a second titanium nitride film, disposed over the PMOS gate dielectric 136 B and selectively etching the first work function metal 140 B disposed over the PMOS gate dielectric 136 B.
  • selective etching may refer to an etching process in which a first material is etched at a significantly higher etching rate than a second material.
  • a ratio of the etching rates between the first material, e.g., the first work function metal, and the second material, e.g., the underlying first liner layer 140 A may comprise an etching ratio greater than 3:1, 5:1, or even 10:1 or even greater.
  • removing the first work function metal 140 B over the PMOS dielectric 136 B further comprises removing at least a portion of the first liner layer 140 A, e.g., a first titanium nitride film.
  • removing the first work function metal 140 B over the PMOS dielectric 136 B may comprise completely removing the region of the first liner layer 140 A disposed over the PMOS dielectric 136 B.
  • selectively etching the first work function metal 140 B further comprises, exposing the first work function metal 140 B to at least one of a fluoride-based etch chemistry or a chloride-based etch chemistry.
  • the selective etch process may comprise exposing both the second liner layer 140 C, e.g., the second titanium nitride film, and the first work function metal 140 B to at least one of sulfur hexafluoride (SF 6 ), carbon tetrafluoride (CF 4 ), or nitrogen trifluoride (NF 3 ).
  • the etching of the second liner layer 140 C and the first work function metal 140 B may comprise one or more of a polishing, grinding or chemical mechanical polishing removal process. As illustrated in FIG. 9 the removal process results in a first work function metal 140 B disposed over the NMOS gate dielectric 136 A.
  • Embodiments of the disclosure may further comprise, depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric.
  • semiconductor device structure 148 may include a second work function metal 150 that may be formed conformally over the NMOS gate dielectric 136 A and over the PMOS gate dielectric 136 B.
  • the second work function metal 150 may be disposed directly over the first liner layer 140 A, e.g., a first titanium nitride film, in the region disposed over the PMOS gate dielectric 136 B.
  • the second work function metal 150 may be disposed directly over the second liner layer 140 C and over the first work function metal 104 B in the region disposed over the NMOS gate dielectric 136 A. As illustrated in FIG. 10 , the second work function metal 150 not only comprises a second work function metal but also fills the gate trench structure such that the remaining portions of the gate trench are completed filled with the second work function metal 150 , therefore the second work function metal comprises a gate fill metal.
  • the second work function metal 150 may comprise a molybdenum film and the molybdenum film may be deposited utilizing a cyclical deposition process, such as, for example, an atomic layer deposition process. Therefore, the method of depositing the second work function metal 150 may comprise alternatively and sequentially contacting the semiconductor substrate with a molybdenum precursor and a reducing agent precursor.
  • depositing the second work function metal 150 may comprise depositing a molybdenum film by atomic layer deposition, the ALD process comprising a deposition cycle which includes, contacting the semiconductor substrate with a first vapor phase reactant comprising a molybdenum containing precursor, purging the reaction space, contacting the semiconductor structure with a second vapor phase reactant comprising a reducing agent precursor and purging the reaction space for a second time.
  • a deposition cycle for depositing a second work function metal 150 includes a first metal phase which comprises contacting substrate with a first vapor phase reactant comprising a molybdenum containing precursor.
  • the molybdenum precursor may comprise molybdenum and a halogen, such as, for example, a chloride.
  • the molybdenum precursor may comprise molybdenum, a halogen and a chalcogenide, wherein the chalcogenide may comprise oxygen.
  • the molybdenum precursor may comprise at least one of molybdenum pentachloride (MoCl 5 ) or molybdenum dichloride dioxide (MoO 2 Cl 2 ).
  • MoCl 5 molybdenum pentachloride
  • MoO 2 Cl 2 molybdenum dichloride dioxide
  • the molybdenum containing precursor may be pulsed into the reaction space for a time period of less than 20 second, or less than 10 second, or even less than 1 second.
  • first vapor phase reactant e.g., molybdenum pentachloride
  • reaction byproducts if any
  • first vapor phase reactant e.g., molybdenum pentachloride
  • reaction byproducts if any
  • first vapor phase reactant e.g., molybdenum pentachloride
  • reaction byproducts if any
  • second vapor phase reactant and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • the second phase of the deposition cycle for depositing a molybdenum film may comprise a reducing phase, in which the substrate is exposed to one or more reducing agent precursors which react with the molybdenum ligands present on the semiconductor substrate thereby forming a molybdenum film. Therefore, in some embodiments of the disclosure, the second phase of the cyclical deposition cycle comprises contacting the semiconductor substrate with a reducing agent precursor, the reducing agent precursor comprising at least one of hydrogen (H 2 ), silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), germane (GeH 4 ), digermane (Ge 2 H 6 ), or diborane (B 2 H 6 ).
  • the reducing agent precursor comprising at least one of hydrogen (H 2 ), silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), germane (GeH 4 ), digermane
  • the reducing agent precursor may comprise hydrogen (H 2 ) or hydrogen radicals, atoms, or plasma, i.e., excited species of hydrogen created utilizing a hydrogen plasma, e.g., either a remote or direct plasma.
  • the reducing agent precursor may comprise higher order silanes with the general empirical formula Si x H (2x+2) .
  • the reducing agent precursor may comprise higher order germanes with the general empirical formula Ge x H (2x+2) .
  • the reducing agent precursor may be pulsed into the reaction space for time period of less than 20 seconds, or less than 10, or even less than 1 second.
  • the second work function metal 150 may be deposited to a thickness such that the gate trench is completely filled with the second work function metal, i.e., the second work function metal is utilized as a gate fill metal.
  • the second work function metal 150 may comprise a molybdenum film deposited to a thickness of between approximately 50 nanometers and 300 nanometers or between approximately 5 nanometers and 50 nanometers.
  • the substrate may be heated to a desired deposition temperature during the atomic layer deposition processes for the second work function metal 150 , therefore the ALD process for the deposition of the second work function metal 150 may be performed at a substrate temperature of less than approximately 750° C., or less than approximately 650° C., or less than approximately 550° C., or less than approximately 450° C., or less than approximately 350° C., or less than approximately 250° C., or even less than approximately 150° C.
  • the deposition of the second work function metal may be performed at a substrate temperature of between 300° C. and 750° C., or between 400° C. and 700° C., or between 450° C. and 600° C.
  • the reaction space in which the atomic layer deposition of the second work function metal 150 takes place may be placed under a vacuum utilizing a pumping system fluidly connected to the reaction space. Therefore in some embodiments of the disclosure, the ALD process for depositing the second work function metal layer may take place at reaction space pressure of less than 10 Torr, or even less than 5 Torr, or even less than 1 Torr.
  • the second work function metal 150 formed over the semiconductor substrate by a cyclical deposition process such as, for example, atomic layer deposition, may be deposited over a three dimensional structure, as illustrated in FIG. 10 wherein the second work function metal completely fills the gate trench and therefore comprises a gate fill metal. Therefore in some embodiments, the step coverage of the second work function metal 150 may be equal to or greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or greater than about 99%, or even about 100% in structures having aspect ratios (height/width) of more than about 2, more than 5, more than about 10, more than about 25, more than about 50, or even more than about 100.
  • the Mo film may comprise less than about 20 atomic % oxygen, less than about 10 atomic % oxygen, less than about 5 atomic % oxygen, or even less than about 2 atomic % oxygen. In further embodiments, the Mo film may comprise less than about 10 atomic % hydrogen, or less than about 5 atomic % of hydrogen, or less than about 2 atomic % of hydrogen, or even less than about 1 atomic % of hydrogen. In some embodiments, the Mo film may comprise Halide/Cl less than about 10 atomic %, or Halide/Cl less than about 5 atomic %, Halide/Cl less than about 1 atomic %, or even Halide/Cl less than about 0.5 atomic %.
  • the Mo film may comprise less than about 10 atomic % carbon, or less than about 5 atomic % carbon, or less than about 2 atomic % carbon, or less than about 1 atomic % of carbon, or even less than about 0.5 atomic % carbon.
  • the atomic concentration of an element may be determined utilizing Rutherford backscattering (RBS).
  • the growth rate of the second work function metal 150 may be less than about 5 ⁇ /cycle, or less than about 2 ⁇ /cycle, or even less than about 1 ⁇ /cycle.
  • a third liner layer (not shown) may be deposited over the PMOS gate dielectric 136 B and the NMOS gate dielectric 136 A.
  • the third liner layer may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride.
  • the third liner layer may comprise a third titanium nitride film deposited utilizing the atomic layer deposition processes described herein. The third titanium nitride film may be utilized in embodiments wherein the removal stage completely or partially removes the first liner layer 140 A disposed above the PMOS dielectric 136 B. Therefore, in some embodiments of the disclosure, the thickness of the first liner layer 140 A may different between that disposed over the PMOS region 108 and the NMOS region 110 .
  • the semiconductor device structure 148 of FIG. 10 comprises a metal nitride film, such as, for example, titanium nitride disposed directly over the PMOS gate dielectric 136 B.
  • the metal nitride film disposed directly over the PMOS gate dielectric 136 B comprises either the first liner layer 140 C, or a third liner layer, depending on whether the first liner layer 140 C is completely removed during the removal process to remove the first work function metal 140 C disposed over the PMOS dielectric 136 B.
  • the thickness of the metal nitride film disposed directly over the PMOS gate dielectric 136 B may be varied to enable the tuning of an effective work function of a metal gate electrode disposed over the PMOS gate dielectric.
  • the metal nitride film disposed directly over the PMOS gate dielectric is varied in thickness between approximately 5 Angstroms and approximately 30 Angstroms.
  • the metal gate electrode disposed over the PMOS dielectric 136 comprises a metal nitride film 140 A, such as, for example, titanium nitride directly in contact with the PMOS dielectric 136 and a further second effective work function metal, e.g., a molybdenum film, disposed directly over the metal nitride film 140 A.
  • a metal nitride film 140 A such as, for example, titanium nitride directly in contact with the PMOS dielectric 136 and a further second effective work function metal, e.g., a molybdenum film, disposed directly over the metal nitride film 140 A.
  • the gate electrode to the PMOS device comprises a titanium nitride film and a molybdenum film and the effective work function of the gate electrode to the PMOS device varies, i.e., is tuned to a preferred value, by varying the thickness of the titanium nitride film 140 A in direct contact with the PMOS dielectric 136 .
  • the thickness of the titanium nitride film disposed directly over the PMOS gate dielectric is varied in thickness between approximately 5 Angstroms and approximately 30 Angstroms, resulting in an effective work function of the PMOS gate electrode of between approximately 5.2 eV and approximately 4.8 eV.
  • Subsequent processes in the embodiments of the disclosure may selectively remove excess material from the surface of semiconductor device structure 148 of FIG. 10 .
  • semiconductor device structure 152 of FIG. 11 wherein one or more etching and or polishing processes may be utilized to form the planarized semiconductor device structure 152 .
  • further processes may be performed to complete the semiconductor device structure, e.g., to complete a complementary metal-oxide-semiconductor (CMOS) device structure.
  • CMOS complementary metal-oxide-semiconductor
  • back-end-of-line processes may form contacts to the gate electrode structures and to source/drain regions as well as forming interconnects between the devices on the semiconductor substrate 102 .
  • Embodiments of the disclosure may also comprise semiconductor device structures formed accordingly to the methods of the disclosure.
  • FIG. 11 illustrates the semiconductor device structure 152 which comprises a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a metal nitride film 140 A, such as, for example, titanium nitride disposed directly over the PMOS gate dielectric 136 B and a molybdenum film 150 disposed directly over the metal nitride film 140 A.
  • the PMOS gate electrode has an effective work function of greater than approximately 4.9 eV, or greater than approximately 5.0 eV, or even greater than approximately 5.1 eV.
  • the metal nitride film disposed directly over the PMOS gate dielectric has a thickness of less than 30 Angstroms. In some embodiments, the molybdenum film disposed directly over the metal nitride film has a thickness of less than 10 nanometers.
  • the PMOS gate dielectric 136 B may comprise at least one of hafnium oxide (HfO 2 ), aluminum oxide (Al 2 O 3 ), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), titanium oxide (TiO 2 ), hafnium silicate (HfSiO x ) and lanthanum oxide (La 2 O 3 ).
  • the molybdenum film functions as both a work function metal and a gate fill metal, the gate fill metal being disposed in and filling the gate trench.
  • the metal nitride film 140 A disposed directly above the PMOS gate dielectric 136 B may be varied in thickness to thereby vary the effective work function of the gate electrode.
  • the metal nitride film 140 A may comprise a titanium nitride film and may have a thickness between approximately 5 Angstroms and approximately 30 Angstroms, resulting in an effective work function for the metal gate stack of between approximately 5.2 eV and approximately 4.8 eV.
  • the semiconductor device structure 152 of FIG. 11 may also comprise a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a metal nitride film 140 A disposed directly over the NMOS gate dielectric 136 A, a first work function metal 140 B disposed directly over the metal nitride film 140 A, an additional metal nitride film 140 C disposed over the first work function metal 140 B and a molybdenum film 150 disposed directly over the additional metal nitride film 140 B.
  • the NMOS gate electrode has an effective work function of less than 4.5 eV, or less than 4.3 eV, or even less than 4.2 eV. In some embodiments of the disclosure, the NMOS gate electrode has an effective work function of 4.3 eV. In some embodiments, the metal nitride film disposed directly over the NMOS gate dielectric has a thickness of less than 4 nanometers. In some embodiments of the disclosure, the metal nitride film may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride.
  • the first work function metal 140 B comprises at least one of titanium carbide (TiC), tantalum carbide (TaC), titanium aluminum carbide (TiAlC), or niobium aluminum carbide (NbAlC), wherein the first work function metal 140 B has a thickness of less than 4 nanometers.
  • the additional metal nitride film 140 C disposed directly over the first work function metal has a thickness of less than 3 nanometers.
  • the additional metal nitride film 140 C may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride.
  • the molybdenum film 150 disposed directly over the additional metal nitride film 140 C has a thickness of less than 10 nanometers.
  • the molybdenum film comprises a gate fill metal, the gate fill metal being disposed in and filling the gate trench.
  • the molybdenum film 150 has an electrical resistivity of less than approximately 50 ⁇ m, or less than approximately 30 ⁇ m, or even less than approximately 20 ⁇ m.
  • the embodiments of the disclosure may also comprise a complementary metal-oxide-semiconductor (CMOS) device structure, as illustrate in FIG. 11 .
  • CMOS device structures may comprise a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a first titanium nitride film 140 A disposed directly over the PMOS gate dielectric 136 B and a molybdenum film 150 disposed directly over the first titanium nitride film 140 A.
  • the CMOS device structure may further comprise a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a second titanium nitride film 140 A disposed directly over the NMOS gate dielectric 136 A, a first work function metal 140 B disposed directly over the second titanium nitride film 140 A, a third titanium nitride film 140 C disposed directly over the first work function metal and a molybdenum film 150 disposed directly over the third titanium nitride film 140 C.

Abstract

A method for forming a semiconductor device structure is disclosure. The method may include, depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric. Semiconductor device structures including desired metal gate electrodes deposited by the methods of the disclosure are also disclosed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation and claims priority to U.S. patent application Ser. No. 15/707,786 filed Sep. 18, 2017 titled METHOD FOR FORMING A SEMICONDUCTOR DEVICE STRUCTURE COMPRISING A GATE FILL METAL, the disclosures of which are hereby incorporated by reference in their entirety.
  • FIELD OF INVENTION
  • The present disclosure relates generally to methods for forming a semiconductor device structure and related semiconductor device structures; in particular, the disclosure relates to methods for forming gate electrodes for both NMOS and PMOS device structures.
  • BACKGROUND OF THE DISCLOSURE
  • Complementary metal-oxide-semiconductor (CMOS) technology has conventionally utilized n-type and p-type polysilicon as the gate electrode material. However, doped polysilicon may not be an ideal gate electrode material for advanced technology node applications. For example, although doped polysilicon is conductive, there may still be a surface region which can be depleted of carriers under bias. This depleted region may appear as an extra gate insulator thickness, commonly referred to as gate depletion, and may contribute to the equivalent oxide thickness. While the gate depletion region may be thin, on the order of a few Angstroms, it may become significant as the gate oxide thicknesses are reduced in advance technology node applications. As a further example, polysilicon does not exhibit an ideal effective work function (eWF) for both NMOS and PMOS devices. To overcome the non-ideal effective work function of doped polysilicon, a threshold voltage adjustment implantation may be utilized. However, as device geometries reduce in advanced technology node applications, the threshold voltage adjustment implantation processes may become increasingly complex.
  • To overcome the problems associated with doped polysilicon gate electrodes, the non-ideal doped polysilicon gate material may be replaced with alternative materials, such as, for example, metals, metal nitrides and metal carbides. For example, the properties of a metal, a metal nitride, or a metal carbide may be utilized to provide a more ideal effective work function for both NMOS and PMOS device, wherein the effective work function of the gate electrode, i.e., the energy need to extract an electron, may be compatible with the barrier height of the semiconductor material. For example, in the case of PMOS devices, the effective work function is approximately 5.0−5.2 eV, and in the case of the NMOS devices, the effective work function is approximately 4.1−4.3 eV. Accordingly, methods are desired for forming gate electrode for both NMOS and PMOS devices with preferred effective work functions.
  • SUMMARY OF THE DISCLOSURE
  • This summary is provided to introduce a selection of concepts in a simplified form. These concepts are described in further detail in the detailed description of example embodiments of the disclosure below. This summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter.
  • In some embodiments, methods for forming a semiconductor device structure are provided. The method may comprise depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric.
  • In additional embodiments, a semiconductor device structure may be provided. The semiconductor device structure may comprise: a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a metal nitride film disposed directly over the PMOS gate dielectric and a molybdenum film disposed directly over the metal nitride film.
  • In further embodiments, a semiconductor device structure may be provided. The semiconductor device structure may comprise, a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a metal nitride film disposed directly over the NMOS gate dielectric, a first work function metal disposed directly over the metal nitride film, an additional metal nitride film disposed over the first work function metal and a molybdenum film disposed over the additional metal nitride film.
  • In yet further embodiments, a complementary metal-oxide-semiconductor device structure may be provided. The CMOS device structure may comprise: a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a first titanium nitride film disposed directly over the PMOS gate dielectric and a molybdenum film disposed directly over the first titanium nitride film. The CMOS device structure may also comprise: a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a second titanium nitride film disposed directly over the NMOS gate dielectric, a first work function metal disposed directly over the second titanium nitride film, a third titanium nitride film disposed over the first work function metal and a molybdenum film disposed over the third titanium nitride film.
  • For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
  • All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments will become readily apparent to those skilled in the art from the following detailed description of certain embodiments having reference to the attached figures, the invention not being limited to any particular embodiment(s) disclosed.
  • BRIEF DESCRIPTION OF THE DRAWING FIGURES
  • While the specification concludes with claims particularly pointing out and distinctly claiming what are regarded as embodiments of the invention, the advantages of embodiments of the disclosure may be more readily ascertained from the description of certain examples of the embodiments of the disclosure when read in conjunction with the accompanying drawings, in which:
  • FIGS. 1-11 are simplified cross sectional views of semiconductor device structures including gate electrodes formed according to the embodiments of the disclosure.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • Although certain embodiments and examples are disclosed below, it will be understood by those in the art that the invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Thus, it is intended that the scope of the invention disclosed should not be limited by the particular disclosed embodiments described below.
  • The illustrations presented herein are not meant to be actual views of any particular material, structure, or device, but are merely idealized representations that are used to describe embodiments of the disclosure.
  • As used herein, the term “cyclic deposition” may refer to the sequential introduction of precursors (reactants) into a reaction chamber to deposit a film over a substrate and includes deposition techniques such as atomic layer deposition and cyclical chemical vapor deposition.
  • As used herein, the term “cyclical chemical vapor deposition” may refer to any process wherein a substrate is sequentially exposed to two or more volatile precursors, which react and/or decompose on a substrate to produce a desired deposition.
  • As used herein, the term “substrate” may refer to any underlying material or materials that may be used, or upon which, a device, a circuit or a film may be formed.
  • As used herein, the term “atomic layer deposition” (ALD) may refer to a vapor deposition process in which deposition cycles, preferably a plurality of consecutive deposition cycles, are conducted in a process chamber. Typically, during each cycle the precursor is chemisorbed to a deposition surface (e.g., a substrate surface or a previously deposited underlying surface such as material from a previous ALD cycle), forming a monolayer or sub-monolayer that does not readily react with additional precursor (i.e., a self-limiting reaction). Thereafter, if necessary, a reactant (e.g., another precursor or reaction gas) may subsequently be introduced into the process chamber for use in converting the chemisorbed precursor to the desired material on the deposition surface. Typically, this reactant is capable of further reaction with the precursor. Further, purging steps may also be utilized during each cycle to remove excess precursor from the process chamber and/or remove excess reactant and/or reaction byproducts from the process chamber after conversion of the chemisorbed precursor. Further, the term “atomic layer deposition,” as used herein, is also meant to include processes designated by related terms such as, “chemical vapor atomic layer deposition”, “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, or organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor composition(s), reactive gas, and purge (e.g., inert carrier) gas.
  • As used herein, the term “film” and “thin film” may refer to any continuous or non-continuous structures and material deposited by the methods disclosed herein. For example, “film” and “thin film” could include 2D materials, nanorods, nanotubes, or nanoparticles or even partial or full molecular layers or partial or full atomic layers or clusters of atoms and/or molecules. “Film” and “thin film” may comprise material or a layer with pinholes, but still be at least partially continuous.
  • As used herein, the term “work function metal” may refer to any conductive metal-containing material that results in an appropriate effective work function when formed in, on or over the gate dielectric of a semiconductor device.
  • The present disclosure includes methods and semiconductor device structures that may be used to form gate electrodes comprising one or more work function metals, wherein the work function metals may be formed utilizing cyclical deposition processes, such as, for example, atomic layer deposition processes. The existing work function metals that may be utilized in the ALD formation of gate electrodes may have limitations due to their unsuitable effective work function values. For example, it is known that the effective work function of a material may vary as a function of its thickness. Therefore, as device geometries decrease in advance technology node applications, the thickness of the corresponding device films, such as the work function metal(s) of the gate electrode, may also decrease in thickness with an associated change in the value of effective work function. Such a change in the effective work function of the gate electrode may result in a non-ideal effective work function for both NMOS and PMOS device structures. Methods and structures are therefore required to provide a more desirable gate electrode. Examples of such methods and structures are disclosed in further detail herein.
  • The following non-limiting example embodiments of the disclosure may be illustrated using a non-limiting example CMOS process flow, commonly referred to in the art as “gate last”, wherein the gate electrode may be formed after source/drain region formation and associated high temperature activation processes. It should however be understood, that the “gate last” embodiments illustrated herein are non-limiting example embodiments of the processes that may be utilized in the formation of example CMOS device structures, including NMOS and PMOS device structures. The methods and semiconductor device structures of the embodiments of the disclosure described herein may also include a CMOS process flow commonly referred to in the art as “gate first”, wherein the gate electrode may be formed prior to source/drain formation and associated high temperature activation processes. Common CMOS process flows are described in U.S. Pat. No. 9,136,180, issued to Machkaoutsan et al., and incorporated by reference herein.
  • It should be noted that the various embodiments of methods and semiconductor device structures described herein include various steps in the design and composition of the semiconductor device structures that are well known and so, in the interest of brevity, many conventional steps and structures have only been mentioned briefly herein or omitted entirely. In addition, a number of example materials are given throughout the embodiments of the current disclosure, it should be noted that the chemical formulas given for each of the example materials should not be construed as limiting and that the non-limiting example materials given should not be limited by a given example stoichiometry.
  • In some embodiments, the methods of the disclosure may comprise depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric.
  • In more detail, and with reference to FIG. 1, a semiconductor device structure 100 may comprise a semiconductor substrate 102 and the sacrificial gate structures 104 formed over a surface 106 of the semiconductor substrate 102. The semiconductor substrate 102 may further comprise a PMOS region 108 and an NMOS region 110, wherein the PMOS and NMOS source/drain and channel regions may be subsequently formed. For the purpose of simplicity in describing the embodiments of the disclosure, only a single PMOS region 108 and a single NMOS region 110 are illustrate in FIG. 1; however, it should be appreciated that the methods and structures disclosed herein also apply to semiconductor device structures including a large number of PMOS regions and NMOS regions. The semiconductor substrate 102 may also comprise a shallow trench isolation (STI) 112, wherein the STI 112 separates and delineates the PMOS region 108 from the NMOS region 110.
  • The semiconductor substrate 102 may comprise, for example, a bulk silicon substrate or a silicon-on-insulator (SOI) substrate, wherein the SOI substrate further includes a support substrate and an intermediate buried oxide layer (not shown). In some embodiments, the semiconductor substrate 102 may comprise at least one of silicon, germanium, silicon germanium, silicon carbide, or a III-V material. In some embodiments, the semiconductor substrate 102 may be in the form of a fin structure for use in a FinFET type device, whereas in FIG. 1, semiconductor substrate 102 comprises a substantially planar surface 106.
  • As illustrated in FIG. 1, sacrificial gate structures 104 may be formed over the surface 106 of the semiconductor substrate 102. In some embodiments, the sacrificial gate structures 104 may comprise a sacrificial gate 114 and a sacrificial cap 116, wherein the sacrificial cap 116 may be formed directly over the sacrificial gate 114. The sacrificial gate structures 104 may be formed using conventional process steps, such as deposition, photolithography, and etching. The sacrificial gates 114 may comprise a polycrystalline silicon material and the sacrificial cap 116 may comprise a silicon oxide or a silicon nitride.
  • After sacrificial gate structure formation, spacers may be formed on either side of the sacrificial gate structures as illustrated in FIG. 2. In more detail, FIG. 2 illustrates semiconductor device structure 118 which comprises spacers 120. The spacers 120 may be formed adjacent to and on the sides of the sacrificial gate structures 104. In some embodiments of the disclosure, the spacers 120 may comprise a silicon nitride material and may be formed by an ALD process.
  • Upon spacer formation, processes for formation of source/drain regions in the semiconductor substrate 102 may be performed, including, but not limited to, etching, epitaxial deposition, stressing techniques, and ion implantation using the sacrificial gate structures as ion implantation masks. Processes for formation of source/drain regions in the semiconductor substrate 102 may result in the formation of PMOS region 108 and NMOS region 110 in semiconductor substrate 102 (details of source/drain and channel regions are not shown for simplicity).
  • Embodiments of the disclosure may continue with the formation of an interlayer dielectric (ILD) comprising a dielectric material surrounding the spacers. FIG. 3 illustrates semiconductor device structure 122 comprising dielectric material 124. The dielectric material 124 may be formed utilizing deposition techniques, such as, chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD). The dielectric material 124 may be deposited such that it fills the areas between the spacers 120 and covers the spacers 120 and the sacrificial caps 116. The dielectric material 124 may be subsequently planarized, for example, utilizing a chemical mechanical polishing process to provide a planar surface, the sacrificial caps 116 serving as a polish stop indicator.
  • Upon deposition of the ILD, embodiments of the disclosure may continue by removing the sacrificial gate structures. For example, FIG. 4 illustrates semiconductor device structure 126 which shows the semiconductor device structure 122 of FIG. 3 after the removal of the sacrificial gate structures, whilst leaving the spacers 120 substantially intact. The removal of the sacrificial gate structures may expose surface 106 in the regions between the spacers 120. Removing the sacrificial gate structures comprises the formation of gate trenches 128, the gate trenches being bound by spacers 120 and surface 106. The sacrificial gate structures are removed by an etch process, the etch chemistry being selected such that the spacers 120 and the dielectric material 124 are not etched, or are not etched significantly. The sacrificial gate structure may be removed by a dry etch process, a wet etch process, or a combination thereof.
  • The embodiments of the disclosure may continue with the formation of an interface layer in the gate trenches. As illustrated in FIG. 5, a semiconductor device structure 130 may include an interface layer 132 that may be formed on the surface 106 of semiconductor substrate 102 disposed in the gate trenches 128. In some embodiments, the interface layer 132 may comprise a silicon oxide and may be selectively formed on the surface 106 utilizing a chemical oxidation process to oxidize the surface 106 of semiconductor substrate 102. In some embodiments, methods may comprise forming the interface layer 132 disposed over the channel region of the NMOS region and over the channel region of the PMOS region 108.
  • The embodiments of the disclosure may further comprise forming a gate dielectric. As a non-limiting example embodiment, a conformal layer of high-k dielectric material may be formed over the semiconductor device structure 130 of FIG. 5, resulting in the semiconductor device structure 134 as illustrate in FIG. 6. For example, the high-k dielectric material 136 disposed over the NMOS region 110 may be denoted as NMOS dielectric 136A and the high-k dielectric material 136 disposed over the PMOS region 108 may be denoted as PMOS dielectric 136B. Therefore in some embodiments of the disclosure, forming the NMOS gate dielectric 136A and the PMOS gate dielectric 136B may comprise depositing a high-k dielectric material 136 directly over the interface layer 132.
  • In some embodiments, the high-k dielectric material 136 may comprise at least one of hafnium oxide (HfO2), tantalum oxide (Ta2O5), zirconium oxide (ZrO2), titanium oxide (TiO2), hafnium silicate (HfSiOx), aluminum oxide (Al2O3) or lanthanum oxide (La2O3) or mixtures/laminates thereof. In some embodiments, the high-k dielectric material 136 may comprise at least one of a dielectric metal oxide, such as a transition metal oxide or aluminum oxide, metal silicates, dielectric metal oxynitride or mixtures thereof. In some embodiments of the disclosure, the high-k dielectric material 136 may be conformally deposited using an atomic layer deposition process. In some embodiments, methods may comprise forming the high-k dielectric material 136 to have a thickness of approximately less than 2 nanometers.
  • Embodiments of the disclosure may further comprise depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric. In more detail and with reference to FIG. 7, a portion of an NMOS gate electrode 140 may be deposited over both the NMOS gate dielectric and over the PMOS gate dielectric. In some embodiments of the disclosure, the portion of the NMOS gate electrode 140 may comprise a first liner layer 140A, a first work function metal 140B and a second liner layer 140C. In some embodiments, the first liner layer 140A and the second liner layer 140C may comprise a metal nitride, such as a transition metal nitride, for example at least one of titanium nitride (TiN), tantalum nitride (TaN), or niobium nitride (NbN). In some embodiments of the disclosure, the first liner layer 140A and the second liner layer 140C may both comprise titanium nitride, i.e., a first titanium nitride film and a second titanium nitride film respectively. In some embodiments of the disclosure, the first liner layer 140A and the second liner layer 140C both comprise a doped titanium nitride (TiN), wherein the titanium nitride film may be doped with at least one of carbon (C), silicon (Si), or boron (B).
  • In some embodiments of the disclosure, the first work function metal may comprise a metal carbide, such as transition metal carbide, for example at least one of titanium carbide (TiCx), tantalum carbide (TaC), titanium aluminum carbide (TiAlCx) or niobium aluminum carbide or mixtures/laminates thereof. In some embodiments of the disclosure, the first work function metal may comprise a transition metal, such as Ti, Ta, or Nb and another metal such as Al and carbon. In some embodiments of the disclosure, the portion of the NMOS gate electrode 140 may be deposited by a cyclical deposition method, such as, for example, an atomic layer deposition process or cyclic CVD process.
  • In more detail, an ALD process is based on typically self-limiting reactions, whereby sequential and alternating pulses of reactants are used to deposit about one atomic (or molecular) monolayer of material per deposition cycle. The deposition conditions and precursors are typically selected to provide self-saturating reactions, such that an absorbed layer of one reactant leaves a surface termination that is non-reactive with the gas phase reactants of the same reactant. The substrate is subsequently contacted with a different reactant that reacts with the previous termination to enable continued deposition. Thus, each cycle of alternated pulses typically leaves no more than about monolayer of the desired material. However, as mentioned above, the skilled artisan will recognize that in one or more ALD cycles, more than one monolayer of material may be deposited, for example, if some gas phase reactions occur despite the alternating nature of the process.
  • In an ALD-type process for depositing a portion of NMOS gate electrode 140 comprising metal nitrides and metal carbides, one deposition cycle comprises exposing the substrate to a first reactant, removing any unreacted first reactant and reaction byproducts from the reaction space, exposing the substrate to a second reactant, followed by a second removal step. The first vapor phase reactant may comprise a metal precursor, such as a titanium precursor, a tantalum precursor, or a niobium precursor. The second precursor may comprise nitrogen precursor, an aluminum precursor, or a carbon precursor. In some embodiment, the second precursor may comprise both an aluminum precursor and a carbon precursor.
  • As a non-limiting example embodiment of the disclosure, the first liner layer 140A may comprise a first titanium nitride film (TiN) and may be deposited by an atomic layer deposition process directly over the surface of the high-k dielectric material 136. For example, atomic layer deposition of titanium nitride may comprise a first “metal phase” of a deposition cycle, which comprises contacting the substrate with a first vapor phase reactant which may include a titanium halide, such that the adsorbed monolayer is terminated with halogen ligands. In some embodiments, the titanium halide may comprise titanium tetrachloride (TiCl4). In some embodiments of the disclosure, the titanium halide (e.g., titanium tetrachloride) may be pulsed into the reaction space over a time period of less than 20 seconds, or less than 10 seconds, or even less than 5 second.
  • Excess metal precursor (e.g., titanium precursor) and reaction byproducts (if any) may be removed from the substrate surface, e.g., by purging with an inert gas. Excess metal precursor and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • In a second phase of the deposition cycle (“the nitrogen phase”), the substrate is contacted with a nitrogen containing precursor, such as ammonia (NH3) or hydrazine (N2H2). In some embodiments of the disclosure, the nitrogen containing precursor may comprise an alkyl-hydrazine precursor including, but not limited to, tertbutylhydrazine (C4H9N2H3), methylhydrazine (CH3NHNH2), dimethylhydrazine ((CH3)2N2H2). In some embodiments of the disclosure, the nitrogen containing precursor may comprise nitrogen radicals, atoms, or plasma. In some embodiments of the disclosure, the nitrogen precursor (e.g., ammonia) may be pulsed into the reaction space for a time period of less than 20 seconds, or less than 10 seconds, or even less than 5 seconds. The nitrogen reactant may react with the titanium-containing molecules left on the substrate surface. Preferably, in the second phase, nitrogen is incorporated in to the film by the interaction of the nitrogen reactant with the monolayer left by the metal precursor. In some embodiments, the reaction between the nitrogen reactant and the chemisorbed metal species produces a titanium nitride thin film over the substrate.
  • Excess second source chemical and reaction byproduct, if any, are removed from the substrate surface, for example by a purging gas pulse and/or vacuum generated by a pumping system. Purging gas is preferably any inert as, such as, without limitation, argon (Ar), nitrogen, (N2), or helium (He). A phase is generally considered to immediately follow another phase if a purge (i.e., a purging pulse) or other reactant removal step intervenes.
  • The deposition cycle comprising contacting the substrate with the titanium precursor, purging the reaction space, contacting the substrate with the nitrogen precursor and purging the reaction space may be repeated two or more times until the desired thickness of the first liner layer 140A (e.g., the first titanium nitride film) is achieved. In some embodiments of the disclosure, the first liner layer 140A comprises titanium nitride (TiN) and is deposited to a thickness of less than 5 Angstroms, or less than 15 Angstroms, or even less than 30 Angstroms nanometers.
  • In some embodiments of the disclosure, the substrate may be heated to a desired deposition temperature during the atomic layer deposition processes, therefore the ALD process for the deposition of the first liner layer 140A may be performed at a substrate temperature of less than approximately 550° C., or less than approximately 350° C., or even less than approximately 150° C. In additional embodiments of the disclosure, the reaction space in which the atomic layer deposition of the first liner layer 140A takes place may be placed under a vacuum utilizing a pumping system fluidly connected to the reaction space. Therefore in some embodiments of the disclosure, the ALD process for depositing the first liner layer may take place at reaction space pressure of less than 10 Torr, or even less than 5 Torr, or even less than 1 Torr.
  • The process of depositing the portion of the NMOS gate electrode 140 may proceed by the atomic layer deposition of a first work function metal 140B directly over the surface of the first liner layer 140A, e.g., directly over the first titanium nitride film. In some embodiments of the disclosure, the first work function metal may comprise at least one of titanium carbide (TiC), tantalum carbide, titanium aluminum carbide (TiAlC), or niobium aluminum carbide (NbAlC).
  • The atomic layer deposition of the first work function metal 140B may comprise a deposition cycle including contacting the substrate with a first vapor phase reactant comprising a metal precursor, purging the reaction space, contacting the substrate with a second vapor phase reactant comprising a carbon precursor and in some embodiments an additional metal precursor, and purging the reaction space.
  • In some embodiments of the disclosure, the deposition of the first work function metal may comprise a deposition cycle which includes a first “metal phase” wherein the first metal phase comprises contacting the substrate with a titanium containing precursor, a tantalum containing precursor, or a niobium containing precursor. In some embodiments of the disclosure, the first metal phase of the ALD process comprises contacting the substrate with a titanium halide, such as, for example, titanium tetrachloride (TiCl4). In some embodiments of the disclosure, the first metal phase of the ALD process comprises contacting the substrate with a tantalum halide, such as, for example, tantalum pentachloride (TaCl5). In some embodiments of the disclosure, the first metal phase of the ALD process comprises contacting the substrate with a niobium halide, such as, for example, niobium pentachloride (NbCl5) or niobium pentafluoride (NbF5). For example, if the first work function metal comprises titanium carbide or titanium aluminum carbide then the first metal phase of the ALD deposition cycle may comprise contacting the substrate with titanium tetrachloride (TiCl4), whereas if the first work function metal comprises niobium aluminum carbide, then the first metal phase of the ALD deposition cycle may comprise contacting the substrate with niobium pentachloride (NbCl5). In some embodiments of the disclosure, the substrate may be contacted with the first metal precursor (e.g., titanium tetrachloride) for a time period of less than 20 seconds, or less than 10 seconds, or even less than 1 second.
  • Excess metal precursor (e.g., titanium precursor) and reaction byproducts (if any) may be removed from the substrate surface, e.g., by purging with an inert gas. Excess metal precursor and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • In a second phase of the deposition cycle utilized to deposit the first work function metal 140B, the substrate may be contact with a second vapor phase reactant comprising at least one of carbon and an additional metal containing precursor. In some embodiments of the disclosure, the first work function metal 140B may comprise titanium carbide and the second vapor phase reactant may comprise a carbon containing precursor, including, but not limited to, boron source compounds, silicon source compounds, phosphorus source compounds, or hydrocarbons. In more detail, the boron source compounds may comprise boron compounds that have at least one boron-carbon bond and may be chosen from the following:
  • Carboranes having the formula C2BnHn+x, wherein n is an integer from 1 to 10, and x is an even integer, preferably 2, 4 or 6. Examples of carboranes may include closo-carboranes (C2BnHn+2), nido-carboranes (C2BnHn+4), and arachno-carboranes (C2BnHn+6).
  • Amine-borane adducts having the formula R3NBX3, wherein R is linear or branched C1 to C10, preferably C1 to C4 alkyl or H, and X is linear or branched C1 to C10, preferably C1 to C4 alkyl, H or halogen.
  • Aminoboranes, where one or more of the substituents on the B is an amino group according to the formula R2N, wherein R is linear or branched C1 to C10, preferably C1 to C4 alkyl or substituted or unsubstituted aryl group. An example of a suitable aminoborane is (CH3)2NB(CH3)2.
  • Alkyl borons or alkyl boranes, wherein the alkyl is typically linear or branched C1 to C10 alkyl, preferably C2 to C4 alkyl. For example, the alkyl boron may comprise triethylboron (CH3CH2)3B.
  • In some embodiments of the disclosure, the carbon containing precursor may comprise an alkyl silicon compound, or an alkyl phosphorous compound. In some embodiments, the carbon containing precursor may comprise a hydrocarbon, such as, for example, a hydrocarbon with a high hydrogen/carbon ratio. In some embodiments, the carbon containing precursor may comprise a metalorganic compound, such as, for example trimethylaluminum (TMA). Atomic layer deposition of transition metal carbides are described in U.S. Pat. No. 6,800,552 issued to Elers et al., and incorporated by reference herein.
  • In some embodiments of the disclosure, the first work function metal 140B may comprise titanium aluminum carbide or niobium aluminum carbide and the second vapor phase reactant may comprise a carbon and aluminum containing precursor, including, but not limited to, aluminum hydrocarbon compounds selected from one or more of the group consisting of trimethylaluminum (TMA), triethylaluminum (TEA), dimethylaluminum hydride (DMAH), dimethylethylaminealane (DMEAA), trimethylaminealane (TEAA), N-methylpyrroridinealane (MPA), tri-isobutylaluminum (TIBA), and tritertbutylaluminum (TTBA). In some embodiments, the aluminum hydrocarbon compound comprises a tertbutyl ligand bonded to aluminum. In some embodiments, the aluminum hydrocarbon compound comprises at least one or more Al—C bonds. In some embodiments, the aluminum hydrocarbon compound comprises two or less Al—H bonds. In some embodiments, the aluminum hydrocarbon does not comprise Al—Al bonds. In some embodiments, the aluminum hydrocarbon compound does not comprise oxygen and/or a halide. In some embodiments, the aluminum hydrocarbon compound comprises only aluminum, hydrogen and carbon and no other elements. In some embodiments of the disclosure, the substrate may be contacted with the second vapor phase reactant (e.g., trimethylaluminum) for a time period of less than 20 seconds, or less than 10 seconds, or even less than 1 seconds.
  • The deposition cycle for depositing the first work function metal 140B comprising, contacting the substrate with a first metal containing precursors, purging the reaction space, contacting the substrate with a second vapor phase reactant which comprises at least one of carbon and an additional metal, and purging the reaction space, may be repeated two or more times until the desired thickness of the first work function metal is deposited. In some embodiments of the disclosure, the first work function metal 140B is deposited to thickness of less than 5 nanometers, or less than 4 nanometers, or even less than 3 nanometers.
  • In some embodiments of the disclosure, the substrate may be heated to a desired deposition temperature during the atomic layer deposition processes for the first work function metal 140B, therefore the ALD process for the deposition of the first work function metal 104B may be performed at a substrate temperature of less than approximately 550° C., or less than approximately 400° C., or even less than approximately 300° C. In additional embodiments of the disclosure, the reaction space in which the atomic layer deposition of the first work function metal 140B takes place may be placed under a vacuum utilizing a pumping system fluidly connected to the reaction space. Therefore in some embodiments of the disclosure, the ALD process for depositing the first work function metal layer may take place at reaction space pressure of less than 10 Torr, or even less than 5 Torr, or even less than 1 Torr.
  • Excess second vapor phase reactant (e.g., TMA) and reaction byproducts (if any) may be removed from the substrate surface, e.g., by purging with an inert gas. Excess second vapor phase reactant and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • The deposition of a portion of the NMOS gate electrode 140 may proceed with the deposition of the second liner layer 140C. In some embodiments of the disclosure, the second liner layer 140C may be deposited directly over the surface of the first work function metal 140B. In some embodiments of the disclosure, the second liner layer 140C may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride. In some embodiments, the second liner layer 140C may comprise titanium nitride, i.e., a second titanium nitride film, and may be deposited by the same or substantially similar atomic layer deposition process as described herein for the first liner layer 140A. In some embodiments of the disclosure, the second liner layer 140C comprises titanium nitride (TiN) and is deposited to a thickness of less than 4 nanometers, or less than 3 nanometers, or even less than 2 nanometers.
  • In some embodiments of the disclosure, the portion of the NMOS gate electrode 140 formed over the semiconductor substrate by a cyclical deposition process, such as, for example, atomic layer deposition, may be deposited over a three dimensional structure, as illustrated in FIG. 7 wherein the portion of the NMOS gate electrode 140 is deposited over and in the gate trenches of semiconductor device structure 138. Therefore in some embodiments, the step coverage of a portion of NMOS gate electrode 140 may be equal to or greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or greater than about 99%, or even about 100% in structures having aspect ratios (height/width) of more than about 2, more than 5, more than about 10, more than about 25, more than about 50, or even more than about 100.
  • In some embodiments the growth rate of the portion of the NMOS gate electrode 140 may be less than about 5 Å/cycle, or less than about 2 Å/cycle, or even less than about 1 Å/cycle.
  • The embodiments of the disclosure may continue with the formation of a masking layer over select portions of the first work function metal, wherein the masking layer defines the areas of the first work function metal to remain after a subsequent removal process. For example, FIG. 8 illustrates semiconductor device structure 142 which comprises a masking layer 144 which may be disposed over select portions of the first work function metal 140B. The masking layer 144 may be formed and patterned using common semiconductor processes such as spin-coating, deposition, photolithography, development and etching. In some embodiments, the methods of the disclosure may comprise selecting the masking layer 144 to comprise at least one of a silicon oxide, a silicon nitride, a silicon oxynitride, or a polymer material (e.g., a photoresist). The masking layer 144 may be disposed over the portions of the first work function metal 140B that may remain after a subsequent removal process, i.e., the masking layer may be disposed over the NMOS gate dielectric 136A.
  • Embodiments of the disclosure may further comprise, removing the first work function metal over the PMOS gate dielectric. In more detail, FIG. 9 illustrates the semiconductor device structure 146 which comprises the first work function metal after removing a portion of the first work function metal 140, in addition to removing the masking layer. Removing the first work function metal over the PMOS gate dielectric may comprise selectively etching the second liner layer 140C, e.g., a second titanium nitride film, disposed over the PMOS gate dielectric 136B and selectively etching the first work function metal 140B disposed over the PMOS gate dielectric 136B. The term “selectively etching” may refer to an etching process in which a first material is etched at a significantly higher etching rate than a second material. For example, a ratio of the etching rates between the first material, e.g., the first work function metal, and the second material, e.g., the underlying first liner layer 140A, may comprise an etching ratio greater than 3:1, 5:1, or even 10:1 or even greater. In some embodiments of the disclosure, it may be difficult to obtain an selective etch process which is selective to the first work function metal 140B relative to the underlying first liner layer 140C. Therefore, in some embodiments of the disclosure, removing the first work function metal 140B over the PMOS dielectric 136B further comprises removing at least a portion of the first liner layer 140A, e.g., a first titanium nitride film. In some embodiments, removing the first work function metal 140B over the PMOS dielectric 136B may comprise completely removing the region of the first liner layer 140A disposed over the PMOS dielectric 136B.
  • In some embodiments of the disclosure, selectively etching the first work function metal 140B further comprises, exposing the first work function metal 140B to at least one of a fluoride-based etch chemistry or a chloride-based etch chemistry. As a non-limiting example, the selective etch process may comprise exposing both the second liner layer 140C, e.g., the second titanium nitride film, and the first work function metal 140B to at least one of sulfur hexafluoride (SF6), carbon tetrafluoride (CF4), or nitrogen trifluoride (NF3). In further embodiments of the disclosure, the etching of the second liner layer 140C and the first work function metal 140B may comprise one or more of a polishing, grinding or chemical mechanical polishing removal process. As illustrated in FIG. 9 the removal process results in a first work function metal 140B disposed over the NMOS gate dielectric 136A.
  • Embodiments of the disclosure may further comprise, depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric. As illustrated in FIG. 10, semiconductor device structure 148 may include a second work function metal 150 that may be formed conformally over the NMOS gate dielectric 136A and over the PMOS gate dielectric 136B. In some embodiments, the second work function metal 150 may be disposed directly over the first liner layer 140A, e.g., a first titanium nitride film, in the region disposed over the PMOS gate dielectric 136B. In some embodiments, the second work function metal 150 may be disposed directly over the second liner layer 140C and over the first work function metal 104B in the region disposed over the NMOS gate dielectric 136A. As illustrated in FIG. 10, the second work function metal 150 not only comprises a second work function metal but also fills the gate trench structure such that the remaining portions of the gate trench are completed filled with the second work function metal 150, therefore the second work function metal comprises a gate fill metal.
  • In some embodiments of the disclosure, the second work function metal 150 may comprise a molybdenum film and the molybdenum film may be deposited utilizing a cyclical deposition process, such as, for example, an atomic layer deposition process. Therefore, the method of depositing the second work function metal 150 may comprise alternatively and sequentially contacting the semiconductor substrate with a molybdenum precursor and a reducing agent precursor. For example, in some embodiments of the disclosure, depositing the second work function metal 150 may comprise depositing a molybdenum film by atomic layer deposition, the ALD process comprising a deposition cycle which includes, contacting the semiconductor substrate with a first vapor phase reactant comprising a molybdenum containing precursor, purging the reaction space, contacting the semiconductor structure with a second vapor phase reactant comprising a reducing agent precursor and purging the reaction space for a second time.
  • In some embodiments of the disclosure, a deposition cycle for depositing a second work function metal 150 (e.g., a molybdenum film) includes a first metal phase which comprises contacting substrate with a first vapor phase reactant comprising a molybdenum containing precursor. In some embodiments, the molybdenum precursor may comprise molybdenum and a halogen, such as, for example, a chloride. In some embodiments, the molybdenum precursor may comprise molybdenum, a halogen and a chalcogenide, wherein the chalcogenide may comprise oxygen. In some embodiments, the molybdenum precursor may comprise at least one of molybdenum pentachloride (MoCl5) or molybdenum dichloride dioxide (MoO2Cl2). In some embodiments, the molybdenum containing precursor may be pulsed into the reaction space for a time period of less than 20 second, or less than 10 second, or even less than 1 second.
  • Excess first vapor phase reactant (e.g., molybdenum pentachloride) and reaction byproducts (if any) may be removed from the substrate surface, e.g., by purging with an inert gas. Excess second vapor phase reactant and any reaction byproducts may be removed with the aid of a vacuum generated by a pumping system.
  • The second phase of the deposition cycle for depositing a molybdenum film may comprise a reducing phase, in which the substrate is exposed to one or more reducing agent precursors which react with the molybdenum ligands present on the semiconductor substrate thereby forming a molybdenum film. Therefore, in some embodiments of the disclosure, the second phase of the cyclical deposition cycle comprises contacting the semiconductor substrate with a reducing agent precursor, the reducing agent precursor comprising at least one of hydrogen (H2), silane (SiH4), disilane (Si2H6), trisilane (Si3H8), germane (GeH4), digermane (Ge2H6), or diborane (B2H6). In some embodiments of the disclosure, the reducing agent precursor may comprise hydrogen (H2) or hydrogen radicals, atoms, or plasma, i.e., excited species of hydrogen created utilizing a hydrogen plasma, e.g., either a remote or direct plasma. In some embodiments, the reducing agent precursor may comprise higher order silanes with the general empirical formula SixH(2x+2). In some embodiments, the reducing agent precursor may comprise higher order germanes with the general empirical formula GexH(2x+2). In some embodiments, the reducing agent precursor may be pulsed into the reaction space for time period of less than 20 seconds, or less than 10, or even less than 1 second.
  • In some embodiments of the disclosure, the second work function metal 150 may be deposited to a thickness such that the gate trench is completely filled with the second work function metal, i.e., the second work function metal is utilized as a gate fill metal. For example, in some embodiments of the disclosure the second work function metal 150 may comprise a molybdenum film deposited to a thickness of between approximately 50 nanometers and 300 nanometers or between approximately 5 nanometers and 50 nanometers.
  • In some embodiments of the disclosure, the substrate may be heated to a desired deposition temperature during the atomic layer deposition processes for the second work function metal 150, therefore the ALD process for the deposition of the second work function metal 150 may be performed at a substrate temperature of less than approximately 750° C., or less than approximately 650° C., or less than approximately 550° C., or less than approximately 450° C., or less than approximately 350° C., or less than approximately 250° C., or even less than approximately 150° C. In some embodiments of the disclosure, the deposition of the second work function metal may be performed at a substrate temperature of between 300° C. and 750° C., or between 400° C. and 700° C., or between 450° C. and 600° C.
  • In additional embodiments of the disclosure, the reaction space in which the atomic layer deposition of the second work function metal 150 takes place may be placed under a vacuum utilizing a pumping system fluidly connected to the reaction space. Therefore in some embodiments of the disclosure, the ALD process for depositing the second work function metal layer may take place at reaction space pressure of less than 10 Torr, or even less than 5 Torr, or even less than 1 Torr.
  • In some embodiments of the disclosure, the second work function metal 150 formed over the semiconductor substrate by a cyclical deposition process, such as, for example, atomic layer deposition, may be deposited over a three dimensional structure, as illustrated in FIG. 10 wherein the second work function metal completely fills the gate trench and therefore comprises a gate fill metal. Therefore in some embodiments, the step coverage of the second work function metal 150 may be equal to or greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or greater than about 99%, or even about 100% in structures having aspect ratios (height/width) of more than about 2, more than 5, more than about 10, more than about 25, more than about 50, or even more than about 100. In additional embodiments the Mo film may comprise less than about 20 atomic % oxygen, less than about 10 atomic % oxygen, less than about 5 atomic % oxygen, or even less than about 2 atomic % oxygen. In further embodiments, the Mo film may comprise less than about 10 atomic % hydrogen, or less than about 5 atomic % of hydrogen, or less than about 2 atomic % of hydrogen, or even less than about 1 atomic % of hydrogen. In some embodiments, the Mo film may comprise Halide/Cl less than about 10 atomic %, or Halide/Cl less than about 5 atomic %, Halide/Cl less than about 1 atomic %, or even Halide/Cl less than about 0.5 atomic %. In yet further embodiments, the Mo film may comprise less than about 10 atomic % carbon, or less than about 5 atomic % carbon, or less than about 2 atomic % carbon, or less than about 1 atomic % of carbon, or even less than about 0.5 atomic % carbon. In the embodiments outlined herein, the atomic concentration of an element may be determined utilizing Rutherford backscattering (RBS).
  • In some embodiments the growth rate of the second work function metal 150 may be less than about 5 Å/cycle, or less than about 2 Å/cycle, or even less than about 1 Å/cycle.
  • In some embodiments of the disclosure, prior to depositing the second work function metal 150, a third liner layer (not shown) may be deposited over the PMOS gate dielectric 136B and the NMOS gate dielectric 136A. In some embodiments, the third liner layer may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride. In some embodiments, the third liner layer may comprise a third titanium nitride film deposited utilizing the atomic layer deposition processes described herein. The third titanium nitride film may be utilized in embodiments wherein the removal stage completely or partially removes the first liner layer 140A disposed above the PMOS dielectric 136B. Therefore, in some embodiments of the disclosure, the thickness of the first liner layer 140A may different between that disposed over the PMOS region 108 and the NMOS region 110.
  • In some embodiments of the disclosure, the semiconductor device structure 148 of FIG. 10 comprises a metal nitride film, such as, for example, titanium nitride disposed directly over the PMOS gate dielectric 136B. In some embodiments, the metal nitride film disposed directly over the PMOS gate dielectric 136B comprises either the first liner layer 140C, or a third liner layer, depending on whether the first liner layer 140C is completely removed during the removal process to remove the first work function metal 140C disposed over the PMOS dielectric 136B. In some embodiments of the disclosure, the thickness of the metal nitride film disposed directly over the PMOS gate dielectric 136B may be varied to enable the tuning of an effective work function of a metal gate electrode disposed over the PMOS gate dielectric. For example, in some embodiments of the disclosure, the metal nitride film disposed directly over the PMOS gate dielectric is varied in thickness between approximately 5 Angstroms and approximately 30 Angstroms. In some embodiments of the disclosure, the metal gate electrode disposed over the PMOS dielectric 136 comprises a metal nitride film 140A, such as, for example, titanium nitride directly in contact with the PMOS dielectric 136 and a further second effective work function metal, e.g., a molybdenum film, disposed directly over the metal nitride film 140A. As a non-limiting example embodiment of the disclosure, the gate electrode to the PMOS device comprises a titanium nitride film and a molybdenum film and the effective work function of the gate electrode to the PMOS device varies, i.e., is tuned to a preferred value, by varying the thickness of the titanium nitride film 140A in direct contact with the PMOS dielectric 136. For example, in some embodiments the thickness of the titanium nitride film disposed directly over the PMOS gate dielectric is varied in thickness between approximately 5 Angstroms and approximately 30 Angstroms, resulting in an effective work function of the PMOS gate electrode of between approximately 5.2 eV and approximately 4.8 eV.
  • Subsequent processes in the embodiments of the disclosure may selectively remove excess material from the surface of semiconductor device structure 148 of FIG. 10. As illustrated by semiconductor device structure 152 of FIG. 11, wherein one or more etching and or polishing processes may be utilized to form the planarized semiconductor device structure 152. Upon formation of semiconductor device structure 152 of FIG. 11, further processes may be performed to complete the semiconductor device structure, e.g., to complete a complementary metal-oxide-semiconductor (CMOS) device structure. For example, back-end-of-line processes may form contacts to the gate electrode structures and to source/drain regions as well as forming interconnects between the devices on the semiconductor substrate 102.
  • Embodiments of the disclosure may also comprise semiconductor device structures formed accordingly to the methods of the disclosure. For example, FIG. 11 illustrates the semiconductor device structure 152 which comprises a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a metal nitride film 140A, such as, for example, titanium nitride disposed directly over the PMOS gate dielectric 136B and a molybdenum film 150 disposed directly over the metal nitride film 140A. In some embodiments of the disclosure, the PMOS gate electrode has an effective work function of greater than approximately 4.9 eV, or greater than approximately 5.0 eV, or even greater than approximately 5.1 eV. In some embodiments, the metal nitride film disposed directly over the PMOS gate dielectric has a thickness of less than 30 Angstroms. In some embodiments, the molybdenum film disposed directly over the metal nitride film has a thickness of less than 10 nanometers. In some embodiments, the PMOS gate dielectric 136B may comprise at least one of hafnium oxide (HfO2), aluminum oxide (Al2O3), tantalum oxide (Ta2O5), zirconium oxide (ZrO2), titanium oxide (TiO2), hafnium silicate (HfSiOx) and lanthanum oxide (La2O3). In some embodiments, the molybdenum film functions as both a work function metal and a gate fill metal, the gate fill metal being disposed in and filling the gate trench. In some embodiments of the disclosure, the metal nitride film 140A disposed directly above the PMOS gate dielectric 136B may be varied in thickness to thereby vary the effective work function of the gate electrode. For example, the metal nitride film 140 A may comprise a titanium nitride film and may have a thickness between approximately 5 Angstroms and approximately 30 Angstroms, resulting in an effective work function for the metal gate stack of between approximately 5.2 eV and approximately 4.8 eV.
  • The semiconductor device structure 152 of FIG. 11 may also comprise a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a metal nitride film 140A disposed directly over the NMOS gate dielectric 136A, a first work function metal 140B disposed directly over the metal nitride film 140A, an additional metal nitride film 140C disposed over the first work function metal 140B and a molybdenum film 150 disposed directly over the additional metal nitride film 140B.
  • In some embodiment of the disclosure, the NMOS gate electrode has an effective work function of less than 4.5 eV, or less than 4.3 eV, or even less than 4.2 eV. In some embodiments of the disclosure, the NMOS gate electrode has an effective work function of 4.3 eV. In some embodiments, the metal nitride film disposed directly over the NMOS gate dielectric has a thickness of less than 4 nanometers. In some embodiments of the disclosure, the metal nitride film may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride. In some embodiments, the first work function metal 140B comprises at least one of titanium carbide (TiC), tantalum carbide (TaC), titanium aluminum carbide (TiAlC), or niobium aluminum carbide (NbAlC), wherein the first work function metal 140B has a thickness of less than 4 nanometers. In some embodiments, the additional metal nitride film 140C disposed directly over the first work function metal, has a thickness of less than 3 nanometers. In some embodiments of the disclosure, the additional metal nitride film 140C may comprise at least one of titanium nitride, tantalum nitride, or niobium nitride. In some embodiments, the molybdenum film 150 disposed directly over the additional metal nitride film 140C has a thickness of less than 10 nanometers. In some embodiments, the molybdenum film comprises a gate fill metal, the gate fill metal being disposed in and filling the gate trench. In some embodiments of the disclosure, the molybdenum film 150 has an electrical resistivity of less than approximately 50 μΩm, or less than approximately 30 μΩm, or even less than approximately 20 μΩm.
  • The embodiments of the disclosure may also comprise a complementary metal-oxide-semiconductor (CMOS) device structure, as illustrate in FIG. 11. The CMOS device structures may comprise a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a first titanium nitride film 140A disposed directly over the PMOS gate dielectric 136B and a molybdenum film 150 disposed directly over the first titanium nitride film 140A. The CMOS device structure may further comprise a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a second titanium nitride film 140A disposed directly over the NMOS gate dielectric 136A, a first work function metal 140B disposed directly over the second titanium nitride film 140A, a third titanium nitride film 140C disposed directly over the first work function metal and a molybdenum film 150 disposed directly over the third titanium nitride film 140C.
  • The example embodiments of the disclosure described above do not limit the scope of the invention, since these embodiments are merely examples of the embodiments of the invention, which is defined by the appended claims and their legal equivalents. Any equivalent embodiments are intended to be within the scope of this invention. Indeed, various modifications of the disclosure, in addition to those shown and described herein, such as alternative useful combination of the elements described, may become apparent to those skilled in the art from the description. Such modifications and embodiments are also intended to fall within the scope of the appended claims.

Claims (20)

What is claimed is:
1. A semiconductor device structure comprising:
a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a metal nitride film disposed directly over the PMOS gate dielectric and a molybdenum film disposed directly over the metal nitride film.
2. The semiconductor device structure of claim 1, wherein the metal nitride film comprises at least one of titanium nitride, tantalum nitride, or niobium nitride.
3. The semiconductor device structure of claim 1, wherein the PMOS gate electrode has an effective work function of greater than 5 eV.
4. The semiconductor device structure of claim 1, wherein the metal nitride film has a thickness of less than 30 Angstroms.
5. The semiconductor device structure of claim 1, wherein the molybdenum film has a thickness of less than 300 nanometers.
6. The semiconductor device structure of claim 1, wherein the PMOS gate dielectric comprises at least one of hafnium oxide (HfO2), aluminum oxide (Al2O3), tantalum oxide (Ta2O5), zirconium oxide (ZrO2), titanium oxide (TiO2), hafnium silicate (HfSiOx) and lanthanum oxide (La2O3).
7. The semiconductor device structure of claim 1, wherein the molybdenum film comprises a gate fill metal, the gate fill metal being disposed in and filling a gate trench.
8. The semiconductor device structure of claim 1, wherein the metal nitride film has a thickness between approximately 5 Angstroms and approximately 30 Angstroms.
9. A semiconductor device structure comprising:
a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a metal nitride film disposed directly over the NMOS gate dielectric, a first work function metal disposed directly over the metal nitride film, an additional metal nitride film disposed over the first work function metal and a molybdenum film disposed over the additional metal nitride film.
10. A semiconductor device structure of claim 9, wherein the metal nitride film comprises at least one of titanium nitride, tantalum nitride, or niobium nitride.
11. A semiconductor device structure of claim 9, wherein the additional metal nitride film comprises at least one of titanium nitride, tantalum nitride, or niobium nitride.
12. The semiconductor device structure of claim 9, wherein the NMOS gate electrode has an effective work function of greater than 4.2 eV.
13. The semiconductor device structure of claim 9, wherein the metal nitride film has a thickness of less than 30 Angstroms.
14. The semiconductor device structure of claim 9, wherein the first work function metal comprises a metal carbide.
15. The semiconductor device structure of claim 9, wherein the metal carbide comprises at least one of titanium carbide, tantalum carbide, titanium aluminum carbide, or niobium aluminum carbide.
16. The semiconductor device structure of claim 9, wherein the first work function metal has a thickness of less than 3 nanometers.
17. The semiconductor device structure of claim 9, wherein the additional metal nitride film has a thickness of less than 3 nanometers.
18. The semiconductor device structure of claim 9, wherein the molybdenum film has a thickness of less than 300 nanometers.
19. The semiconductor device structure of claim 9, wherein the molybdenum film comprises a gate fill metal, the gate fill metal being disposed in and filling a gate trench.
20. A complementary metal-oxide-semiconductor (CMOS) device structure comprising:
a PMOS gate electrode disposed over a PMOS gate dielectric, wherein the PMOS gate electrode comprises a first titanium nitride film disposed directly over the PMOS gate dielectric and a molybdenum film disposed directly over the first titanium nitride film; and
a NMOS gate electrode disposed over a NMOS gate dielectric, wherein the NMOS gate electrode comprises a second titanium nitride film disposed directly over the NMOS gate dielectric, a first work function metal disposed directly over the second titanium nitride film, a third titanium nitride film disposed over the first work function metal and a molybdenum film disposed over the third titanium nitride film.
US16/834,657 2017-09-18 2020-03-30 Method for forming a semiconductor device structure and related semiconductor device structures Pending US20200227325A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/834,657 US20200227325A1 (en) 2017-09-18 2020-03-30 Method for forming a semiconductor device structure and related semiconductor device structures
US18/522,867 US20240096711A1 (en) 2017-09-18 2023-11-29 Method for forming a semiconductor device structure and related semiconductor device structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/707,786 US10607895B2 (en) 2017-09-18 2017-09-18 Method for forming a semiconductor device structure comprising a gate fill metal
US16/834,657 US20200227325A1 (en) 2017-09-18 2020-03-30 Method for forming a semiconductor device structure and related semiconductor device structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/707,786 Division US10607895B2 (en) 2017-09-18 2017-09-18 Method for forming a semiconductor device structure comprising a gate fill metal

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/522,867 Continuation US20240096711A1 (en) 2017-09-18 2023-11-29 Method for forming a semiconductor device structure and related semiconductor device structures

Publications (1)

Publication Number Publication Date
US20200227325A1 true US20200227325A1 (en) 2020-07-16

Family

ID=65719412

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/707,786 Active US10607895B2 (en) 2017-09-18 2017-09-18 Method for forming a semiconductor device structure comprising a gate fill metal
US16/834,657 Pending US20200227325A1 (en) 2017-09-18 2020-03-30 Method for forming a semiconductor device structure and related semiconductor device structures
US18/522,867 Pending US20240096711A1 (en) 2017-09-18 2023-11-29 Method for forming a semiconductor device structure and related semiconductor device structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/707,786 Active US10607895B2 (en) 2017-09-18 2017-09-18 Method for forming a semiconductor device structure comprising a gate fill metal

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/522,867 Pending US20240096711A1 (en) 2017-09-18 2023-11-29 Method for forming a semiconductor device structure and related semiconductor device structures

Country Status (3)

Country Link
US (3) US10607895B2 (en)
KR (2) KR102615713B1 (en)
TW (1) TWI793161B (en)

Cited By (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10510590B2 (en) * 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US20210140043A1 (en) * 2018-07-26 2021-05-13 Lam Research Corporation Deposition of pure metal films
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11264288B2 (en) * 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and patterning method
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10700062B2 (en) * 2018-10-12 2020-06-30 International Business Machines Corporation Vertical transport field-effect transistors with uniform threshold voltage
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11201084B2 (en) * 2019-08-23 2021-12-14 Taiwan Semicondutor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US20210118874A1 (en) * 2019-10-21 2021-04-22 Nanya Technology Corporation Semiconductor device and method for fabricating the same
CN113421926A (en) * 2020-07-01 2021-09-21 台湾积体电路制造股份有限公司 Replacement metal gate device structure and method of making the same
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
WO2022236040A1 (en) 2021-05-07 2022-11-10 Entegris, Inc. Deposition process for molybdenum or tungsten materials
CN117321739A (en) * 2022-04-28 2023-12-29 株式会社日立高新技术 Etching method

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US20160042954A1 (en) * 2014-08-06 2016-02-11 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
US20160254158A1 (en) * 2015-02-27 2016-09-01 Globalfoundries Inc. Co-fabrication of non-planar semiconductor devices having different threshold voltages
US20170236821A1 (en) * 2016-02-11 2017-08-17 Samsung Electronics Co., Ltd, Semiconductor device including transistors with adjusted threshold voltages
US9748145B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Semiconductor devices with varying threshold voltage and fabrication methods thereof
US20180090583A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Semiconductor Devices Having Reduced Contact Resistance
US20180097076A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Fully depleted soi device for reducing parasitic back gate capacitance
US20180174845A1 (en) * 2016-12-16 2018-06-21 SK Hynix Inc. Semiconductor device having buried gate structure and method for fabricating the same
US20180190496A1 (en) * 2015-09-03 2018-07-05 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and supply system
US20190013414A1 (en) * 2017-07-07 2019-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20200035678A1 (en) * 2017-06-23 2020-01-30 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20200066897A1 (en) * 2016-09-30 2020-02-27 Intel Corporation Ultra-scaled fin pitch processes having dual gate dielectrics and the resulting structures

Family Cites Families (2469)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3089507A (en) 1963-05-14 Air eject system control valve
US2059480A (en) 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2161626A (en) 1937-09-25 1939-06-06 Walworth Patents Inc Locking device
US2266416A (en) 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2280778A (en) 1939-09-29 1942-04-28 John C Andersen Garden tool
US2410420A (en) 1944-01-01 1946-11-05 Robert B Bennett Scraper
US2563931A (en) 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2660061A (en) 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
GB752277A (en) 1953-10-28 1956-07-11 Canadian Ind 1954 Ltd Improved thermocouple unit
US3094396A (en) 1959-07-07 1963-06-18 Continental Can Co Method of and apparatus for curing internal coatings on can bodies
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3038951A (en) 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3232437A (en) 1963-03-13 1966-02-01 Champlon Lab Inc Spin-on filter cartridge
US3410349A (en) 1964-01-02 1968-11-12 Ted R. Troutman Tubing scraper and method
US3263502A (en) 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (en) 1964-06-30 1965-08-13 Realisations Electr Et Electro Connection socket for thermocouples
US3588192A (en) 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
US3647387A (en) 1970-03-19 1972-03-07 Stanford Research Inst Detection device
US3647716A (en) 1970-04-03 1972-03-07 Westvaco Corp Transport reactor with a venturi tube connection to a combustion chamber for producing activated carbon
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3713899A (en) 1970-11-12 1973-01-30 Ford Motor Co Thermocouple probe
US3885504A (en) 1971-01-09 1975-05-27 Max Baermann Magnetic stabilizing or suspension system
US3718429A (en) 1971-03-15 1973-02-27 Du Pont No-no2 analyzer
CA1002299A (en) 1971-06-24 1976-12-28 William H. Trembley Installation tool
US3833492A (en) 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
FR2181175A5 (en) 1972-04-20 1973-11-30 Commissariat Energie Atomique
JPS5132766B2 (en) 1972-07-25 1976-09-14
JPS5539903B2 (en) 1972-10-19 1980-10-14
DE7242602U (en) 1972-11-20 1976-04-29 Hoogovens Ijmuiden B.V., Ijmuiden (Niederlande)
DE2427992A1 (en) 1973-06-13 1975-03-13 Thermal Syndicate Ltd METHOD OF MEASURING HIGH TEMPERATURES WITH THERMOCOUPLES
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
DE2407133B2 (en) 1974-02-15 1976-12-09 Deutsche Forschungs- und Versuchsanstalt für Luft- und Raumfahrt e.V., 5300 Bonn METHOD AND DEVICE FOR DETERMINING NITROGEN OXIDE
US3904371A (en) 1974-03-04 1975-09-09 Beckman Instruments Inc Chemiluminescent ammonia detection
US3997638A (en) 1974-09-18 1976-12-14 Celanese Corporation Production of metal ion containing carbon fibers useful in electron shielding applications
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US4054071A (en) 1975-06-17 1977-10-18 Aetna-Standard Engineering Company Flying saw with movable work shifter
DE2610556C2 (en) 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Device for distributing flowing media over a flow cross-section
USD249341S (en) 1976-11-11 1978-09-12 Umc Industries, Inc. Electro-mechanical pulser
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4181330A (en) 1977-03-22 1980-01-01 Noriatsu Kojima Horn shaped multi-inlet pipe fitting
US4164959A (en) 1977-04-15 1979-08-21 The Salk Institute For Biological Studies Metering valve
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
US4126027A (en) 1977-06-03 1978-11-21 Westinghouse Electric Corp. Method and apparatus for eccentricity correction in a rolling mill
US4145699A (en) 1977-12-07 1979-03-20 Bell Telephone Laboratories, Incorporated Superconducting junctions utilizing a binary semiconductor barrier
US4217463A (en) 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4322592A (en) 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4355912A (en) 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
US4479831A (en) 1980-09-15 1984-10-30 Burroughs Corporation Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment
JPS5760894A (en) 1980-09-30 1982-04-13 Tokyo Shibaura Electric Co Semiconductor device and method of producing same
US4333735A (en) 1981-03-16 1982-06-08 Exxon Research & Engineering Co. Process and apparatus for measuring gaseous fixed nitrogen species
US4466766A (en) 1981-05-20 1984-08-21 Ruska Instrument Corporation Transfer apparatus
JPS5819462A (en) 1981-07-24 1983-02-04 Kawasaki Steel Corp Electric welded steel pipe
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4414492A (en) 1982-02-02 1983-11-08 Intent Patent A.G. Electronic ballast system
FR2529714A1 (en) 1982-07-01 1984-01-06 Commissariat Energie Atomique METHOD FOR PRODUCING THE FIELD OXIDE OF AN INTEGRATED CIRCUIT
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
US4454370A (en) 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
JPS5945900U (en) 1982-09-17 1984-03-27 住友電気工業株式会社 Torch for high frequency induced plasma
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPS59211779A (en) 1983-05-14 1984-11-30 Toshiba Corp Compressor
US4548688A (en) 1983-05-23 1985-10-22 Fusion Semiconductor Systems Hardening of photoresist
US4537001A (en) 1983-05-23 1985-08-27 Uppstroem Leif R Building elements
JPS6050923A (en) 1983-08-31 1985-03-22 Hitachi Ltd Method of plasma surface treatment and device therefor
USD288556S (en) 1984-02-21 1987-03-03 Pace, Incorporated Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4527005A (en) 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4724272A (en) 1984-04-17 1988-02-09 Rockwell International Corporation Method of controlling pyrolysis temperature
US4575636A (en) 1984-04-30 1986-03-11 Rca Corporation Deep ultraviolet (DUV) flood exposure system
US4611966A (en) 1984-05-30 1986-09-16 Johnson Lester R Apparatus for transferring semiconductor wafers
US4590326A (en) 1984-06-14 1986-05-20 Texaco Inc. Multi-element thermocouple
US4579378A (en) 1984-10-31 1986-04-01 Snyders Robert V Mortar joint pointing guide
JPH0752718B2 (en) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 Thin film formation method
US4620998A (en) 1985-02-05 1986-11-04 Haresh Lalvani Crescent-shaped polygonal tiles
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4664769A (en) 1985-10-28 1987-05-12 International Business Machines Corporation Photoelectric enhanced plasma glow discharge system and method including radiation means
JPH0651909B2 (en) 1985-12-28 1994-07-06 キヤノン株式会社 Method of forming thin film multilayer structure
KR940000915B1 (en) 1986-01-31 1994-02-04 가부시기가이샤 히다찌세이사꾸쇼 Surface treating method of semiconductor device
US4654226A (en) 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4718637A (en) 1986-07-02 1988-01-12 Mdc Vacuum Products Corporation High vacuum gate valve having improved metal vacuum joint
US5183511A (en) 1986-07-23 1993-02-02 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus with a glow discharge system
US4681134A (en) 1986-07-23 1987-07-21 Paris Sr Raymond L Valve lock
US4749416A (en) 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4721533A (en) 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4882199A (en) 1986-08-15 1989-11-21 Massachusetts Institute Of Technology Method of forming a metal coating on a substrate
USD311126S (en) 1986-12-23 1990-10-09 Joseph Crowley Shelf extending mounting bracket for additional product display
US4753856A (en) 1987-01-02 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from silicate esters and metal oxides
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4827430A (en) 1987-05-11 1989-05-02 Baxter International Inc. Flow measurement system
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (en) 1987-06-25 1991-04-30 Kvaerner Eng PROCEDURE AT THE PLANT FOR TRANSPORTING HYDROCARBONS OVER LONG DISTANCE FROM A HYDROCARBON SOURCE TO SEA.
US4837113A (en) 1987-07-16 1989-06-06 Texas Instruments Incorporated Method for depositing compound from group II-VI
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
USD327534S (en) 1987-07-30 1992-06-30 CLM Investments, Inc. Floor drain strainer
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0777211B2 (en) 1987-08-19 1995-08-16 富士通株式会社 Ashing method
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US4854266A (en) 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US4916091A (en) 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
JPH0648217B2 (en) 1987-12-24 1994-06-22 川惣電機工業株式会社 Continuous temperature measuring device for molten metal
US4830515A (en) 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (en) 1988-04-14 1999-02-17 キヤノン株式会社 Film forming apparatus and film forming method
US4857382A (en) 1988-04-26 1989-08-15 General Electric Company Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides
US4949848A (en) 1988-04-29 1990-08-21 Fluoroware, Inc. Wafer carrier
JPH01296613A (en) 1988-05-25 1989-11-30 Nec Corp Method of vapor growth of iii-v compound semiconductor
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
IT1227708B (en) 1988-07-29 1991-05-06 Pomini Farrel Spa TEMPERATURE DETECTION DEVICE OF THE MATERIAL CONTAINED WITHIN A CLOSED APPLIANCE.
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5158128A (en) 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
JPH0293071A (en) 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JP2918892B2 (en) 1988-10-14 1999-07-12 株式会社日立製作所 Plasma etching method
US4837185A (en) 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
US5119760A (en) 1988-12-27 1992-06-09 Symetrix Corporation Methods and apparatus for material deposition
JPH02185038A (en) 1989-01-11 1990-07-19 Nec Corp Thermal treatment equipment
JPH0834187B2 (en) 1989-01-13 1996-03-29 東芝セラミックス株式会社 Susceptor
US4934831A (en) 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5194401A (en) 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
EP0395358B1 (en) 1989-04-25 2001-03-14 Matsushita Electronics Corporation Manufacturing method of a bipolar transistor
US5192717A (en) 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5360269A (en) 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5061083A (en) 1989-06-19 1991-10-29 The United States Of America As Represented By The Department Of Energy Temperature monitoring device and thermocouple assembly therefor
JP2890494B2 (en) 1989-07-11 1999-05-17 セイコーエプソン株式会社 Method for producing plasma thin film
US5060322A (en) 1989-07-27 1991-10-29 Delepine Jean C Shower room and ceiling element, especially for a shower room
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5057436A (en) 1989-10-02 1991-10-15 Agmaster, Inc. Method and apparatus for detecting toxic gases
US5098865A (en) 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
JPH03155625A (en) 1989-11-14 1991-07-03 Seiko Epson Corp Manufacture of plasma cvd film
US5181779A (en) 1989-11-22 1993-01-26 Nippon Steel Corporation Thermocouple temperature sensor and a method of measuring the temperature of molten iron
JP2936623B2 (en) 1990-02-26 1999-08-23 日本電気株式会社 Method for manufacturing semiconductor device
LU87693A1 (en) 1990-03-07 1991-10-08 Wurth Paul Sa PROBE FOR TAKING GAS SAMPLES AND THERMAL MEASUREMENTS IN A TANK OVEN
JPH03277774A (en) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd Photo-vapor reaction device
DE4011933C2 (en) 1990-04-12 1996-11-21 Balzers Hochvakuum Process for the reactive surface treatment of a workpiece and treatment chamber therefor
US5243202A (en) 1990-04-25 1993-09-07 Casio Computer Co., Ltd. Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type
US5356672A (en) 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH0429313A (en) 1990-05-24 1992-01-31 Fujitsu Ltd Device for producing semiconductor crystal
US5130003A (en) 1990-06-14 1992-07-14 Conrad Richard H method of powering corona discharge in ozone generators
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH0464025A (en) 1990-07-02 1992-02-28 Matsushita Electric Ind Co Ltd Temperature sensor for cooking apparatus
EP0493609B1 (en) 1990-07-18 1997-09-10 Sumitomo Electric Industries, Ltd. Method and device for manufacturing diamond
KR0176715B1 (en) 1990-07-30 1999-04-15 오가 노리오 Dry etching method
JPH04115531A (en) 1990-09-05 1992-04-16 Mitsubishi Electric Corp Chemical vapor growth device
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
JP2780866B2 (en) 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 Light irradiation heating substrate temperature measurement device
TW214599B (en) 1990-10-15 1993-10-11 Seiko Epson Corp
US5228114A (en) 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5071258A (en) 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
JPH05136218A (en) 1991-02-19 1993-06-01 Tokyo Electron Yamanashi Kk Inspection device
JP2740050B2 (en) 1991-03-19 1998-04-15 株式会社東芝 Groove embedded wiring formation method
JP3323530B2 (en) 1991-04-04 2002-09-09 株式会社日立製作所 Method for manufacturing semiconductor device
US5116018A (en) 1991-04-12 1992-05-26 Automax, Inc. Lockout modules
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JPH0523079A (en) 1991-07-19 1993-02-02 Shimano Inc Fishing rod and production thereof
US5137286A (en) 1991-08-23 1992-08-11 General Electric Company Permanent magnet floating shaft seal
JP3040212B2 (en) 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5154301A (en) 1991-09-12 1992-10-13 Fluoroware, Inc. Wafer carrier
US5430011A (en) 1991-09-17 1995-07-04 Sumitomi Electric Industries, Ltd. Crystal compensated superconducting thin film formed of oxide superconductor material
JPH05118928A (en) 1991-10-25 1993-05-14 Tokyo Electron Ltd Contact type temperature measuring method
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
JPH05171446A (en) 1991-12-24 1993-07-09 Furukawa Electric Co Ltd:The Formation of thin film
US5414221A (en) 1991-12-31 1995-05-09 Intel Corporation Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
JP2506539B2 (en) 1992-02-27 1996-06-12 株式会社ジーティシー Method of forming insulating film
NL9200446A (en) 1992-03-10 1993-10-01 Tempress B V Apparatus for handling microcircuit disks (wafers).
US5226383A (en) 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
EP0647163B1 (en) 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3148004B2 (en) 1992-07-06 2001-03-19 株式会社東芝 Optical CVD apparatus and method for manufacturing semiconductor device using the same
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0653210A (en) 1992-07-28 1994-02-25 Nec Corp Semiconductor device
KR100304127B1 (en) 1992-07-29 2001-11-30 이노마다 시게오 Electronic-substrate treatment system using portable sealed container and apparatus thereof
DE69205573T2 (en) 1992-08-04 1996-06-13 Ibm Production line architecture with fully automated and computer-controlled conveyors suitable for sealable portable pressurized containers.
US5271967A (en) 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5246218A (en) 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
US6438502B1 (en) 1992-10-07 2002-08-20 Dallas Semiconductor Corporation Environmental condition sensor device and method
JP3093487B2 (en) 1992-10-28 2000-10-03 松下電子工業株式会社 Semiconductor device and manufacturing method thereof
US6235858B1 (en) 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
JPH06295862A (en) 1992-11-20 1994-10-21 Mitsubishi Electric Corp Compound semiconductor fabrication system and organic metal material vessel
IT1257434B (en) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom STEAM GENERATOR FOR VAPOR PHASE CHEMICAL LAYING PLANTS
KR100238629B1 (en) 1992-12-17 2000-01-15 히가시 데쓰로 Stage having eletrostatic chuck and plasma processing apparatus using same
DE4244189C2 (en) 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Contact temperature sensor
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
DE4311197A1 (en) 1993-04-05 1994-10-06 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Method for operating an incoherently radiating light source
JPH06310438A (en) 1993-04-22 1994-11-04 Mitsubishi Electric Corp Substrate holder and apparatus for vapor growth of compound semiconductor
US5404082A (en) 1993-04-23 1995-04-04 North American Philips Corporation High frequency inverter with power-line-controlled frequency modulation
USD353452S (en) 1993-04-27 1994-12-13 Groenhoff Larry C Window adapter for portable box fans
JP2508581B2 (en) 1993-05-28 1996-06-19 日本電気株式会社 Chemical vapor deposition
US5501740A (en) 1993-06-04 1996-03-26 Applied Science And Technology, Inc. Microwave plasma reactor
US5354580A (en) 1993-06-08 1994-10-11 Cvd Incorporated Triangular deposition chamber for a vapor deposition system
US5616264A (en) 1993-06-15 1997-04-01 Tokyo Electron Limited Method and apparatus for controlling temperature in rapid heat treatment system
JPH0799162A (en) 1993-06-21 1995-04-11 Hitachi Ltd Cvd reactor apparatus
ATE174842T1 (en) 1993-06-28 1999-01-15 Canon Kk HEAT GENERATING RESISTOR CONTAINING TANO.8, SUBSTRATE WITH THIS HEAT GENERATING RESISTOR FOR LIQUID JET HEAD, LIQUID JET HEAD WITH THIS SUBSTRATE, AND APPARATUS FOR A LIQUID JET WITH THIS LIQUID JET HEAD
US5997768A (en) 1993-06-29 1999-12-07 Ciba Specialty Chemicals Corporation Pelletization of metal soap powders
JPH0729836A (en) 1993-07-14 1995-01-31 Sony Corp Deposition of plasma silicon nitride
JP3667781B2 (en) 1993-07-16 2005-07-06 株式会社日立製作所 Engine system diagnostic device
US5415753A (en) 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
JPH07109576A (en) 1993-10-07 1995-04-25 Shinko Seiki Co Ltd Formation of film by plasma cvd
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JP2682403B2 (en) 1993-10-29 1997-11-26 日本電気株式会社 Method for manufacturing semiconductor device
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
JPH07209093A (en) 1994-01-20 1995-08-11 Tokyo Electron Ltd Thermometer
US5616947A (en) 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5681779A (en) 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3211548B2 (en) 1994-03-30 2001-09-25 ウシオ電機株式会社 Dielectric barrier discharge fluorescent lamp
JPH07283149A (en) 1994-04-04 1995-10-27 Nissin Electric Co Ltd Thin film vapor growth device
JPH07297271A (en) 1994-04-22 1995-11-10 Shinko Electric Co Ltd Support mechanism for supporting wafer cassettes with different sizes arbitrarily
US5431734A (en) 1994-04-28 1995-07-11 International Business Machines Corporation Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control
US6447232B1 (en) 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
KR0144956B1 (en) 1994-06-10 1998-08-17 김광호 Forming method of wiring
DE69531654T2 (en) 1994-06-15 2004-07-29 Seiko Epson Corp. METHOD FOR PRODUCING A THIN-LAYER SEMICONDUCTOR TRANSISTOR
US5423942A (en) 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
JP2709568B2 (en) 1994-06-30 1998-02-04 日本プレシジョン・サーキッツ株式会社 Down flow type spin dryer
US6022414A (en) 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
US5838029A (en) 1994-08-22 1998-11-17 Rohm Co., Ltd. GaN-type light emitting device formed on a silicon substrate
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5669713A (en) 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
JP3632256B2 (en) 1994-09-30 2005-03-23 株式会社デンソー Manufacturing method of semiconductor device having silicon nitride film
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2845163B2 (en) 1994-10-27 1999-01-13 日本電気株式会社 Plasma processing method and apparatus
WO1996015505A2 (en) 1994-11-08 1996-05-23 Vermeer Technologies, Inc. An online service development tool with fee setting capabilities
US6699530B2 (en) 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US5583736A (en) 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08181135A (en) 1994-12-22 1996-07-12 Sharp Corp Manufacture of semiconductor device
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
JP3151118B2 (en) 1995-03-01 2001-04-03 東京エレクトロン株式会社 Heat treatment equipment
US5518549A (en) 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5852879A (en) 1995-04-26 1998-12-29 Schumaier; Daniel R. Moisture sensitive item drying appliance
SE506163C2 (en) 1995-04-27 1997-11-17 Ericsson Telefon Ab L M Device at a silicon substrate having a recess for receiving an element and method for making such a device
US5761328A (en) 1995-05-22 1998-06-02 Solberg Creations, Inc. Computer automated system and method for converting source-documents bearing alphanumeric text relating to survey measurements
US5540898A (en) 1995-05-26 1996-07-30 Vasogen Inc. Ozone generator with in-line ozone sensor
US5708825A (en) 1995-05-26 1998-01-13 Iconovex Corporation Automatic summary page creation and hyperlink generation
US5663899A (en) 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
US6190634B1 (en) 1995-06-07 2001-02-20 President And Fellows Of Harvard College Carbide nanomaterials
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5982931A (en) 1995-06-07 1999-11-09 Ishimaru; Mikio Apparatus and method for the manipulation of image containing documents
JPH08335558A (en) 1995-06-08 1996-12-17 Nissin Electric Co Ltd Thin film vapor phase deposition apparatus
JP3380091B2 (en) 1995-06-09 2003-02-24 株式会社荏原製作所 Reactive gas injection head and thin film vapor phase growth apparatus
USD392855S (en) 1995-06-26 1998-03-31 Pillow Daryl R Floor protection template for use while spray-painting door frames
US20020114886A1 (en) 1995-07-06 2002-08-22 Applied Materials, Inc. Method of tisin deposition using a chemical vapor deposition process
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW294820B (en) 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
NO953217L (en) 1995-08-16 1997-02-17 Aker Eng As Method and arrangement of pipe bundles
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
JP3504784B2 (en) 1995-09-07 2004-03-08 東京エレクトロン株式会社 Heat treatment method
JPH0989676A (en) 1995-09-21 1997-04-04 Casio Comput Co Ltd Electronic clinical thermometer
US5791782A (en) 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US6299404B1 (en) 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
KR100201386B1 (en) 1995-10-28 1999-06-15 구본준 Reaction gas injecting apparatus of chemical vapor deposition apparatus
IL115931A0 (en) 1995-11-09 1996-01-31 Oramir Semiconductor Ltd Laser stripping improvement by modified gas composition
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
JPH09148322A (en) 1995-11-22 1997-06-06 Sharp Corp Method for forming silicon oxide film and plasma cvd film forming apparatus
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
JPH09172055A (en) 1995-12-19 1997-06-30 Fujitsu Ltd Electrostatic chuck and method for attracting wafer
US5954375A (en) 1995-12-21 1999-09-21 Edstrom Industries, Inc. Sanitary fitting having ferrule with grooved undercut
US5697706A (en) 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100267418B1 (en) 1995-12-28 2000-10-16 엔도 마코토 Plasma treatment and plasma treating device
US5679215A (en) 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
SE9600705D0 (en) 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US5837320A (en) 1996-02-27 1998-11-17 The University Of New Mexico Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
DE19609678C2 (en) 1996-03-12 2003-04-17 Infineon Technologies Ag Memory cell arrangement with stripe-shaped, parallel trenches and vertical MOS transistors and method for their production
USD380527S (en) 1996-03-19 1997-07-01 Cherle Velez Sink drain shield
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
KR100212132B1 (en) 1996-04-24 1999-08-02 윤종용 Profile thermocouple of horizontal furnace
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5844683A (en) 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5920798A (en) 1996-05-28 1999-07-06 Matsushita Battery Industrial Co., Ltd. Method of preparing a semiconductor layer for an optical transforming device
US6534133B1 (en) 1996-06-14 2003-03-18 Research Foundation Of State University Of New York Methodology for in-situ doping of aluminum coatings
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5779203A (en) 1996-06-28 1998-07-14 Edlinger; Erich Adjustable wafer cassette stand
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
EP0818671A3 (en) 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US5700729A (en) 1996-07-15 1997-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Masked-gate MOS S/D implantation
US5827757A (en) 1996-07-16 1998-10-27 Direct Radiography Corp. Fabrication of large area x-ray image capturing element
JP3122617B2 (en) 1996-07-19 2001-01-09 東京エレクトロン株式会社 Plasma processing equipment
US5724748A (en) 1996-07-24 1998-03-10 Brooks; Ray G. Apparatus for packaging contaminant-sensitive articles and resulting package
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5987480A (en) 1996-07-25 1999-11-16 Donohue; Michael Method and system for delivering documents customized for a particular user over the internet using imbedded dynamic content
KR0183912B1 (en) 1996-08-08 1999-05-01 김광호 Pumping facility connected to the multi-reaction chamber and method thereof
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3122618B2 (en) 1996-08-23 2001-01-09 東京エレクトロン株式会社 Plasma processing equipment
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5857777A (en) 1996-09-25 1999-01-12 Claud S. Gordon Company Smart temperature sensing device
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6073973A (en) 1996-10-31 2000-06-13 Stanley Aviation Corporation Lightweight positive lock coupling
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP3740587B2 (en) 1996-11-25 2006-02-01 山里産業株式会社 thermocouple
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US5753835A (en) 1996-12-12 1998-05-19 Caterpillar Inc. Receptacle for holding a sensing device
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5984391A (en) 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
US20020174106A1 (en) 1997-02-10 2002-11-21 Actioneer, Inc. Method and apparatus for receiving information in response to a request
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3492135B2 (en) 1997-02-13 2004-02-03 三菱重工業株式会社 Heat flux meter
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JPH10239165A (en) 1997-02-27 1998-09-11 Sony Corp Method and apparatus for measuring temperature of substrate, and heating method for substrate
US6096267A (en) 1997-02-28 2000-08-01 Extraction Systems, Inc. System for detecting base contaminants in air
US5947718A (en) 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
JP3124506B2 (en) 1997-03-14 2001-01-15 白光株式会社 Heater / sensor complex
US6214122B1 (en) 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
JPH10261620A (en) 1997-03-19 1998-09-29 Hitachi Ltd Surface treater
US6891138B2 (en) 1997-04-04 2005-05-10 Robert C. Dalton Electromagnetic susceptors with coatings for artificial dielectric systems and devices
US5865205A (en) 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
JP3752578B2 (en) 1997-04-21 2006-03-08 株式会社フジキン Heating device for fluid controller
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JP3230051B2 (en) 1997-05-16 2001-11-19 東京エレクトロン株式会社 Drying method and apparatus
JPH1144799A (en) 1997-05-27 1999-02-16 Ushio Inc Optical path split type ultraviolet irradiation device
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6104401A (en) 1997-06-12 2000-08-15 Netscape Communications Corporation Link filters
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6576064B2 (en) 1997-07-10 2003-06-10 Sandia Corporation Support apparatus for semiconductor wafer processing
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5975492A (en) 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5884640A (en) 1997-08-07 1999-03-23 Applied Materials, Inc. Method and apparatus for drying substrates
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3317209B2 (en) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 Plasma processing apparatus and plasma processing method
US6121158A (en) 1997-08-13 2000-09-19 Sony Corporation Method for hardening a photoresist material formed on a substrate
TW428045B (en) 1997-08-20 2001-04-01 Air Liquide Electronics Chemic Plasma cleaning and etching methods using non-global-warming compounds
US6104011A (en) 1997-09-04 2000-08-15 Watlow Electric Manufacturing Company Sheathed thermocouple with internal coiled wires
AUPO904597A0 (en) 1997-09-08 1997-10-02 Canon Information Systems Research Australia Pty Ltd Method for non-linear document conversion and printing
JP3581537B2 (en) 1997-09-24 2004-10-27 三菱重工業株式会社 High frequency heating coil installation gap holding device
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6243654B1 (en) 1997-10-07 2001-06-05 Telemonitor, Inc. Transducer assembly with smart connector
JPH11118615A (en) 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko Temperature sensor for object to be measured having stretchability
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
KR100660416B1 (en) 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 Improved low mass wafer support system
US6121061A (en) 1997-11-03 2000-09-19 Asm America, Inc. Method of processing wafers with low mass support
EP1029109B1 (en) 1997-11-03 2007-09-26 ASM America, Inc. Long life high temperature process chamber
JP3050193B2 (en) 1997-11-12 2000-06-12 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
GB9724168D0 (en) 1997-11-14 1998-01-14 Air Prod & Chem Gas control device and method of supplying gas
US6068441A (en) 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6574644B2 (en) 1997-11-26 2003-06-03 Siemens Corporate Research, Inc Automatic capturing of hyperlink specifications for multimedia documents
US6013920A (en) 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
KR100295043B1 (en) 1997-12-03 2001-10-19 윤종용 Method for forming a metal film of a semiconductor device using an insulating film having a low dielectric constant as an interdielectric layer
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JPH11319545A (en) 1997-12-15 1999-11-24 Canon Inc Plasma treatment method and method treating substrate
JPH11183265A (en) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd Temperature measuring instrument with thermocouple
JPH11183264A (en) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd Temperature measuring instrument with thermocouple
JPH11195688A (en) 1997-12-26 1999-07-21 Mc Electronics Kk Substrate treatment device
KR100249391B1 (en) 1997-12-30 2000-03-15 김영환 Heater block
USD409894S (en) 1997-12-30 1999-05-18 Mcclurg Ben B Sheet rock plug
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
JP3314151B2 (en) 1998-01-05 2002-08-12 株式会社日立国際電気 Plasma CVD apparatus and method for manufacturing semiconductor device
WO1999036336A1 (en) 1998-01-16 1999-07-22 Pri Automation, Inc. Semiconductor wafer cassette positioning and detection mechanism
US6091062A (en) 1998-01-27 2000-07-18 Kinetrix, Inc. Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
TWI237305B (en) 1998-02-04 2005-08-01 Nikon Corp Exposure apparatus and positioning apparatus of substrate receiving cassette
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US7181501B2 (en) 1998-03-19 2007-02-20 Isochron, Inc. Remote data acquisition, transmission and analysis system including handheld wireless equipment
JPH11287715A (en) 1998-04-02 1999-10-19 Canon Inc Thermocouple
SE9801190D0 (en) 1998-04-06 1998-04-06 Abb Research Ltd A method and a device for epitaxial growth of objects by Chemical Vapor Deposition
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
KR100376983B1 (en) 1998-04-30 2003-08-02 주식회사 하이닉스반도체 Photoresist polymer and method for forming micropattern by using the same
KR100376984B1 (en) 1998-04-30 2003-07-16 주식회사 하이닉스반도체 Photoresist polymer and method for forming micropattern by using the same
US6126848A (en) 1998-05-06 2000-10-03 International Business Machines Corporation Indirect endpoint detection by chemical reaction and chemiluminescence
US6060721A (en) 1998-05-06 2000-05-09 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus for detecting correct positioning of a wafer cassette
KR20010071235A (en) 1998-05-11 2001-07-28 세미툴 인코포레이티드 Temperature control system for a thermal reactor
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
KR100309918B1 (en) 1998-05-16 2001-12-17 윤종용 Liquid crystal display having wide viewing angle and method for manufacturing the same
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
JP3208376B2 (en) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 Film forming method and semiconductor device manufacturing method
JPH11343571A (en) 1998-05-29 1999-12-14 Ngk Insulators Ltd Susceptor
JPH11354637A (en) 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd Connection structure for wiring and formation of connection part of the wiring
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP2963443B1 (en) 1998-06-19 1999-10-18 キヤノン販売株式会社 Semiconductor device manufacturing equipment
KR20000002833A (en) 1998-06-23 2000-01-15 윤종용 Semiconductor wafer boat
JP3333135B2 (en) 1998-06-25 2002-10-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP3472482B2 (en) 1998-06-30 2003-12-02 富士通株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP2000040728A (en) 1998-07-22 2000-02-08 Nippon Asm Kk Wafer carrying mechanism
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6344232B1 (en) 1998-07-30 2002-02-05 The United States Of America As Represented By The Secretary Of The Air Force Computer controlled temperature and oxygen maintenance for fiber coating CVD
USD412270S (en) 1998-08-10 1999-07-27 David Frank Fredrickson Article lifter
US6569971B2 (en) 1998-08-27 2003-05-27 Hyundai Electronics Industries Co., Ltd. Polymers for photoresist and photoresist compositions using the same
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6344084B1 (en) 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6187672B1 (en) 1998-09-22 2001-02-13 Conexant Systems, Inc. Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6257758B1 (en) 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
USD451893S1 (en) 1998-10-15 2001-12-11 Meto International Gmbh Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
US6462671B2 (en) 1998-10-20 2002-10-08 Brendyl Trent Bushner Remote securities based data reception and order system
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100317238B1 (en) 1998-11-03 2002-02-19 윤종용 Spike Thermocouple Device for Temperature Detection of Furnace_
US6423613B1 (en) 1998-11-10 2002-07-23 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
JP2000150617A (en) 1998-11-17 2000-05-30 Tokyo Electron Ltd Transporter
JP3664897B2 (en) 1998-11-18 2005-06-29 東京エレクトロン株式会社 Vertical heat treatment equipment
US6368773B1 (en) 1998-11-27 2002-04-09 Hyundai Electronics Industries Co., Ltd. Photoresist cross-linker and photoresist composition comprising the same
JP2000174123A (en) 1998-12-09 2000-06-23 Nec Corp Semiconductor device and manufacture thereof
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
JP2000183346A (en) 1998-12-15 2000-06-30 Toshiba Corp Semiconductor device and manufacture thereof
US6129954A (en) 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6496819B1 (en) 1998-12-28 2002-12-17 Oracle Corporation Rewriting a query in terms of a summary based on functional dependencies and join backs, and based on join derivability
KR100281094B1 (en) 1998-12-30 2001-02-01 서평원 Cell navigation method in mobile communication system
US6137240A (en) 1998-12-31 2000-10-24 Lumion Corporation Universal ballast control circuit
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US7217325B2 (en) 1999-01-22 2007-05-15 Semitool, Inc. System for processing a workpiece
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
US6044860A (en) 1999-02-01 2000-04-04 Spx Corporation Adjustable lockout device for knife gate valves
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
IT1308606B1 (en) 1999-02-12 2002-01-08 Lpe Spa DEVICE FOR HANDLING SUBSTRATES BY MEANS OF A SELF-LEVELING DEPRESSION SYSTEM IN INDUCTION EPISTAXIAL REACTORS WITH SUCCESSOR
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6250250B1 (en) 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
JP3250154B2 (en) 1999-03-31 2002-01-28 株式会社スーパーシリコン研究所 Semiconductor wafer manufacturing equipment
JP3398936B2 (en) 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 Semiconductor processing equipment
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
JP3965258B2 (en) 1999-04-30 2007-08-29 日本碍子株式会社 Ceramic gas supply structure for semiconductor manufacturing equipment
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
JP3072989B1 (en) 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 Film forming method in a film forming apparatus for forming a thin film on a semiconductor substrate
JP4294791B2 (en) 1999-05-17 2009-07-15 アプライド マテリアルズ インコーポレイテッド Semiconductor manufacturing equipment
JP2000329447A (en) 1999-05-17 2000-11-30 Matsushita Refrig Co Ltd Refrigerator and defrosting heater
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
AU5448200A (en) 1999-05-27 2000-12-18 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
WO2000074122A1 (en) 1999-05-28 2000-12-07 Tokyo Electron Limited Ozone treatment device of semiconductor process system
US20020033183A1 (en) 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
JP3668079B2 (en) 1999-05-31 2005-07-06 忠弘 大見 Plasma process equipment
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6281098B1 (en) 1999-06-15 2001-08-28 Midwest Research Institute Process for Polycrystalline film silicon growth
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
FR2795745B1 (en) 1999-06-30 2001-08-03 Saint Gobain Vitrage PROCESS FOR DEPOSITING A TUNGSTENE AND / OR MOLYBDENE LAYER ON A GLASS, CERAMIC OR VITROCERAMIC SUBSTRATE, AND SUBSTRATE THUS COATED
JP3252835B2 (en) 1999-07-02 2002-02-04 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP2001023955A (en) 1999-07-07 2001-01-26 Mitsubishi Electric Corp Plasma processing apparatus
JP2001023872A (en) 1999-07-09 2001-01-26 Hitachi Ltd Semiconductor substrate processing apparatus
US6368988B1 (en) 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP3701148B2 (en) 1999-07-28 2005-09-28 株式会社日立製作所 Content distribution method
KR100557594B1 (en) 1999-08-17 2006-03-10 주식회사 하이닉스반도체 Novel photoresist monomer having stability to post exposure delay, polymer thereof and photoresist composition containing it
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
JP2001077088A (en) 1999-09-02 2001-03-23 Tokyo Electron Ltd Plasma processing device
US6429146B2 (en) 1999-09-02 2002-08-06 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6293700B1 (en) 1999-09-24 2001-09-25 Fluke Corporation Calibrated isothermal assembly for a thermocouple thermometer
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US7010580B1 (en) 1999-10-08 2006-03-07 Agile Software Corp. Method and apparatus for exchanging data in a platform independent manner
CN1192222C (en) 1999-10-13 2005-03-09 德士古发展公司 Sapphire reinforced thermocouple protection tube
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6287913B1 (en) 1999-10-26 2001-09-11 International Business Machines Corporation Double polysilicon process for providing single chip high performance logic and compact embedded memory structure
KR20010045418A (en) 1999-11-05 2001-06-05 박종섭 Novel photoresist monomer, polymer thereof and photoresist composition containing it
KR100547248B1 (en) 1999-11-12 2006-02-01 주식회사 하이닉스반도체 A method for forming gate dielectric layer using alumina in semiconductor device
JP4209057B2 (en) 1999-12-01 2009-01-14 東京エレクトロン株式会社 Ceramic heater, substrate processing apparatus and substrate processing method using the same
KR100369324B1 (en) 1999-12-02 2003-01-24 한국전자통신연구원 Method for fabricating planar type micro cavity
EP1107512A1 (en) 1999-12-03 2001-06-13 Sony International (Europe) GmbH Communication device and software for operating multimedia applications
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
JP3810604B2 (en) 1999-12-21 2006-08-16 Smc株式会社 Gate valve
JP2001176952A (en) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd Positional shift detector for wafer
WO2001050349A1 (en) 1999-12-30 2001-07-12 Rutgers, The State University Of New Jersey Electronic document customization and transformation utilizing user feedback
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
TW473792B (en) 2000-01-20 2002-01-21 Ngk Insulators Ltd Electrostatic chuck
JP2001207268A (en) 2000-01-27 2001-07-31 Kubota Corp Film deposition system
JP2001207265A (en) 2000-01-27 2001-07-31 Kubota Corp Film deposition system
JP4384770B2 (en) 2000-01-27 2009-12-16 株式会社日立国際電気 Substrate processing equipment
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
JP4174941B2 (en) 2000-02-03 2008-11-05 株式会社デンソー Thin film manufacturing method and thin film manufacturing apparatus
US6521046B2 (en) 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
US6372583B1 (en) 2000-02-09 2002-04-16 Intel Corporation Process for making semiconductor device with epitaxially grown source and drain
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US20020009119A1 (en) 2000-02-11 2002-01-24 Matthew William T. Environmental heat stress monitor
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100520188B1 (en) 2000-02-18 2005-10-10 주식회사 하이닉스반도체 Partially crosslinked polymer for bilayer photoresist
TW476996B (en) 2000-02-28 2002-02-21 Mitsubishi Material Silicon Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6846711B2 (en) 2000-03-02 2005-01-25 Tokyo Electron Limited Method of making a metal oxide capacitor, including a barrier film
KR100803770B1 (en) 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. Graded thin films
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
JP4054159B2 (en) 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
AU4733601A (en) 2000-03-10 2001-09-24 Cyrano Sciences Inc Control for an industrial process using one or more multidimensional variables
JP2001332609A (en) 2000-03-13 2001-11-30 Nikon Corp Apparatus for holding substrate and aligner
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP3676983B2 (en) 2000-03-29 2005-07-27 株式会社日立国際電気 Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP2001342570A (en) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and manufacturing apparatus of semiconductor
JP2001345263A (en) 2000-03-31 2001-12-14 Nikon Corp Aligner, exposure method, and device-manufacturing method
EP1313890B1 (en) 2000-04-06 2006-10-11 ASM America, Inc. Barrier coating for vitreous materials
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
ATE518239T1 (en) 2000-04-17 2011-08-15 Mattson Tech Inc METHOD FOR UV PRETREATMENT OF ULTRATHIN OXYNITRIDE FOR PRODUCING SILICON NITRIDE LAYERS
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7141768B2 (en) 2000-04-28 2006-11-28 Nexicor, Llc Fastening device
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
KR100367662B1 (en) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 Hyperthermal Neutral Particle Beam Source and Neutral Particle Beam Processing Apparatus Employing the Same
DE10021871A1 (en) 2000-05-05 2001-11-15 Infineon Technologies Ag Method for producing a barrier layer in an electronic component and method for producing an electronic component with a barrier layer
US20020078893A1 (en) 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
JP3448737B2 (en) 2000-05-25 2003-09-22 住友重機械工業株式会社 Wafer chuck cooling plate and wafer chuck
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6884295B2 (en) 2000-05-29 2005-04-26 Tokyo Electron Limited Method of forming oxynitride film or the like and system for carrying out the same
KR100783841B1 (en) 2000-05-31 2007-12-10 동경 엘렉트론 주식회사 Heat treatment system
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
USD455024S1 (en) 2000-06-09 2002-04-02 Levenger Company Portable writing surface
KR100406173B1 (en) 2000-06-13 2003-11-19 주식회사 하이닉스반도체 Heater Block Having Catalyst Injection means
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
WO2001097260A2 (en) 2000-06-15 2001-12-20 Koninklijke Philips Electronics N.V. Holder for a substrate cassette and device provided with such a holder
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100546138B1 (en) 2000-06-30 2006-01-24 주식회사 하이닉스반도체 Novel photoresist monomers, polymers thereof and photoresist compositions containing them
KR100467366B1 (en) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 A method for forming zirconium oxide film using atomic layer deposition
US6874480B1 (en) 2000-07-03 2005-04-05 Combustion Dynamics Corp. Flow meter
JP3497450B2 (en) 2000-07-06 2004-02-16 東京エレクトロン株式会社 Batch heat treatment apparatus and control method thereof
JP2002164342A (en) 2000-07-21 2002-06-07 Canon Sales Co Inc Semiconductor device and manufacturing method therefor
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US20020136214A1 (en) 2000-08-14 2002-09-26 Consumer Direct Link Pervasive computing network architecture
KR100533198B1 (en) 2000-08-18 2005-12-05 동경 엘렉트론 주식회사 Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
WO2002019400A1 (en) 2000-08-30 2002-03-07 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
US6784108B1 (en) 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
KR20020019414A (en) 2000-09-05 2002-03-12 엔도 마코토 Substrate processing apparatus and method for manufacturing a semiconductor device by using the substrate processing apparatus
JP4232330B2 (en) 2000-09-22 2009-03-04 東京エレクトロン株式会社 Excited gas forming apparatus, processing apparatus and processing method
USD449873S1 (en) 2000-09-22 2001-10-30 James Bronson Garbage disposal strainer and splash guard
US6494065B2 (en) 2000-09-26 2002-12-17 Babbitt Steam Specialty Company Valve lockout/tag out system
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
AU146327S (en) 2000-09-29 2001-12-18 American Standard Int Inc Faucet
US6370796B1 (en) 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
US6578893B2 (en) 2000-10-02 2003-06-17 Ajs Automation, Inc. Apparatus and methods for handling semiconductor wafers
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
JP2002110570A (en) 2000-10-04 2002-04-12 Asm Japan Kk Gas line system for semiconductor manufacturing apparatus
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
JP4156788B2 (en) 2000-10-23 2008-09-24 日本碍子株式会社 Susceptor for semiconductor manufacturing equipment
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
US6688784B1 (en) 2000-10-25 2004-02-10 Advanced Micro Devices, Inc. Parallel plate development with multiple holes in top plate for control of developer flow and pressure
US6445574B1 (en) 2000-10-30 2002-09-03 Motorola, Inc. Electronic device
US7032614B2 (en) 2000-11-03 2006-04-25 Applied Materials, Inc. Facilities connection box for pre-facilitation of wafer fabrication equipment
JP2002158178A (en) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
KR100688484B1 (en) 2000-11-30 2007-02-28 삼성전자주식회사 Apparatus of treating substrate using activated oxygen and method thereof
JP3610900B2 (en) 2000-11-30 2005-01-19 東京エレクトロン株式会社 Heat treatment equipment
US20020069222A1 (en) 2000-12-01 2002-06-06 Wiznet, Inc. System and method for placing active tags in HTML document
US6913152B2 (en) 2000-12-04 2005-07-05 Peter Zuk, Jr. Disposable vacuum filtration apparatus capable of detecting microorganisms and particulates in liquid samples
EP1351283A4 (en) 2000-12-05 2006-01-25 Tokyo Electron Ltd Method and apparatus for treating article to be treated
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
WO2002048427A1 (en) 2000-12-12 2002-06-20 Tokyo Electron Limited Thin film forming method and thin film forming device
US6814096B2 (en) 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US20020152244A1 (en) 2000-12-22 2002-10-17 International Business Machines Corporation Method and apparatus to dynamically create a customized user interface based on a document type definition
US20020151327A1 (en) 2000-12-22 2002-10-17 David Levitt Program selector and guide system and method
JP5068402B2 (en) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 Dielectric film and method for forming the same, semiconductor device, nonvolatile semiconductor memory device, and method for manufacturing semiconductor device
US6398184B1 (en) 2000-12-29 2002-06-04 General Signal Corporation Lock device and lock method for knife gate valves
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
JP4633269B2 (en) 2001-01-15 2011-02-16 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
JP4644943B2 (en) 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
JP4429300B2 (en) 2001-01-25 2010-03-10 東京エレクトロン株式会社 Manufacturing method of electronic device material
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR20020064028A (en) 2001-01-31 2002-08-07 한빛 세마텍(주) Cleaning and surface treatment equipment by pulsed ultra-violet light radiation
WO2002063535A2 (en) 2001-02-07 2002-08-15 Exalt Solutions, Inc. Intelligent multimedia e-catalog
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2005033221A (en) 2001-02-08 2005-02-03 Tokyo Electron Ltd Substrate mounting stand and processor
JP3626933B2 (en) 2001-02-08 2005-03-09 東京エレクトロン株式会社 Manufacturing method of substrate mounting table
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
KR101027485B1 (en) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US7072061B2 (en) 2001-02-13 2006-07-04 Ariba, Inc. Method and system for extracting information from RFQ documents and compressing RFQ files into a common RFQ file type
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100410991B1 (en) 2001-02-22 2003-12-18 삼성전자주식회사 Loadport for semiconductor processing apparatus
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4487135B2 (en) 2001-03-05 2010-06-23 東京エレクトロン株式会社 Fluid control device
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US7111232B1 (en) 2001-03-07 2006-09-19 Thomas Layne Bascom Method and system for making document objects available to users of a network
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6716571B2 (en) 2001-03-28 2004-04-06 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
TW538327B (en) 2001-04-24 2003-06-21 Unit Instr Inc System and method for a mass flow controller
KR100798179B1 (en) 2001-04-27 2008-01-24 교세라 가부시키가이샤 Wafer heating apparatus
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6864041B2 (en) 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
KR20020086763A (en) 2001-05-10 2002-11-20 주식회사 엘지이아이 Thermocouple for continuous polymerizing system using plasma
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7159597B2 (en) 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
GB0113735D0 (en) 2001-06-05 2001-07-25 Holset Engineering Co Mixing fluid streams
US6758909B2 (en) 2001-06-05 2004-07-06 Honeywell International Inc. Gas port sealing for CVD/CVI furnace hearth plates
US6472266B1 (en) 2001-06-18 2002-10-29 Taiwan Semiconductor Manufacturing Company Method to reduce bit line capacitance in cub drams
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6514313B1 (en) 2001-06-22 2003-02-04 Aeronex, Inc. Gas purification system and method
US20030002562A1 (en) 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP3708031B2 (en) 2001-06-29 2005-10-19 株式会社日立製作所 Plasma processing apparatus and processing method
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
CN1277293C (en) 2001-07-10 2006-09-27 东京毅力科创株式会社 Dry etching method
KR100400044B1 (en) 2001-07-16 2003-09-29 삼성전자주식회사 Shower head of wafer treatment apparatus having gap controller
US20030017268A1 (en) 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
JP3926588B2 (en) 2001-07-19 2007-06-06 キヤノンマーケティングジャパン株式会社 Manufacturing method of semiconductor device
JP2003035574A (en) 2001-07-23 2003-02-07 Mitsubishi Heavy Ind Ltd Responsive sensor and application measurement system
US6677254B2 (en) 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US6638839B2 (en) 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6435865B1 (en) 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
WO2003012843A1 (en) 2001-07-31 2003-02-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and apparatus for cleaning and method and apparatus for etching
US6678583B2 (en) 2001-08-06 2004-01-13 Seminet, Inc. Robotic storage buffer system for substrate carrier pods
JP3775262B2 (en) 2001-08-09 2006-05-17 ヤマハ株式会社 Electronic musical instrument and electronic musical instrument system
TW559905B (en) 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003059999A (en) 2001-08-14 2003-02-28 Tokyo Electron Ltd Treating system
US20030035002A1 (en) 2001-08-15 2003-02-20 Samsung Electronics Co., Ltd. Alternate interpretation of markup language documents
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003060076A (en) 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
KR100604751B1 (en) 2001-08-24 2006-07-26 주식회사 하이닉스반도체 Photoresist copolymer for preventing acid diffusion, and photoresist composition comprising the same
JP3832293B2 (en) 2001-08-31 2006-10-11 株式会社ダイフク Load storage equipment
JP3832294B2 (en) 2001-08-31 2006-10-11 株式会社ダイフク Load storage equipment
JP4460803B2 (en) 2001-09-05 2010-05-12 パナソニック株式会社 Substrate surface treatment method
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
JP4094262B2 (en) 2001-09-13 2008-06-04 住友大阪セメント株式会社 Adsorption fixing device and manufacturing method thereof
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6782305B2 (en) 2001-10-01 2004-08-24 Massachusetts Institute Of Technology Method of geometric information sharing and parametric consistency maintenance in a collaborative design environment
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
KR100431658B1 (en) 2001-10-05 2004-05-17 삼성전자주식회사 Apparatus for heating a substrate and apparatus having the same
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6936183B2 (en) 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
JP2003133300A (en) 2001-10-26 2003-05-09 Tokyo Electron Ltd Apparatus and method for forming film
EP1444380B1 (en) 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US20040253867A1 (en) 2001-11-05 2004-12-16 Shuzo Matsumoto Circuit part connector structure and gasket
KR100760291B1 (en) 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
US6975921B2 (en) 2001-11-09 2005-12-13 Asm International Nv Graphical representation of a wafer processing process
KR20030039247A (en) 2001-11-12 2003-05-17 주성엔지니어링(주) Susceptor
US20040010772A1 (en) 2001-11-13 2004-01-15 General Electric Company Interactive method and system for faciliting the development of computer software applications
WO2003044843A2 (en) 2001-11-16 2003-05-30 Trikon Holdings Limited Forming low k dielectric layers
JP2003153706A (en) 2001-11-20 2003-05-27 Toyobo Co Ltd Female material for hook-and-loop fastener and production method thereof
US6926774B2 (en) 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
USD461233S1 (en) 2001-11-29 2002-08-06 James Michael Whalen Marine deck drain strainer
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
KR100446619B1 (en) 2001-12-14 2004-09-04 삼성전자주식회사 Inductively coupled plasma system
SE0104252D0 (en) 2001-12-17 2001-12-17 Sintercast Ab New device
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
JP3891267B2 (en) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 Silicon oxide film manufacturing method
US6766260B2 (en) 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
KR100903484B1 (en) 2002-01-15 2009-06-18 도쿄엘렉트론가부시키가이샤 Cvd method and device for forming silicon-containing insulation film
US6580050B1 (en) 2002-01-16 2003-06-17 Pace, Incorporated Soldering station with built-in self-calibration function
JP4071968B2 (en) 2002-01-17 2008-04-02 東芝三菱電機産業システム株式会社 Gas supply system and gas supply method
CN101818334B (en) 2002-01-17 2012-12-12 松德沃技术公司 ALD apparatus and method
US6760981B2 (en) 2002-01-18 2004-07-13 Speedline Technologies, Inc. Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
KR100377095B1 (en) 2002-02-01 2003-03-20 Nexo Co Ltd Semiconductor fabrication apparatus using low energy plasma
US6732006B2 (en) 2002-02-06 2004-05-04 Asm International Nv Method and system to process semiconductor wafers
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
DE10207131B4 (en) 2002-02-20 2007-12-20 Infineon Technologies Ag Process for forming a hardmask in a layer on a flat disk
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030159653A1 (en) 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
TW200305228A (en) 2002-03-01 2003-10-16 Hitachi Int Electric Inc Heat treatment apparatus and a method for fabricating substrates
KR100997699B1 (en) 2002-03-05 2010-12-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Transistor
KR100449028B1 (en) 2002-03-05 2004-09-16 삼성전자주식회사 Method for forming thin film using ALD
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
JP2003264186A (en) 2002-03-11 2003-09-19 Asm Japan Kk Cleaning method of treatment chamber in cvd device
US6835039B2 (en) 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JP4157914B2 (en) 2002-03-20 2008-10-01 坂野 數仁 Temperature measuring apparatus and temperature measuring method
JP4099092B2 (en) 2002-03-26 2008-06-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and high-speed rotary valve
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
JP4128383B2 (en) 2002-03-27 2008-07-30 東京エレクトロン株式会社 Processing apparatus and processing method
DE10214066B4 (en) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a retrograde doping profile in a channel region and method of making the same
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030231698A1 (en) 2002-03-29 2003-12-18 Takatomo Yamaguchi Apparatus and method for fabricating a semiconductor device and a heat treatment apparatus
JP4106948B2 (en) 2002-03-29 2008-06-25 東京エレクトロン株式会社 Processed object jump detection device, process object jump detection method, plasma processing apparatus, and plasma processing method
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
JP4092937B2 (en) 2002-04-11 2008-05-28 松下電工株式会社 Plasma processing apparatus and plasma processing method
US6710312B2 (en) 2002-04-12 2004-03-23 B H Thermal Corporation Heating jacket assembly with field replaceable thermostat
US7988833B2 (en) 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
KR100439948B1 (en) 2002-04-19 2004-07-12 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer using remote plasma
KR100472730B1 (en) 2002-04-26 2005-03-08 주식회사 하이닉스반도체 Method for fabricating metal electrode with Atomic Layer Deposition in semiconductor device
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
JP2003324072A (en) 2002-05-07 2003-11-14 Nec Electronics Corp Semiconductor manufacturing equipment
KR100437458B1 (en) 2002-05-07 2004-06-23 삼성전자주식회사 Phase change memory cells and methods of fabricating the same
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
KR100466818B1 (en) 2002-05-17 2005-01-24 주식회사 하이닉스반도체 Method of forming a insulating layer in a semiconductor device
US6797525B2 (en) 2002-05-22 2004-09-28 Agere Systems Inc. Fabrication process for a semiconductor device having a metal oxide dielectric material with a high dielectric constant, annealed with a buffered anneal process
US6902656B2 (en) 2002-05-24 2005-06-07 Dalsa Semiconductor Inc. Fabrication of microstructures with vacuum-sealed cavity
KR20030092305A (en) 2002-05-29 2003-12-06 삼성전자주식회사 A MEAN MEASURING ON A TEMPERATURE OF A CHAMBER's OUTER WALL IN A MACHINE DEPOSITING HTUSG
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP4311914B2 (en) 2002-06-05 2009-08-12 住友電気工業株式会社 Heater module for semiconductor manufacturing equipment
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP2004014952A (en) 2002-06-10 2004-01-15 Tokyo Electron Ltd Processing system and processing method
US6849464B2 (en) 2002-06-10 2005-02-01 Micron Technology, Inc. Method of fabricating a multilayer dielectric tunnel barrier structure
JP4354908B2 (en) 2002-06-10 2009-10-28 東京エレクトロン株式会社 Processing equipment
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
JP2004022902A (en) 2002-06-18 2004-01-22 Fujitsu Ltd Method for manufacturing semiconductor device
KR100455297B1 (en) 2002-06-19 2004-11-06 삼성전자주식회사 Manufacturing method of inorganic nano tube
JP3670628B2 (en) 2002-06-20 2005-07-13 株式会社東芝 Film forming method, film forming apparatus, and semiconductor device manufacturing method
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6552209B1 (en) 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
JP3999059B2 (en) 2002-06-26 2007-10-31 東京エレクトロン株式会社 Substrate processing system and substrate processing method
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US7356762B2 (en) 2002-07-08 2008-04-08 Asm International Nv Method for the automatic generation of an interactive electronic equipment documentation package
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
AU2003259104A1 (en) 2002-07-15 2004-02-02 Asml Us, Inc. Thermal processing system and configurable vertical chamber
US6976822B2 (en) 2002-07-16 2005-12-20 Semitool, Inc. End-effectors and transfer devices for handling microelectronic workpieces
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
TW200427858A (en) 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
CN1643674A (en) 2002-07-19 2005-07-20 阿维扎技术公司 Low temperature dielectric deposition using aminosilane and ozone
CN101109470A (en) 2002-07-19 2008-01-23 诚实公司 Liquid flow controller and precision dispense apparatus and system
KR100447284B1 (en) 2002-07-19 2004-09-07 삼성전자주식회사 Method of cleaning chemical vapor deposition chamber
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
KR100464855B1 (en) 2002-07-26 2005-01-06 삼성전자주식회사 method for forming a thin film, and method for forming a capacitor and a transistor of a semiconductor device using the same
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
EP1525337A2 (en) 2002-07-30 2005-04-27 ASM America, Inc. Sublimation system employing carrier gas
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
DE10235427A1 (en) 2002-08-02 2004-02-12 Eos Gmbh Electro Optical Systems Device for producing three-dimensional objects under the action of electromagnetic or particle radiation has a switching unit for switching the radiation between the construction regions so that each construction region is irradiated
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP4034145B2 (en) 2002-08-09 2008-01-16 住友大阪セメント株式会社 Susceptor device
KR100480610B1 (en) 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
US7085623B2 (en) 2002-08-15 2006-08-01 Asm International Nv Method and system for using short ranged wireless enabled computers as a service tool
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6649921B1 (en) 2002-08-19 2003-11-18 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP2004091848A (en) 2002-08-30 2004-03-25 Tokyo Electron Ltd Gaseous raw material supply system for thin film forming apparatus and thin film forming apparatus
US6884066B2 (en) 2002-09-10 2005-04-26 Fsi International, Inc. Thermal process station with heated lid
US6936086B2 (en) 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP2004103990A (en) 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc Semiconductor manufacturing system and method for manufacturing semiconductor device
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US7011299B2 (en) 2002-09-16 2006-03-14 Matheson Tri-Gas, Inc. Liquid vapor delivery system and method of maintaining a constant level of fluid therein
KR100497748B1 (en) 2002-09-17 2005-06-29 주식회사 무한 ALD equament and ALD methode
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
JP4231953B2 (en) 2002-09-24 2009-03-04 ペガサスネット株式会社 Ear hole type SAW thermometer and body temperature management system using the thermometer
JP2004127957A (en) 2002-09-30 2004-04-22 Fujitsu Ltd Process for fabricating semiconductor device, and semiconductor device
JP2004128019A (en) 2002-09-30 2004-04-22 Applied Materials Inc Method and apparatus for plasma processing
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP3671951B2 (en) 2002-10-08 2005-07-13 住友電気工業株式会社 Temperature measuring device and ceramic heater using the same
JP2004134553A (en) 2002-10-10 2004-04-30 Sony Corp Process for forming resist pattern and process for fabricating semiconductor device
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7080545B2 (en) 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
KR100460841B1 (en) 2002-10-22 2004-12-09 한국전자통신연구원 Method for forming nitrogen containing oxide thin film by plasma enhanced atomic layer deposition
US6821909B2 (en) 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US6676290B1 (en) 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
KR100520902B1 (en) 2002-11-20 2005-10-12 주식회사 아이피에스 Method for depositing thin film on wafer using Aluminum compound
US7379785B2 (en) 2002-11-28 2008-05-27 Tokyo Electron Limited Substrate processing system, coating/developing apparatus, and substrate processing apparatus
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
KR100496265B1 (en) 2002-11-29 2005-06-17 한국전자통신연구원 Method of forming a thin film in a semiconductor device
TW200410337A (en) 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6895158B2 (en) 2002-12-09 2005-05-17 Eastman Kodak Company Waveguide and method of smoothing optical surfaces
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
WO2004059699A2 (en) 2002-12-20 2004-07-15 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
CN2588350Y (en) 2002-12-26 2003-11-26 张连合 Electric thermo-couple
JP2004207564A (en) 2002-12-26 2004-07-22 Fujitsu Ltd Semiconductor device and manufacturing method therefor
DE10261362B8 (en) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrate holder
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
USD486891S1 (en) 2003-01-21 2004-02-17 Richard W. Cronce, Jr. Vent pipe protective cover
USD497977S1 (en) 2003-01-22 2004-11-02 Tour & Andersson Ab Sealing ring membrane
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US20040152287A1 (en) 2003-01-31 2004-08-05 Sherrill Adrian B. Deposition of a silicon film
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
US7163721B2 (en) 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
CN100429753C (en) 2003-02-06 2008-10-29 东京毅力科创株式会社 Plasma processing method, semiconductor substrate and plasma processing apparatus
US6876017B2 (en) 2003-02-08 2005-04-05 Intel Corporation Polymer sacrificial light absorbing structure and method
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6917755B2 (en) 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US7077911B2 (en) 2003-03-03 2006-07-18 Seiko Epson Corporation MOCVD apparatus and MOCVD method
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (en) 2003-03-07 2004-09-30 Watanabe Shoko:Kk Vaporizing device and film forming device using it, and method for vaporising and film forming
US7238653B2 (en) 2003-03-10 2007-07-03 Hynix Semiconductor Inc. Cleaning solution for photoresist and method for forming pattern using the same
JP4369203B2 (en) 2003-03-24 2009-11-18 信越化学工業株式会社 Antireflection film material, substrate having antireflection film, and pattern forming method
JP2004288916A (en) 2003-03-24 2004-10-14 Renesas Technology Corp Cvd apparatus
JP2004294638A (en) 2003-03-26 2004-10-21 Tokyo Ohka Kogyo Co Ltd Negative resist material and method for forming resist pattern
US7393207B2 (en) 2003-03-26 2008-07-01 Shin-Etsu Handotai Co., Ltd. Wafer support tool for heat treatment and heat treatment apparatus
US7223014B2 (en) 2003-03-28 2007-05-29 Intempco Controls Ltd. Remotely programmable integrated sensor transmitter
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
KR100500246B1 (en) 2003-04-09 2005-07-11 삼성전자주식회사 Gas supplying apparatus
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2004336019A (en) 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd Film forming method, forming method of semiconductor element, semiconductor element, forming method of indicating device, and indicating device
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7221553B2 (en) 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US6953608B2 (en) 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
KR200319645Y1 (en) 2003-04-28 2003-07-12 이규옥 Wafer carrier locker device
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
US20090204403A1 (en) 2003-05-07 2009-08-13 Omega Engineering, Inc. Speech generating means for use with signal sensors
US6939817B2 (en) 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP2005045210A (en) 2003-05-12 2005-02-17 Agere Systems Inc Method of mass flow control, and verification and calibration thereof
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
USD505590S1 (en) 2003-05-22 2005-05-31 Kraft Foods Holdings, Inc. Susceptor tray
JP4403824B2 (en) 2003-05-26 2010-01-27 東京エレクトロン株式会社 Method for forming silicon nitride film
EP1636400A1 (en) 2003-05-27 2006-03-22 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US8512798B2 (en) 2003-06-05 2013-08-20 Superpower, Inc. Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
US7141500B2 (en) 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
WO2005015609A2 (en) 2003-06-13 2005-02-17 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn
US7589003B2 (en) 2003-06-13 2009-09-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
US7598513B2 (en) 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
KR100724181B1 (en) 2003-06-16 2007-05-31 동경 엘렉트론 주식회사 Process for depositing film, process for fabricating semiconductor device, semiconductor device and system for depositing film
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR20050001793A (en) 2003-06-26 2005-01-07 삼성전자주식회사 In-situ analysis method for atomic layer deposition process
DE10328660B3 (en) 2003-06-26 2004-12-02 Infineon Technologies Ag Determining temperature of semiconductor wafer at instant of contact with sensor, records varying sensor output over time, to deduce initial wafer temperature
WO2005003406A2 (en) 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7055875B2 (en) 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4298421B2 (en) 2003-07-23 2009-07-22 エスペック株式会社 Thermal plate and test equipment
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7361447B2 (en) 2003-07-30 2008-04-22 Hynix Semiconductor Inc. Photoresist polymer and photoresist composition containing the same
KR20060054387A (en) 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 Surface preparation prior to deposition on germanium
EP1661161A2 (en) 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
KR100536604B1 (en) 2003-08-14 2005-12-14 삼성전자주식회사 Method of gap-fill using a high density plasma deposision
JP2005072405A (en) 2003-08-27 2005-03-17 Sony Corp Forming method of thin film and manufacturing method of semiconductor device
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP3881973B2 (en) 2003-08-29 2007-02-14 三菱重工業株式会社 Method for forming silicon nitride film
JP4235066B2 (en) 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 Thin film formation method
KR20060064067A (en) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 Gas treatment device and heat readiting method
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7335277B2 (en) 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100551138B1 (en) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 Adaptively plasma source for generating uniform plasma
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
KR100765681B1 (en) 2003-09-19 2007-10-12 가부시키가이샤 히다치 고쿠사이 덴키 Process for producing semiconductor device and substrate treating apparatus
US20070137794A1 (en) 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
US20050098107A1 (en) 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
JP4524554B2 (en) 2003-09-25 2010-08-18 信越化学工業株式会社 Method for producing γ, δ-unsaturated carboxylic acid and silyl ester thereof, organosilicon compound having carboxyl group and method for producing the same
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US20050069651A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6982046B2 (en) 2003-10-01 2006-01-03 General Electric Company Light sources with nanometer-sized VUV radiation-absorbing phosphors
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2007511902A (en) 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US20050101843A1 (en) 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
WO2005050725A1 (en) 2003-11-20 2005-06-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
KR100550641B1 (en) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 Dielectric layer alloyed hafnium oxide and aluminium oxide and method for fabricating the same
JP4725085B2 (en) 2003-12-04 2011-07-13 株式会社豊田中央研究所 Amorphous carbon, amorphous carbon coating member and amorphous carbon film forming method
KR20050054122A (en) 2003-12-04 2005-06-10 성명모 Method of fabricating thin film using uv-enhanced atomic layer deposition
US20050120805A1 (en) 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
JP2005172489A (en) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd Temperature measuring probe for molten metal
US7143897B1 (en) 2003-12-09 2006-12-05 H20 International, Inc. Water filter
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
KR100519798B1 (en) 2003-12-11 2005-10-10 삼성전자주식회사 method of forming a thin film having enhanced productavity
KR20060123381A (en) 2003-12-22 2006-12-01 쎄코 툴스 에이비 Carrier body and method for coating cutting tools
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100620673B1 (en) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 Cleaning Solution for Photoresist and Method for Pattern Formation Using the Same
KR100593960B1 (en) 2004-01-09 2006-06-30 병호 최 Atomic Thin Layer Deposition Apparatus and Method
KR100549273B1 (en) 2004-01-15 2006-02-03 주식회사 테라세미콘 Wafer-Holder for Semiconductor Manufacturing Process
JP4513329B2 (en) 2004-01-16 2010-07-28 東京エレクトロン株式会社 Processing equipment
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
KR101118863B1 (en) 2004-01-30 2012-03-19 도쿄엘렉트론가부시키가이샤 Substrate holder having a fluid gap and method of fabricating the substrate holder
US7163393B2 (en) 2004-02-02 2007-01-16 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor silicon substrate
DE102004005385A1 (en) 2004-02-03 2005-10-20 Infineon Technologies Ag Use of dissolved hafnium alkoxides or zirconium alkoxides as precursors for hafnium oxide and hafnium oxynitride layers or zirconium oxide and zirconium oxynitride layers
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US20100297391A1 (en) 2004-02-25 2010-11-25 General Nanotechnoloy Llc Diamond capsules and methods of manufacture
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
CN1954138B (en) 2004-03-02 2011-02-16 罗斯蒙德公司 Process device with improved power generation
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
CN100373545C (en) 2004-03-05 2008-03-05 东京毅力科创株式会社 Base plate processing device,base plate processing method and progarm
US20050233477A1 (en) 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
KR100538096B1 (en) 2004-03-16 2005-12-21 삼성전자주식회사 Method for forming a capacitor using atomic layer deposition method
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20050221618A1 (en) 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
KR20060060731A (en) 2004-03-31 2006-06-05 가부시키가이샤 히다치 고쿠사이 덴키 Semiconductor device manufacturing method
US20050221021A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
CN1292092C (en) 2004-04-01 2006-12-27 南昌大学 Bilayer inlet gas spray nozzle in use for metal-organic chemical vapor deposition device
US7585371B2 (en) 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
US20050227502A1 (en) 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
WO2005104204A1 (en) 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. Heat treating device
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6982208B2 (en) 2004-05-03 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing high throughput strained-Si channel MOSFETS
JP2005322668A (en) 2004-05-06 2005-11-17 Renesas Technology Corp Film deposition equipment and film deposition method
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
WO2005109486A1 (en) 2004-05-12 2005-11-17 Viatron Technologies Inc. System for heat treatment of semiconductor device
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR100469132B1 (en) 2004-05-18 2005-01-29 주식회사 아이피에스 Cyclically pulsed two level plasma atomic layer deposition apparatus and method thereof
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
JP2005340251A (en) 2004-05-24 2005-12-08 Shin Etsu Chem Co Ltd Plasma processing apparatus and shower plate therefor
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20050266173A1 (en) 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7580388B2 (en) 2004-06-01 2009-08-25 Lg Electronics Inc. Method and apparatus for providing enhanced messages on common control channel in wireless communication system
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7037794B2 (en) 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR100589062B1 (en) 2004-06-10 2006-06-12 삼성전자주식회사 Method of forming a thin film using an atomic layer deposition process and method of forming a capacitor of a semiconductor device using the same
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4565897B2 (en) 2004-06-14 2010-10-20 株式会社Adeka Thin film forming raw material and thin film manufacturing method
US7399570B2 (en) 2004-06-18 2008-07-15 Hynix Semiconductor Inc. Water-soluble negative photoresist polymer and composition containing the same
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
KR101247857B1 (en) 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 Plasma processing device
JP4534619B2 (en) 2004-06-21 2010-09-01 株式会社Sumco Heat treatment jig for semiconductor silicon substrate
KR20050121426A (en) 2004-06-22 2005-12-27 삼성에스디아이 주식회사 Method for preparing catalyst for manufacturing carbon nano tubes
US7244958B2 (en) 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7073834B2 (en) 2004-06-25 2006-07-11 Applied Materials, Inc. Multiple section end effector assembly
KR100614801B1 (en) 2004-07-05 2006-08-22 삼성전자주식회사 Method for forming a layer in a semiconductor device
US7363195B2 (en) 2004-07-07 2008-04-22 Sensarray Corporation Methods of configuring a sensor network
EP1769522B1 (en) 2004-07-09 2016-11-23 Philips Lighting Holding B.V. Uvc/vuv dielectric barrier discharge lamp with reflector
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7422653B2 (en) 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
JP4559427B2 (en) 2004-07-13 2010-10-06 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US7409263B2 (en) 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
KR100578819B1 (en) 2004-07-15 2006-05-11 삼성전자주식회사 method of manufacturing a thin layer using atomic layer deposition, and method of manufacturing a gate structure and a capacitor using the same
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060021572A1 (en) 2004-07-30 2006-02-02 Colorado School Of Mines High Vacuum Plasma-Assisted Chemical Vapor Deposition System
DE602004010190T2 (en) 2004-07-30 2008-11-06 Lpe S.P.A. EPITAXIEREACTOR WITH SUSCEPTOR-CONTROLLED POSITIONING
KR100689401B1 (en) 2004-07-30 2007-03-08 주식회사 하이닉스반도체 Photoresist Polymer and Photoresist Composition Containing It
US7689687B2 (en) 2004-07-30 2010-03-30 Fisher-Rosemount Systems, Inc. Communication controller with automatic time stamping
JP4718141B2 (en) 2004-08-06 2011-07-06 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
US7470633B2 (en) 2004-08-09 2008-12-30 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
KR101114219B1 (en) 2004-08-09 2012-03-05 주성엔지니어링(주) Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
JP2006059931A (en) 2004-08-18 2006-03-02 Canon Anelva Corp Rapid thermal process device
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
KR101071136B1 (en) 2004-08-27 2011-10-10 엘지디스플레이 주식회사 apparatus for processing a thin film on substrate for flat panel display device
ITMI20041677A1 (en) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct CLEANING PROCESS AND OPERATIONAL PROCESS FOR A CVD REACTOR.
DE102004042431B4 (en) 2004-08-31 2008-07-03 Schott Ag Method and device for plasma coating of workpieces with spectral evaluation of the process parameters and use of the device
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
JP2006108629A (en) 2004-09-10 2006-04-20 Toshiba Corp Method for manufacturing semiconductor device
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
JP4698190B2 (en) 2004-09-22 2011-06-08 川惣電機工業株式会社 Temperature measuring device
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7806587B2 (en) 2004-09-29 2010-10-05 Citizen Holdings Co., Ltd. Electronic clinical thermometer and method of producing the same
DE102005045081B4 (en) 2004-09-29 2011-07-07 Covalent Materials Corp. susceptor
US7241475B2 (en) 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
US6874247B1 (en) 2004-10-12 2005-04-05 Tsang-Hung Hsu Toothbrush dryer
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US20060099782A1 (en) 2004-10-15 2006-05-11 Massachusetts Institute Of Technology Method for forming an interface between germanium and other materials
EP1811559A4 (en) 2004-10-19 2010-04-21 Canon Anelva Corp Substrate supporting/transferring tray
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2006128188A (en) 2004-10-26 2006-05-18 Nikon Corp Substrate carrying apparatus, substrate carrying method and exposure apparatus
US7163900B2 (en) 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
JP2006135161A (en) 2004-11-08 2006-05-25 Canon Inc Method and apparatus for forming insulating film
JP4435666B2 (en) 2004-11-09 2010-03-24 東京エレクトロン株式会社 Plasma processing method, film forming method
KR100782369B1 (en) 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
US7678682B2 (en) 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
US7428958B2 (en) 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
KR100773755B1 (en) 2004-11-18 2007-11-09 주식회사 아이피에스 A method for depositing thin film using ALD
TWI536429B (en) 2004-11-18 2016-06-01 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
US20060107898A1 (en) 2004-11-19 2006-05-25 Blomberg Tom E Method and apparatus for measuring consumption of reactants
ES2301073T3 (en) 2004-11-24 2008-06-16 Oc Oerlikon Balzers Ag VACUUM PROCESSING CAMERA FOR SUBSTRATES OF VERY LARGE AREA.
US20060113806A1 (en) 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
JP2006153706A (en) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp Temperature sensing element and vapor phase deposition device
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7271463B2 (en) 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7290813B2 (en) 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
JP4560681B2 (en) 2004-12-24 2010-10-13 ミネベア株式会社 Multi-lamp type discharge lamp lighting device
JP2006186271A (en) 2004-12-28 2006-07-13 Sharp Corp Vapor phase deposition device and manufacturing method of film-formed substrate
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
KR20070107017A (en) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 Line edge roughness reduction compatible with trimming
JP2006188729A (en) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7598516B2 (en) 2005-01-07 2009-10-06 International Business Machines Corporation Self-aligned process for nanotube/nanowire FETs
US7169668B2 (en) 2005-01-09 2007-01-30 United Microelectronics Corp. Method of manufacturing a split-gate flash memory device
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
WO2006078585A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Wafer support pin assembly
US7964380B2 (en) 2005-01-21 2011-06-21 Argylia Technologies Nanoparticles for manipulation of biopolymers and methods of thereof
JP2006203120A (en) 2005-01-24 2006-08-03 Toshiba Corp Method for manufacturing semiconductor apparatus
KR100640550B1 (en) 2005-01-26 2006-10-31 주식회사 아이피에스 a method for depositing thin film using ALD
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7298009B2 (en) 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
KR100585178B1 (en) 2005-02-05 2006-05-30 삼성전자주식회사 Semiconductor device comprising finfet having metal gate electrode and fabricating method thereof
US20060182885A1 (en) 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7410340B2 (en) 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
KR100667598B1 (en) 2005-02-25 2007-01-12 주식회사 아이피에스 Apparatus for semiconductor process
JP4764028B2 (en) 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ Plasma processing method
KR100854995B1 (en) 2005-03-02 2008-08-28 삼성전자주식회사 High density plasma chemical vapor deposition apparatus
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
JP4258518B2 (en) 2005-03-09 2009-04-30 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4214124B2 (en) 2005-03-14 2009-01-28 株式会社バイオエコーネット Ear thermometer
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7376520B2 (en) 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8974868B2 (en) 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US20060211259A1 (en) 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
KR100655431B1 (en) 2005-03-23 2006-12-11 삼성전자주식회사 Wafer carrier for lessoning contact area with wafers and wafer cleaning method using the same
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP2006278058A (en) 2005-03-28 2006-10-12 Matsushita Electric Works Ltd Plasma processing device
US7282415B2 (en) 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
JP2008536318A (en) 2005-04-07 2008-09-04 アヴィザ テクノロジー インコーポレイテッド Multi-layer multi-component high-k film and method for depositing the same
US7479198B2 (en) 2005-04-07 2009-01-20 Timothy D'Annunzio Methods for forming nanofiber adhesive structures
KR100640640B1 (en) 2005-04-19 2006-10-31 삼성전자주식회사 Method of forming fine pattern of semiconductor device using fine pitch hardmask
JP4694878B2 (en) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US7160819B2 (en) 2005-04-25 2007-01-09 Sharp Laboratories Of America, Inc. Method to perform selective atomic layer deposition of zinc oxide
WO2006114781A2 (en) 2005-04-26 2006-11-02 University College Cork - National University Of Ireland, Cork Deposition of materials
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7351057B2 (en) 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
US7169018B2 (en) 2005-05-04 2007-01-30 Micrel, Incorporated Wafer carrier checker and method of using same
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7915173B2 (en) 2005-05-05 2011-03-29 Macronix International Co., Ltd. Shallow trench isolation structure having reduced dislocation density
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
JP4666473B2 (en) 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2006319261A (en) 2005-05-16 2006-11-24 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
KR100731164B1 (en) 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20060269690A1 (en) 2005-05-27 2006-11-30 Asm Japan K.K. Formation technology for nanoparticle films having low dielectric constant
JPWO2006129643A1 (en) 2005-05-31 2009-01-08 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US20060275933A1 (en) 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
WO2007027165A1 (en) 2005-06-09 2007-03-08 Axcelis Technologies, Inc. Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
JP4813480B2 (en) 2005-06-13 2011-11-09 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US20060278524A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
JP4853857B2 (en) 2005-06-15 2012-01-11 東京エレクトロン株式会社 Substrate processing method, computer-readable recording medium, and substrate processing apparatus
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
JP4728708B2 (en) 2005-06-17 2011-07-20 日本電気株式会社 Wiring board and manufacturing method thereof
JP4753173B2 (en) 2005-06-17 2011-08-24 株式会社フジキン Fluid control device
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007005582A (en) 2005-06-24 2007-01-11 Asm Japan Kk Substrate transfer apparatus and semiconductor substrate manufacturing apparatus mounted with the same
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
EP1907599A2 (en) 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
CN101222983B (en) 2005-07-09 2012-09-05 康邦权 Surface coating method for hydrophobic and superhydrophobic treatment in atmospheric pressure plasma
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7579285B2 (en) 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
JP2009500869A (en) 2005-07-11 2009-01-08 ブルックス オートメーション インコーポレイテッド Equipment with on-the-fly workpiece centering
US7762755B2 (en) 2005-07-11 2010-07-27 Brooks Automation, Inc. Equipment storage for substrate processing apparatus
TW200702647A (en) 2005-07-13 2007-01-16 Actherm Inc Heat conductive structure of electronic clinical thermometer and clinical thermometer with the same
US7271044B2 (en) 2005-07-21 2007-09-18 International Business Machines Corporation CMOS (complementary metal oxide semiconductor) technology
US7314838B2 (en) 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
JP2007035747A (en) 2005-07-25 2007-02-08 Sumitomo Electric Ind Ltd Wafer holder, and wafer prober equipped with the same
JP2007035899A (en) 2005-07-27 2007-02-08 Sumitomo Electric Ind Ltd Wafer holding body for wafer prober, and wafer prober mounting the same
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
TWI327339B (en) 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
US20070028842A1 (en) 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US20090045829A1 (en) 2005-08-04 2009-02-19 Sumitomo Electric Industries, Ltd. Wafer holder for wafer prober and wafer prober equipped with same
CN101238095B (en) 2005-08-04 2011-08-10 东曹株式会社 Metal-containing compound, method for producing the compound, metal-containing thin film and method for forming the thin film
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
WO2007018157A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited Substrate processing apparatus and substrate stage used therein
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7229873B2 (en) 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
JP4666215B2 (en) 2005-08-10 2011-04-06 株式会社ダイフク Article conveying device
JP4727667B2 (en) 2005-08-16 2011-07-20 株式会社日立国際電気 Thin film forming method and semiconductor device manufacturing method
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
US20090011145A1 (en) 2005-08-24 2009-01-08 Electronics And Telecommunications Research Instit Ute Method of Manufacturing Vanadium Oxide Thin Film
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
USD557226S1 (en) 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP4815600B2 (en) 2005-09-06 2011-11-16 株式会社テラセミコン Polycrystalline silicon thin film manufacturing method and manufacturing apparatus thereof
US20070056843A1 (en) 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056850A1 (en) 2005-09-13 2007-03-15 Applied Materials, Inc. Large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
JP2007088113A (en) 2005-09-21 2007-04-05 Sony Corp Manufacturing method of semiconductor device
JP5017950B2 (en) 2005-09-21 2012-09-05 株式会社Sumco Temperature control method for epitaxial growth equipment
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US7578616B2 (en) 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7754906B2 (en) 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
KR101153118B1 (en) 2005-10-12 2012-06-07 파나소닉 주식회사 Plasma processing apparatus and plasma processing method
US7294581B2 (en) 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7691205B2 (en) 2005-10-18 2010-04-06 Asm Japan K.K. Substrate-supporting device
US7638951B2 (en) 2005-10-27 2009-12-29 Luxim Corporation Plasma lamp with stable feedback amplification and method therefor
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7906910B2 (en) 2005-10-27 2011-03-15 Luxim Corporation Plasma lamp with conductive material positioned relative to RF feed
US7994721B2 (en) 2005-10-27 2011-08-09 Luxim Corporation Plasma lamp and methods using a waveguide body and protruding bulb
DE102005051994B4 (en) 2005-10-31 2011-12-01 Globalfoundries Inc. Deformation technique in silicon-based transistors using embedded semiconductor layers with atoms of large covalent radius
KR101019293B1 (en) 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and process for plasma-enhanced atomic layer deposition
US7695808B2 (en) 2005-11-07 2010-04-13 3M Innovative Properties Company Thermal transfer coating
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7561982B2 (en) 2005-11-10 2009-07-14 Shake Awake Products, LLC Physical attribute recording method and system
JP4940635B2 (en) 2005-11-14 2012-05-30 東京エレクトロン株式会社 Heating device, heat treatment device and storage medium
KR100660890B1 (en) 2005-11-16 2006-12-26 삼성전자주식회사 Method for forming silicon dioxide film using atomic layer deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100975268B1 (en) 2005-11-18 2010-08-11 가부시키가이샤 히다치 고쿠사이 덴키 Manufacturing method for semiconductor devices and substrate processing apparatus
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7629277B2 (en) 2005-11-23 2009-12-08 Honeywell International Inc. Frag shield
US7912439B2 (en) 2005-11-25 2011-03-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and operating method thereof
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US7963917B2 (en) 2005-12-05 2011-06-21 Echo Therapeutics, Inc. System and method for continuous non-invasive glucose monitoring
US7857506B2 (en) 2005-12-05 2010-12-28 Sencal Llc Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP4666496B2 (en) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4629574B2 (en) 2005-12-27 2011-02-09 日本発條株式会社 Substrate support device and manufacturing method thereof
KR101296911B1 (en) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 Apparatus For Fabricating Flat Panel Display, Detector Static Electricity Quantity Thereof And Method For Detecting
TWM292692U (en) 2005-12-29 2006-06-21 Powerchip Semiconductor Corp Thermocouple apparatus
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP5324026B2 (en) 2006-01-18 2013-10-23 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing apparatus control method
CN101370963B (en) 2006-01-19 2012-03-28 Asm美国公司 High temperature ald inlet manifold
JP2007191792A (en) 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
US20080254220A1 (en) 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
JP4854317B2 (en) 2006-01-31 2012-01-18 東京エレクトロン株式会社 Substrate processing method
US7736437B2 (en) 2006-02-03 2010-06-15 Integrated Materials, Incorporated Baffled liner cover
US20070187363A1 (en) 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2007211326A (en) 2006-02-13 2007-08-23 Nec Electronics Corp Film deposition apparatus and film deposition method
US8057603B2 (en) 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
KR101186740B1 (en) 2006-02-17 2012-09-28 삼성전자주식회사 Method for Fabricating Bank and Organic Thin Film Transistor Having the Bank
KR20070084683A (en) 2006-02-21 2007-08-27 국민대학교산학협력단 Molecular layer deposition
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7354849B2 (en) 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
KR101117749B1 (en) 2006-03-07 2012-03-16 씨케이디 가부시키 가이샤 Gas flow rate verification unit
KR101003446B1 (en) 2006-03-07 2010-12-28 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and substrate processing method
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7460003B2 (en) 2006-03-09 2008-12-02 International Business Machines Corporation Electronic fuse with conformal fuse element formed over a freestanding dielectric spacer
US7494882B2 (en) 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
KR20070093493A (en) 2006-03-14 2007-09-19 엘지이노텍 주식회사 Susceptor and semiconductor manufacturing device
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7410915B2 (en) 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
US20070234955A1 (en) 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
JP2007266464A (en) 2006-03-29 2007-10-11 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8097300B2 (en) 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US8012442B2 (en) 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7753584B2 (en) 2006-03-31 2010-07-13 Mesoscribe Technologies, Inc. Thermocouples
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7396491B2 (en) 2006-04-06 2008-07-08 Osram Sylvania Inc. UV-emitting phosphor and lamp containing same
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070248767A1 (en) 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7410852B2 (en) 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
FR2900276B1 (en) 2006-04-25 2008-09-12 St Microelectronics Sa PEALD DEPOSITION OF A SILICON MATERIAL
US20070251456A1 (en) 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7547633B2 (en) 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US7997795B2 (en) 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070266945A1 (en) 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
CN103007867B (en) 2006-05-26 2015-01-07 英尼奥斯制造业比利时有限公司 Loop type reactor for polymerization
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2007324350A (en) 2006-05-31 2007-12-13 Tokyo Electron Ltd Heat treatment method, heat treatment apparatus and substrate processing apparatus
US20070281105A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US7623940B2 (en) 2006-06-02 2009-11-24 The Boeing Company Direct-manufactured duct interconnects
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20070277735A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070281082A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080018004A1 (en) 2006-06-09 2008-01-24 Air Products And Chemicals, Inc. High Flow GaCl3 Delivery
US20090324971A1 (en) 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP5045000B2 (en) 2006-06-20 2012-10-10 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, film forming method, and storage medium
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7554103B2 (en) 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7494272B2 (en) 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US20080153311A1 (en) 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008004278A1 (en) 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Apparatus for concentrating/diluting specific gas and method of concentrating/diluting specific gas
JP4193883B2 (en) 2006-07-05 2008-12-10 住友電気工業株式会社 Metalorganic vapor phase epitaxy system
JP5027573B2 (en) 2006-07-06 2012-09-19 株式会社小松製作所 Temperature sensor and temperature controller
KR100799735B1 (en) 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
WO2008008737A2 (en) 2006-07-10 2008-01-17 Asyst Technologies, Inc. Variable lot size load port
KR100782484B1 (en) 2006-07-13 2007-12-05 삼성전자주식회사 Heat treatment equipment
WO2008010546A1 (en) 2006-07-20 2008-01-24 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100791334B1 (en) 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
FR2904328B1 (en) 2006-07-27 2008-10-24 St Microelectronics Sa DEPOSITION BY ADSORPTION UNDER AN ELECTRIC FIELD
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008041734A (en) 2006-08-02 2008-02-21 Sony Corp Semiconductor device and manufacturing method of semiconductor device
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
GB0615722D0 (en) 2006-08-08 2006-09-20 Boc Group Plc Apparatus for conveying a waste stream
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
TW200814131A (en) 2006-08-11 2008-03-16 Schott Ag External electrode fluorescent lamp with optimized operating efficiency
WO2008020267A2 (en) 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
CN101506561B (en) 2006-08-23 2012-04-18 株式会社堀场Stec Integrated gas panel apparatus
JP4961895B2 (en) 2006-08-25 2012-06-27 東京エレクトロン株式会社 Wafer transfer device, wafer transfer method, and storage medium
JP4904995B2 (en) 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 Load port device
US7690881B2 (en) 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US20080260963A1 (en) 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
KR100753020B1 (en) 2006-08-30 2007-08-30 한국화학연구원 Preparation of nanolaminates by atomic layer deposition for non-volatile floating gate memory devices
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
JP4943780B2 (en) 2006-08-31 2012-05-30 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
JP5138253B2 (en) 2006-09-05 2013-02-06 東京エレクトロン株式会社 Annealing equipment
ATE474215T1 (en) 2006-09-06 2010-07-15 Kistler Holding Ag TEMPERATURE SENSOR WITH EDITABLE FRONT
JP4762835B2 (en) 2006-09-07 2011-08-31 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, program, and program recording medium
JP2008066159A (en) 2006-09-08 2008-03-21 Noritsu Koki Co Ltd Plasma generator and workpiece treatment device using it
KR100761857B1 (en) 2006-09-08 2007-09-28 삼성전자주식회사 Method for forming fine pattern in semiconductor device and method for semiconductor device using the same
USD613829S1 (en) 2006-09-13 2010-04-13 Hayward Industries, Inc. Circular suction outlet assembly cover
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
JP2008074963A (en) 2006-09-21 2008-04-03 Fujifilm Corp Composition, film and method for producing the same
US7718553B2 (en) 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
JP4814038B2 (en) 2006-09-25 2011-11-09 株式会社日立国際電気 Substrate processing apparatus and method for attaching / detaching reaction vessel
USD634329S1 (en) 2006-09-26 2011-03-15 Margareta Wastrom Computer platform with forearm support
US8137048B2 (en) 2006-09-27 2012-03-20 Vserv Technologies Wafer processing system with dual wafer robots capable of asynchronous motion
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
DE102006046374B4 (en) 2006-09-29 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale A method of reducing paint poisoning during patterning of silicon nitride films in a semiconductor device
US7767262B2 (en) 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
TW200822253A (en) 2006-10-02 2008-05-16 Matsushita Electric Ind Co Ltd Component crimping apparatus control method, component crimping apparatus, and measuring tool
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
USD593969S1 (en) 2006-10-10 2009-06-09 Tokyo Electron Limited Processing chamber for manufacturing semiconductors
KR101480971B1 (en) 2006-10-10 2015-01-09 에이에스엠 아메리카, 인코포레이티드 Precursor delivery system
CN100451163C (en) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
JP2008108991A (en) 2006-10-27 2008-05-08 Daihen Corp Work holding mechanism
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
JP2008117903A (en) 2006-11-02 2008-05-22 Toshiba Corp Method of manufacturing semiconductor device
US7955516B2 (en) 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
US20100001409A1 (en) 2006-11-09 2010-01-07 Nxp, B.V. Semiconductor device and method of manufacturing thereof
KR101064354B1 (en) 2006-11-09 2011-09-14 가부시키가이샤 알박 Barrier film forming method
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US20080179104A1 (en) 2006-11-14 2008-07-31 Smith International, Inc. Nano-reinforced wc-co for improved properties
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7671134B2 (en) 2006-11-15 2010-03-02 Brady Worldwide, Inc. Compositions with improved adhesion to low surface energy substrates
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080118334A1 (en) 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7521340B2 (en) 2006-12-07 2009-04-21 Innovalight, Inc. Methods for creating a densified group IV semiconductor nanoparticle thin film
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20080202689A1 (en) 2006-12-08 2008-08-28 Tes Co., Ltd. Plasma processing apparatus
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US20080173238A1 (en) 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel
WO2008075280A1 (en) 2006-12-19 2008-06-26 Philips Intellectual Property & Standards Gmbh System for and method of heating objects in a production line
US8178436B2 (en) 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
JP4553891B2 (en) 2006-12-27 2010-09-29 シャープ株式会社 Semiconductor layer manufacturing method
JP2008166360A (en) 2006-12-27 2008-07-17 Hitachi Ltd Semiconductor integrated circuit device
US8120114B2 (en) 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
JP2008172083A (en) 2007-01-12 2008-07-24 Sharp Corp Vapor growth device and vapor growth method
DE102007003416A1 (en) 2007-01-16 2008-07-17 Hansgrohe Ag shower
JP5108489B2 (en) 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ Plasma processing method
WO2008089168A2 (en) 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
DE102007002962B3 (en) 2007-01-19 2008-07-31 Qimonda Ag Method for producing a dielectric layer and for producing a capacitor
JP5109376B2 (en) 2007-01-22 2012-12-26 東京エレクトロン株式会社 Heating device, heating method and storage medium
WO2008143716A2 (en) 2007-01-22 2008-11-27 Innovalight, Inc. In situ modification of group iv nanoparticles using gas phase nanoparticle reactors
US7550090B2 (en) 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080173239A1 (en) 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080182403A1 (en) 2007-01-26 2008-07-31 Atif Noori Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US20080179715A1 (en) 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
JP4270284B2 (en) 2007-01-30 2009-05-27 トヨタ自動車株式会社 Wheel state monitoring system and wheel state detection device
JP2008192643A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate treating equipment
DE102007004867B4 (en) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
USD576001S1 (en) 2007-02-16 2008-09-02 Brenda Brunderman Faux brick tool
JP4805862B2 (en) 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2008202107A (en) 2007-02-21 2008-09-04 Hitachi Kokusai Electric Inc Substrate-treating apparatus
US7871198B2 (en) 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
DE102007009914B4 (en) 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device in the form of a field effect transistor with an interlayer dielectric material with increased internal stress and method for producing the same
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080220619A1 (en) 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US8012259B2 (en) 2007-03-09 2011-09-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US7621672B2 (en) 2007-03-19 2009-11-24 Babcock & Wilcox Technical Services Y-12, Llc Thermocouple shield
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP5188496B2 (en) 2007-03-22 2013-04-24 パナソニック株式会社 Plasma processing apparatus and plasma processing method
US7763869B2 (en) 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
US7435987B1 (en) 2007-03-27 2008-10-14 Intel Corporation Forming a type I heterostructure in a group IV semiconductor
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
ITMI20070671A1 (en) 2007-04-02 2008-10-03 St Microelectronics Srl CIRCUIT ARCHITECTURE ON ORGANIC BASIS AND RELATIVE METHOD OF IMPLEMENTATION
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
KR100829759B1 (en) 2007-04-04 2008-05-15 삼성에스디아이 주식회사 Carbon nanotube hybrid systems using carbide derived carbon, electron emitter comprising the same and electron emission device comprising the electron emitter
US8362220B2 (en) 2007-04-13 2013-01-29 The Board Of Trustees Of The University Of Illinois Metal complex compositions and methods for making metal-containing films
TWI425587B (en) 2007-04-16 2014-02-01 Ulvac Inc Conveyer, and film forming apparatus and maintenance method thereof
JP5179476B2 (en) 2007-04-17 2013-04-10 株式会社アルバック Deposition equipment
US8357214B2 (en) 2007-04-26 2013-01-22 Trulite, Inc. Apparatus, system, and method for generating a gas from solid reactant pouches
JP4853374B2 (en) 2007-04-27 2012-01-11 東京エレクトロン株式会社 Coating and developing apparatus and method, and storage medium
US7575968B2 (en) 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8110099B2 (en) 2007-05-09 2012-02-07 Contech Stormwater Solutions Inc. Stormwater filter assembly
US7750429B2 (en) 2007-05-15 2010-07-06 International Business Machines Corporation Self-aligned and extended inter-well isolation structure
JP5103056B2 (en) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
GB0709723D0 (en) 2007-05-22 2007-06-27 Goodrich Control Sys Ltd Temperature sensing
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080299326A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8016542B2 (en) 2007-05-31 2011-09-13 Applied Materials, Inc. Methods and apparatus for extending the reach of a dual scara robot linkage
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20090017631A1 (en) 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US8084352B2 (en) 2007-06-04 2011-12-27 Panasonic Corporation Method of manufacturing semiconductor device
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US20080305014A1 (en) 2007-06-07 2008-12-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8142606B2 (en) 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US7955650B2 (en) 2007-06-07 2011-06-07 Asm Japan K.K. Method for forming dielectric film using porogen gas
US8168375B2 (en) 2007-06-08 2012-05-01 Tokyo Electron Limited Patterning method
KR101101785B1 (en) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 Patterning method
JP4427562B2 (en) 2007-06-11 2010-03-10 株式会社東芝 Pattern formation method
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
USD575713S1 (en) 2007-06-21 2008-08-26 Ratcliffe Peter W Vehicle accessory
CN100590804C (en) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 Method for depositing atomic layer and semiconductor device formed by the same
JP2011511881A (en) 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Precursor for silicon dioxide gap filler
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
US20090033907A1 (en) 2007-07-05 2009-02-05 Nikon Corporation Devices and methods for decreasing residual chucking forces
JP2009016672A (en) 2007-07-06 2009-01-22 Tokyo Electron Ltd Manufacturing method of semiconductor device, semiconductor device, semiconductor manufacturing device, and storage medium
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US7651269B2 (en) 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US7501292B2 (en) 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
JP4900110B2 (en) 2007-07-20 2012-03-21 東京エレクトロン株式会社 Chemical vaporization tank and chemical treatment system
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7720560B2 (en) 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
JP5058084B2 (en) 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 Method for manufacturing photoelectric conversion device and microwave plasma CVD apparatus
JP5024382B2 (en) 2007-08-03 2012-09-12 信越半導体株式会社 Susceptor and silicon epitaxial wafer manufacturing method
JP2009044023A (en) 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing device
US20090041984A1 (en) 2007-08-10 2009-02-12 Nano Terra Inc. Structured Smudge-Resistant Coatings and Methods of Making and Using the Same
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20090052498A1 (en) 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US8084372B2 (en) 2007-08-24 2011-12-27 Tokyo Electron Limited Substrate processing method and computer storage medium
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
WO2009028619A1 (en) 2007-08-30 2009-03-05 Tokyo Electron Limited Treating-gas supply system and treating apparatus
JP2009076881A (en) 2007-08-30 2009-04-09 Tokyo Electron Ltd Treatment gas supply system and processing device
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US20100255625A1 (en) 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
CA122619S (en) 2007-10-09 2010-01-27 Silvano Breda Shower strainer
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US20090075491A1 (en) 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP4986784B2 (en) 2007-09-18 2012-07-25 東京エレクトロン株式会社 Processing system control apparatus, processing system control method, and storage medium storing control program
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP5236983B2 (en) 2007-09-28 2013-07-17 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium
JP2009088421A (en) 2007-10-03 2009-04-23 Renesas Technology Corp Semiconductor device manufacturing method
US8041450B2 (en) 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US20090090382A1 (en) 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7615831B2 (en) 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
JP4730369B2 (en) 2007-10-30 2011-07-20 株式会社デンソー Navigation system
EP2910624B1 (en) 2007-10-31 2016-11-23 China Petroleum & Chemical Corporation Passivation process for a continuous reforming apparatus during the initial reaction
KR101369907B1 (en) 2007-10-31 2014-03-04 주성엔지니어링(주) Transistor and method of manufacturing the same
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US20090124131A1 (en) 2007-11-09 2009-05-14 Electronic Controls Design Thermocouple adapter
US20090122458A1 (en) 2007-11-14 2009-05-14 Varian Semiconductor Epuipment Associated, Inc. Embossed electrostatic chuck
CA123272S (en) 2007-11-19 2010-01-27 Silvano Breda Shower strainer
CA123273S (en) 2007-11-19 2010-01-27 Silvano Breda Shower strainer
US8272516B2 (en) 2007-11-19 2012-09-25 Caterpillar Inc. Fluid filter system
KR101412144B1 (en) 2007-11-26 2014-06-26 삼성전자 주식회사 Fabricating method of metal interconnection and fabricating method of image sensor using the same
US8021723B2 (en) 2007-11-27 2011-09-20 Asm Japan K.K. Method of plasma treatment using amplitude-modulated RF power
EP2065927B1 (en) 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
JP5314700B2 (en) 2007-11-28 2013-10-16 コーニンクレッカ フィリップス エヌ ヴェ Dielectric barrier discharge lamp
KR20090055443A (en) 2007-11-28 2009-06-02 주식회사 케이씨텍 Atomic layer deposition apparatus
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
JP5464843B2 (en) 2007-12-03 2014-04-09 株式会社半導体エネルギー研究所 Method for manufacturing SOI substrate
US20090139657A1 (en) 2007-12-04 2009-06-04 Applied Materials, Inc. Etch system
WO2009072252A1 (en) 2007-12-06 2009-06-11 Shin-Etsu Handotai Co., Ltd. Susceptor for vapor phase epitaxy and vapor phase epitaxy apparatus
US7807566B2 (en) 2007-12-07 2010-10-05 Asm Japan K.K. Method for forming dielectric SiOCH film having chemical stability
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US8628616B2 (en) 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
KR100956247B1 (en) 2007-12-13 2010-05-06 삼성엘이디 주식회사 Metal Organic Chemical Vapor Deposition Apparatus
US8003174B2 (en) 2007-12-13 2011-08-23 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture
CN101896992B (en) 2007-12-17 2013-01-30 株式会社Orc制作所 Discharge lamp
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP5449189B2 (en) 2007-12-19 2014-03-19 ラム リサーチ コーポレーション Vapor phase repair and pore sealing of low-k dielectrics
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
JP3140111U (en) 2007-12-21 2008-03-13 日本エー・エス・エム株式会社 Gas supply equipment for semiconductor manufacturing equipment
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
KR101573954B1 (en) 2007-12-21 2015-12-02 램 리써치 코포레이션 Photoresist double patterning
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US20090197015A1 (en) 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8496377B2 (en) 2007-12-31 2013-07-30 Covidien Lp Thermometer having molded probe component
KR101013413B1 (en) 2008-01-07 2011-02-14 한국과학기술연구원 Method for the fabrication of transparent gas barrier film using plasma surface treatment
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US20110049100A1 (en) 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
US20090186571A1 (en) 2008-01-22 2009-07-23 Asm America, Inc. Air ventilation system
WO2009099776A1 (en) 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
GB0802486D0 (en) 2008-02-12 2008-03-19 Gilbert Patrick C Warm water economy device
KR101043211B1 (en) 2008-02-12 2011-06-22 신웅철 Batch type ald
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
JP2009194248A (en) 2008-02-15 2009-08-27 Tokyo Electron Ltd Pattern forming method, semiconductor manufacturing apparatus and storage medium
CN101772833B (en) 2008-02-20 2012-04-18 东京毅力科创株式会社 Gas supply device
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
JP5454467B2 (en) 2008-02-27 2014-03-26 東京エレクトロン株式会社 Plasma etching processing apparatus and plasma etching processing method
KR100968132B1 (en) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 Rotational antenna and semiconductor device including the same
US7727866B2 (en) 2008-03-05 2010-06-01 Varian Semiconductor Equipment Associates, Inc. Use of chained implants in solar cells
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
USD585968S1 (en) 2008-03-06 2009-02-03 West Coast Washers, Inc. Pipe flashing
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
EP2099067A1 (en) 2008-03-07 2009-09-09 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Process for adjusting the friction coefficient between surfaces of two solid objects
JP5507097B2 (en) 2008-03-12 2014-05-28 富士フイルム株式会社 Perovskite oxide and method for producing the same, piezoelectric body, piezoelectric element, and liquid ejection device
GB2458507A (en) 2008-03-20 2009-09-23 Tecvac Ltd Oxidation of non ferrous metal components
US7695619B2 (en) 2008-03-21 2010-04-13 Pentair Filtration, Inc. Modular drinking water filtration system with adapter rings for replaceable cartridges to assure proper fit
JP2009239082A (en) 2008-03-27 2009-10-15 Tokyo Electron Ltd Gas feeding device, treating device, and treating method
US20090246399A1 (en) 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
USD590933S1 (en) 2008-03-31 2009-04-21 Mcp Industries, Inc. Vent cap device
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
JP5007827B2 (en) 2008-04-04 2012-08-22 信越化学工業株式会社 Double pattern formation method
US20110027725A1 (en) 2008-04-04 2011-02-03 Kiyoharu Tsutsumi Polyol compound for photoresist
US20090250955A1 (en) 2008-04-07 2009-10-08 Applied Materials, Inc. Wafer transfer blade
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
WO2009129391A2 (en) 2008-04-17 2009-10-22 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8900422B2 (en) 2008-04-23 2014-12-02 Intermolecular, Inc. Yttrium and titanium high-K dielectric film
US20090269506A1 (en) 2008-04-24 2009-10-29 Seiji Okura Method and apparatus for cleaning of a CVD reactor
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI491657B (en) 2008-04-28 2015-07-11 Basf Se Low-k dielectrics obtainable by twin polymerization
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7632549B2 (en) 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP2011525682A (en) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Method and apparatus for pulsed plasma processing utilizing a time-resolved tuning scheme for RF power supply
US8333842B2 (en) 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
WO2009146744A1 (en) 2008-06-05 2009-12-10 Osram Gesellschaft mit beschränkter Haftung Method for treating surfaces, lamp for said method, and irradiation system having said lamp
KR101711356B1 (en) 2008-06-05 2017-02-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
JP2009295932A (en) 2008-06-09 2009-12-17 Canon Inc Exposure device and device manufacturing method
US7915667B2 (en) 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
US20090308315A1 (en) 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
WO2009154889A2 (en) 2008-06-20 2009-12-23 Applied Materials, Inc. Gas distribution showerhead skirt
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
TW201001274A (en) 2008-06-27 2010-01-01 Topseed Technology Corp Portable image sharing system
KR101036605B1 (en) 2008-06-30 2011-05-24 세메스 주식회사 Substrate supporting unit and single type substrate polishing apparatus using the same
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8702867B2 (en) 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
JP2010021204A (en) 2008-07-08 2010-01-28 Toshiba Corp Semiconductor device and manufacturing method thereof
US8058138B2 (en) 2008-07-17 2011-11-15 Micron Technology, Inc. Gap processing
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
US20100025796A1 (en) 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
KR20100015213A (en) 2008-08-04 2010-02-12 삼성전기주식회사 Showerhead and chemical vapor deposition apparatus having the same
US8328585B2 (en) 2008-08-07 2012-12-11 Texas Instruments Incorporated Modulated deposition process for stress control in thick TiN films
USD600223S1 (en) 2008-08-07 2009-09-15 Ravinder Aggarwal Susceptor ring
CN102160188B (en) 2008-08-08 2016-10-26 康奈尔研究基金会股份有限公司 Inorganic body many knot materials and preparation method thereof mutually
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8263502B2 (en) 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
JP5338335B2 (en) 2008-08-13 2013-11-13 東京エレクトロン株式会社 Opening / closing device and probe device of transfer container
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5593472B2 (en) 2008-08-27 2014-09-24 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JP5188326B2 (en) 2008-08-28 2013-04-24 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100055442A1 (en) 2008-09-03 2010-03-04 International Business Machines Corporation METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
JP2010087467A (en) 2008-09-04 2010-04-15 Tokyo Electron Ltd Film deposition apparatus, substrate processing apparatus, film deposition method, and recording medium with recorded program for implementing the film deposition method
JP5276388B2 (en) 2008-09-04 2013-08-28 東京エレクトロン株式会社 Film forming apparatus and substrate processing apparatus
JP5226438B2 (en) 2008-09-10 2013-07-03 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
USD643055S1 (en) 2008-09-11 2011-08-09 Asm Japan K.K. Heater block for use in a semiconductor processing tool
US8731706B2 (en) 2008-09-12 2014-05-20 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20100065758A1 (en) 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US20100075037A1 (en) 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
JP2010077508A (en) 2008-09-26 2010-04-08 Tokyo Electron Ltd Film deposition apparatus and substrate processing apparatus
DE102008049353A1 (en) 2008-09-29 2010-04-08 Vat Holding Ag vacuum valve
JP4638550B2 (en) 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US20100090149A1 (en) 2008-10-01 2010-04-15 Compressor Engineering Corp. Poppet valve assembly, system, and apparatus for use in high speed compressor applications
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
CN103346116B (en) 2008-10-07 2016-01-13 应用材料公司 For effectively removing the equipment of halogen residues from etching substrates
KR101627297B1 (en) 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 Plasma processing member, deposition apparatus including the same and depositing method using the same
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
CN102187432B (en) 2008-10-14 2013-07-31 应用材料公司 Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US20110254052A1 (en) 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
JP2010097834A (en) 2008-10-17 2010-04-30 Ushio Inc Backlight unit
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8114734B2 (en) 2008-10-21 2012-02-14 United Microelectronics Corp. Metal capacitor and method of making the same
US8697189B2 (en) 2008-10-21 2014-04-15 Intevac, Inc. Method and apparatus for precision surface modification in nano-imprint lithography
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
CN102197459A (en) 2008-10-27 2011-09-21 应用材料股份有限公司 Vapor deposition method for ternary compounds
US8185443B2 (en) 2008-10-27 2012-05-22 Ebay, Inc. Method and apparatus for authorizing a payment via a remote device
EP2353176A4 (en) 2008-11-07 2013-08-28 Asm Inc Reaction chamber
JP5062143B2 (en) 2008-11-10 2012-10-31 東京エレクトロン株式会社 Deposition equipment
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010153769A (en) 2008-11-19 2010-07-08 Tokyo Electron Ltd Substrate position sensing device, substrate position sensing method, film forming device, film forming method, program, and computer readable storage medium
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101004434B1 (en) 2008-11-26 2010-12-28 세메스 주식회사 Substrate supporting unit, and apparatus and method for polishing substrate using the same
US8714169B2 (en) 2008-11-26 2014-05-06 Semes Co. Ltd. Spin head, apparatus for treating substrate, and method for treating substrate
US9714465B2 (en) 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
US8138676B2 (en) 2008-12-01 2012-03-20 Mills Robert L Methods and systems for dimmable fluorescent lighting using multiple frequencies
US8252659B2 (en) 2008-12-02 2012-08-28 Imec Method for producing interconnect structures for integrated circuits
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP5390846B2 (en) 2008-12-09 2014-01-15 東京エレクトロン株式会社 Plasma etching apparatus and plasma cleaning method
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR20100075070A (en) 2008-12-24 2010-07-02 삼성전자주식회사 Fabrication method of nonvolatile memory device
JP2010157536A (en) 2008-12-26 2010-07-15 Nuflare Technology Inc Method for manufacturing susceptor
US8816424B2 (en) 2008-12-26 2014-08-26 SK Hynix Inc. Nonvolatile memory device
JP5295095B2 (en) 2008-12-29 2013-09-18 ケー.シー.テック カンパニー リミテッド Atomic layer deposition equipment
US7964490B2 (en) 2008-12-31 2011-06-21 Intel Corporation Methods of forming nickel sulfide film on a semiconductor device
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US20100176513A1 (en) 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US20100178137A1 (en) 2009-01-11 2010-07-15 Applied Materials, Inc. Systems, apparatus and methods for moving substrates
US8151814B2 (en) 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
USD606952S1 (en) 2009-01-16 2009-12-29 Asm Genitech Korea Ltd. Plasma inducing plate for semiconductor deposition apparatus
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8680650B2 (en) 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
CN102308380B (en) 2009-02-04 2014-06-04 马特森技术有限公司 Electrocstatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8307472B1 (en) 2009-02-04 2012-11-13 Thomas Jason Saxon Light emitting diode system
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
KR20110120290A (en) 2009-02-16 2011-11-03 미쓰비시 쥬시 가부시끼가이샤 Process for producing multilayered gas-barrier film
CN102341891A (en) 2009-03-04 2012-02-01 富士电机株式会社 Film forming method and film forming apparatus
JP2010205967A (en) 2009-03-04 2010-09-16 Tokyo Electron Ltd Plasma etching method, plasma etching device, and computer storage medium
KR101049801B1 (en) 2009-03-05 2011-07-15 삼성모바일디스플레이주식회사 Method for manufacturing polycrystalline silicon layer and atomic layer deposition apparatus used therein
JP2010239115A (en) 2009-03-10 2010-10-21 Hitachi Kokusai Electric Inc Substrate processing apparatus
JP5221421B2 (en) 2009-03-10 2013-06-26 東京エレクトロン株式会社 Shower head and plasma processing apparatus
JP5275094B2 (en) 2009-03-13 2013-08-28 東京エレクトロン株式会社 Substrate processing method
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
EP2230703A3 (en) 2009-03-18 2012-05-02 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus and manufacturing method of lighting device
KR101583608B1 (en) 2009-03-24 2016-01-08 삼성전자 주식회사 Fabficating method of silicon oxide using inorgarnic silicon precursor and fabficating method of semiconductor device using the same
US20120006489A1 (en) 2009-03-26 2012-01-12 Shogo Okita Plasma processing apparatus and plasma processing method
US8118484B2 (en) 2009-03-31 2012-02-21 Rosemount Inc. Thermocouple temperature sensor with connection detection circuitry
JP5292160B2 (en) 2009-03-31 2013-09-18 東京エレクトロン株式会社 Gas flow path structure and substrate processing apparatus
US8284601B2 (en) 2009-04-01 2012-10-09 Samsung Electronics Co., Ltd. Semiconductor memory device comprising three-dimensional memory cell array
JP5647792B2 (en) 2009-04-01 2015-01-07 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Method for manufacturing capacitor insulating film for capacitor
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5338443B2 (en) 2009-04-14 2013-11-13 信越半導体株式会社 Manufacturing method of SOI wafer
US8404499B2 (en) 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US20100266765A1 (en) 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (en) 2009-05-01 2013-02-06 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
KR20100032812A (en) 2009-05-11 2010-03-26 주식회사 테스 Cvd apparatus and substrate processing system
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
WO2010134176A1 (en) 2009-05-20 2010-11-25 株式会社 東芝 Method for forming uneven pattern
US8004198B2 (en) 2009-05-28 2011-08-23 Osram Sylvania Inc. Resetting an electronic ballast in the event of fault
KR101064210B1 (en) 2009-06-01 2011-09-14 한국생산기술연구원 A showerhead for film depositing vacuum equipments
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
WO2010143306A1 (en) 2009-06-12 2010-12-16 株式会社 東芝 Nonvolatile semiconductor storage device
USD652896S1 (en) 2009-06-17 2012-01-24 Neoperl Gmbh Faucet stream former
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
JP5285519B2 (en) 2009-07-01 2013-09-11 パナソニック株式会社 Semiconductor device and manufacturing method thereof
KR101110080B1 (en) 2009-07-08 2012-03-13 주식회사 유진테크 Method for processing substrate
US20110006406A1 (en) 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
US8546276B2 (en) 2009-07-14 2013-10-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition of group IV metal-containing films at high temperature
JP2011023718A (en) 2009-07-15 2011-02-03 Asm Japan Kk METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD
CN102470637B (en) 2009-07-17 2016-04-06 三井化学株式会社 Lamilated body and manufacture method thereof
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
JP5618505B2 (en) 2009-07-30 2014-11-05 テクノクオーツ株式会社 Recycling method of quartz glass member
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8563085B2 (en) 2009-08-18 2013-10-22 Samsung Electronics Co., Ltd. Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
KR101031226B1 (en) 2009-08-21 2011-04-29 에이피시스템 주식회사 Heater block of rapid thermal processing apparatus
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
USD634719S1 (en) 2009-08-27 2011-03-22 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
KR20120090996A (en) 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 Method of decontamination of process chamber after in-situ chamber clean
WO2011026064A1 (en) 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
JP2011054708A (en) 2009-09-01 2011-03-17 Elpida Memory Inc Insulating film, method of manufacturing the same, semiconductor device, and data processing system
JP5457109B2 (en) 2009-09-02 2014-04-02 東京エレクトロン株式会社 Plasma processing equipment
US9012333B2 (en) 2009-09-09 2015-04-21 Spansion Llc Varied silicon richness silicon nitride formation
US9076634B2 (en) 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5504793B2 (en) 2009-09-26 2014-05-28 東京エレクトロン株式会社 Heat treatment apparatus and cooling method
TW201131005A (en) 2009-09-29 2011-09-16 Tokyo Electron Ltd Process for production of ni film
JP5467007B2 (en) 2009-09-30 2014-04-09 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US8544317B2 (en) 2009-10-09 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus with simultaneously movable stages
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102687243B (en) 2009-10-26 2016-05-11 Asm国际公司 Be used for the synthetic and use of the precursor of the film ALD that contains VA family element
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (en) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8854734B2 (en) 2009-11-12 2014-10-07 Vela Technologies, Inc. Integrating optical system and methods
HUE032915T2 (en) 2009-11-13 2017-11-28 Basf Se Method for purifying a chlorine supply
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8329585B2 (en) 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
EP2336824A1 (en) 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
KR20110055912A (en) 2009-11-20 2011-05-26 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
AU329418S (en) 2009-11-23 2010-01-29 Pusher tool
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US8328494B2 (en) 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
KR20110078326A (en) 2009-12-31 2011-07-07 삼성전자주식회사 Method of forming a dielectric layer and manufacturing a semiconductor device using the same
USD653734S1 (en) 2010-01-08 2012-02-07 Bulk Tank, Inc. Screened gasket
JP2011166106A (en) 2010-01-13 2011-08-25 Renesas Electronics Corp Semiconductor device manufacturing method, and semiconductor device
JP5549441B2 (en) 2010-01-14 2014-07-16 東京エレクトロン株式会社 Holder mechanism, load lock device, processing device, and transport mechanism
USD651291S1 (en) 2010-01-24 2011-12-27 Glv International (1995) Ltd. Duct connector ring
US20110183269A1 (en) 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
US8480942B2 (en) 2010-01-27 2013-07-09 The Board Of Trustees Of The University Of Illinois Method of forming a patterned layer of a material on a substrate
US20110180233A1 (en) 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
JP5107372B2 (en) 2010-02-04 2012-12-26 東京エレクトロン株式会社 Heat treatment apparatus, coating and developing treatment system, heat treatment method, coating and developing treatment method, and recording medium on which program for executing the heat treatment method or coating and developing treatment method is recorded
KR101259862B1 (en) 2010-02-05 2013-05-02 도쿄엘렉트론가부시키가이샤 Substrate holder, substrate transfer apparatus, and substrate processing apparatus
JP2011162830A (en) 2010-02-09 2011-08-25 Fuji Electric Co Ltd Film-forming method with plasma cvd technique, substrate having film formed thereon, and film-forming apparatus
KR101080604B1 (en) 2010-02-09 2011-11-04 성균관대학교산학협력단 atomic layer etching apparatus and etching method using the same
CN102763199B (en) 2010-02-12 2016-01-20 应用材料公司 The air-flow improvement for the treatment of chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2011181681A (en) 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition method and atomic layer deposition device
US8241991B2 (en) 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
KR101810532B1 (en) 2010-03-12 2017-12-19 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition chamber with multi inject
FR2957716B1 (en) 2010-03-18 2012-10-05 Soitec Silicon On Insulator METHOD FOR FINISHING A SEMICONDUCTOR TYPE SUBSTRATE ON INSULATION
US8039388B1 (en) 2010-03-24 2011-10-18 Taiwam Semiconductor Manufacturing Company, Ltd. Main spacer trim-back method for replacement gate process
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110232677A1 (en) 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
EP2378543B1 (en) 2010-04-14 2015-05-20 ASM Genitech Korea Ltd. Method of forming semiconductor patterns
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CZ303655B6 (en) 2010-04-16 2013-01-30 Skutchanová@Zuzana Process for preparing grinding surface of glass cosmetic tool
KR101121858B1 (en) 2010-04-27 2012-03-21 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
CH702999A1 (en) 2010-04-29 2011-10-31 Amt Ag A device for coating substrates by high-speed flame spraying.
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8707754B2 (en) 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
TWI551718B (en) 2010-04-30 2016-10-01 應用材料股份有限公司 Vertical inline cvd system
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US20110294075A1 (en) 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
US8513129B2 (en) 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
JP5889288B2 (en) 2010-05-28 2016-03-22 エクソンモービル アップストリーム リサーチ カンパニー Integrated adsorber head and valve design and associated swing adsorption method
CN102939648B (en) 2010-06-01 2015-05-27 松下电器产业株式会社 Plasma processing device and plasma processing method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
WO2011156576A1 (en) 2010-06-09 2011-12-15 The Procter & Gamble Company Semi-continuous feed production of liquid personal care compositions
JP5525339B2 (en) 2010-06-10 2014-06-18 ナブテスコ株式会社 Robot arm
TWI529808B (en) 2010-06-10 2016-04-11 Asm國際股份有限公司 Method for selectively depositing film on substrate
JP2012004401A (en) 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
WO2012011423A1 (en) 2010-07-22 2012-01-26 株式会社日立国際電気 Device for treating substrate and method for producing semiconductor device
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
JP5490753B2 (en) 2010-07-29 2014-05-14 東京エレクトロン株式会社 Trench filling method and film forming system
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
JP2012038819A (en) 2010-08-04 2012-02-23 Sanyo Electric Co Ltd Semiconductor laser device and optical apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9449858B2 (en) 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
US9783885B2 (en) 2010-08-11 2017-10-10 Unit Cell Diamond Llc Methods for producing diamond mass and apparatus therefor
KR101658492B1 (en) 2010-08-13 2016-09-21 삼성전자주식회사 Method for forming fine patterns and method for manufacturing a semiconductor device by using the same
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8685845B2 (en) 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
CN102383106B (en) 2010-09-03 2013-12-25 甘志银 Metal organic chemical vapour deposition reaction chamber for fast removing residual reaction gas
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
EP2426233B1 (en) 2010-09-03 2013-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
US20120058630A1 (en) 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
JP2012080095A (en) 2010-09-10 2012-04-19 Elpida Memory Inc Semiconductor device and manufacturing method therefor
JP5560147B2 (en) 2010-09-13 2014-07-23 東京エレクトロン株式会社 Film-forming method and semiconductor device manufacturing method
KR20120029291A (en) 2010-09-16 2012-03-26 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8722548B2 (en) 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
US8076250B1 (en) 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5905476B2 (en) 2010-10-19 2016-04-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Quartz shower head for NANOCUREUV chamber
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
USD654884S1 (en) 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
KR101915138B1 (en) 2010-10-21 2018-11-06 닛산 가가쿠 가부시키가이샤 Composition for forming overlaying film for resist for euv lithography
US8845806B2 (en) 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
JP2013542613A (en) 2010-10-27 2013-11-21 アプライド マテリアルズ インコーポレイテッド Method and apparatus for controlling roughness of photoresist line width
US8926788B2 (en) 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
JP5544343B2 (en) 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
CN103201408A (en) 2010-11-05 2013-07-10 思诺斯技术公司 Radical reactor with multiple plasma chambers
JP5722595B2 (en) 2010-11-11 2015-05-20 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US20120121823A1 (en) 2010-11-12 2012-05-17 Applied Materials, Inc. Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
WO2012071288A1 (en) 2010-11-22 2012-05-31 E. I. Du Pont De Nemours And Company Semiconductor inks, films, coated substrates and methods of preparation
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
WO2012077590A1 (en) 2010-12-09 2012-06-14 株式会社アルバック Apparatus for forming organic thin film
KR101866622B1 (en) 2010-12-20 2018-06-11 에베 그룹 에. 탈너 게엠베하 Receiving means for mounting of wafers
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR20140003495A (en) 2010-12-20 2014-01-09 어플라이드 머티어리얼스, 인코포레이티드 In-situ low-k capping to improve integration damage resistance
JP5735304B2 (en) 2010-12-21 2015-06-17 株式会社日立国際電気 Substrate processing apparatus, substrate manufacturing method, semiconductor device manufacturing method, and gas supply pipe
US8314034B2 (en) 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
JP5675331B2 (en) 2010-12-27 2015-02-25 東京エレクトロン株式会社 How to fill trench
JP2012138500A (en) 2010-12-27 2012-07-19 Tokyo Electron Ltd Method for forming silicon oxide film on tungsten film or tungsten oxide film and film forming device
JP5573666B2 (en) 2010-12-28 2014-08-20 東京エレクトロン株式会社 Raw material supply apparatus and film forming apparatus
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
FR2970110B1 (en) 2010-12-29 2013-09-06 St Microelectronics Crolles 2 PROCESS FOR PRODUCING A POLYCRYSTALLINE DIELECTRIC LAYER
TWI553146B (en) 2010-12-30 2016-10-11 應用材料股份有限公司 Thin film deposition using microwave plasma
JP5609663B2 (en) 2011-01-18 2014-10-22 旭硝子株式会社 Glass substrate holding means and EUV mask blank manufacturing method using the same
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5782279B2 (en) 2011-01-20 2015-09-24 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
US8398773B2 (en) 2011-01-21 2013-03-19 Asm International N.V. Thermal processing furnace and liner for the same
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR20130118963A (en) 2011-01-26 2013-10-30 어플라이드 머티어리얼스, 인코포레이티드 Plasma treatment of silicon nitride and silicon oxynitride
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US20120263876A1 (en) 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN202259160U (en) 2011-02-21 2012-05-30 盛陶盟(香港)有限公司 Ceramic glass composite electrode and fluorescent lamp thereof
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
JP2012195562A (en) 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc Attachment for substrate of different diameter, substrate processing apparatus, and method of manufacturing substrate or semiconductor device
WO2012118757A1 (en) 2011-03-01 2012-09-07 Exxonmobil Upstream Research Company Apparatus and systems having a reciprocating valve head assembly and swing adsorption processes related thereto
US8466411B2 (en) 2011-03-03 2013-06-18 Asm Japan K.K. Calibration method of UV sensor for UV curing
CN102655086B (en) 2011-03-03 2015-07-01 东京毅力科创株式会社 Semiconductor device manufacturing method
US8501605B2 (en) 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
JP5820731B2 (en) 2011-03-22 2015-11-24 株式会社日立国際電気 Substrate processing apparatus and solid material replenishment method
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
JP5203482B2 (en) 2011-03-28 2013-06-05 株式会社小松製作所 Heating device
US20140020619A1 (en) 2011-03-31 2014-01-23 Benjamin Vincent Method for Growing a Monocrystalline Tin-Containing Semiconductor Material
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
JPWO2012141067A1 (en) 2011-04-15 2014-07-28 タツモ株式会社 Wafer changer and wafer support hand
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
USD655055S1 (en) 2011-04-28 2012-02-28 Carolyn Grace Toll Pet outfit
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5730670B2 (en) * 2011-05-27 2015-06-10 株式会社Adeka Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide
JP2013012719A (en) 2011-05-31 2013-01-17 Hitachi Kokusai Electric Inc Substrate processing apparatus and substrate processing method
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US8692319B2 (en) 2011-06-03 2014-04-08 Infineon Technologies Austria Ag Lateral trench MESFET
KR101995602B1 (en) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 Metal and silicon containing capping layers for interconnects
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8927318B2 (en) 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US9175392B2 (en) 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
KR101692362B1 (en) * 2011-06-22 2017-01-05 삼성전자 주식회사 Method for manufacturing semiconductor device using etch stop dielectric layer
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR102082627B1 (en) 2011-07-06 2020-02-28 웨인 스테이트 유니버시티 Atomic layer deposition of transition metal thin films
US10707082B2 (en) 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US20130014697A1 (en) 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
CN103620751B (en) 2011-07-12 2017-08-01 松下知识产权经营株式会社 Nitride semiconductor device and its manufacture method
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
JP5940342B2 (en) 2011-07-15 2016-06-29 東京エレクトロン株式会社 Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium
US9630127B2 (en) 2011-07-19 2017-04-25 Hayward Industries, Inc. Filter vessel assembly and related methods of use
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
JP5789149B2 (en) 2011-07-21 2015-10-07 Jswアフティ株式会社 Atomic layer growth method and atomic layer growth apparatus
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
US8716072B2 (en) 2011-07-25 2014-05-06 International Business Machines Corporation Hybrid CMOS technology with nanowire devices and double gated planar devices
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
CN102931083B (en) 2011-08-10 2015-07-29 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
TWI492298B (en) 2011-08-26 2015-07-11 Applied Materials Inc Double patterning etching process
US8614047B2 (en) 2011-08-26 2013-12-24 International Business Machines Corporation Photodecomposable bases and photoresist compositions
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US20130217241A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US20130217240A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
JP2013062361A (en) 2011-09-13 2013-04-04 Tokyo Electron Ltd Heat treatment apparatus, temperature control system, heat treatment method, temperature control method, and record medium recording program for executing heat treatment method or temperature control method
US9177872B2 (en) 2011-09-16 2015-11-03 Micron Technology, Inc. Memory cells, semiconductor devices, systems including such cells, and methods of fabrication
US20130068970A1 (en) 2011-09-21 2013-03-21 Asm Japan K.K. UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
JP5549655B2 (en) 2011-09-26 2014-07-16 株式会社安川電機 Hand and robot
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US20130082274A1 (en) 2011-09-29 2013-04-04 Bridgelux, Inc. Light emitting devices having dislocation density maintaining buffer layers
US9644796B2 (en) 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
JP6042656B2 (en) 2011-09-30 2016-12-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
CN103889888B (en) 2011-10-03 2017-04-26 Asml荷兰有限公司 Method to provide a patterned orientation template for a self-assemblable polymer
JP6295198B2 (en) 2011-10-10 2018-03-14 ブルーワー サイエンス アイ エヌ シー. Spin-on carbon composition for lithographic processing
JP6202798B2 (en) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Atomic layer deposition of antimony oxide films.
US9281231B2 (en) 2011-10-12 2016-03-08 Ferrotec (Usa) Corporation Non-contact magnetic drive assembly with mechanical stop elements
USD695240S1 (en) 2011-10-20 2013-12-10 Tokyo Electron Limited Arm for wafer transportation for manufacturing semiconductor
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI606136B (en) 2011-11-04 2017-11-21 Asm國際股份有限公司 Method for depositing doped silicon oxide and atomic layer deposition process for depositing doped silicon oxide on substrate
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US20130122712A1 (en) 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP5694129B2 (en) 2011-11-29 2015-04-01 株式会社東芝 Semiconductor device and manufacturing method thereof
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
WO2013089711A1 (en) 2011-12-14 2013-06-20 Intel Corporation Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
KR101891458B1 (en) 2011-12-20 2018-08-24 인텔 코포레이션 Semiconductor device having iii-v semiconductor material layer
USD691974S1 (en) 2011-12-22 2013-10-22 Tokyo Electron Limited Holding pad for transferring a wafer
KR101650416B1 (en) 2011-12-23 2016-08-23 인텔 코포레이션 Non-planar gate all-around device and method of fabrication thereof
JP5679581B2 (en) 2011-12-27 2015-03-04 東京エレクトロン株式会社 Deposition method
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US20130161629A1 (en) 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR20130076979A (en) 2011-12-29 2013-07-09 삼성전자주식회사 Semiconductor device and method of manufacturing the same
CN102505114A (en) 2012-01-03 2012-06-20 西安电子科技大学 Preparation method of graphene on SiC substrate based on Ni film-aided annealing
TW201330086A (en) 2012-01-05 2013-07-16 Duan-Ren Yu Etching apparatus
US8659066B2 (en) 2012-01-06 2014-02-25 International Business Machines Corporation Integrated circuit with a thin body field effect transistor and capacitor
USD676943S1 (en) 2012-01-11 2013-02-26 Bill Kluss Pipe end cap
US20130183814A1 (en) 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
USD665055S1 (en) 2012-01-24 2012-08-07 Asm Ip Holding B.V. Shower plate
JP5601331B2 (en) 2012-01-26 2014-10-08 株式会社安川電機 Robot hand and robot
US9466524B2 (en) 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
US9177826B2 (en) 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
USD698904S1 (en) 2012-02-08 2014-02-04 Asm Ip Holding B.V. Vacuum flange ring
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
JP5912637B2 (en) 2012-02-17 2016-04-27 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN104284997B (en) 2012-03-09 2016-08-17 气体产品与化学公司 The method preparing silicon-containing film on film transistor device
US10319862B2 (en) 2012-03-09 2019-06-11 Versum Materials Us, Llc Barrier materials for display devices
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
USD715410S1 (en) 2012-03-21 2014-10-14 Blucher Metal A/S Roof drain
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US20130269612A1 (en) 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US8535767B1 (en) 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
KR102104688B1 (en) 2012-04-19 2020-05-29 인테벡, 인코포레이티드 Dual-mask arrangement for solar cell fabrication
US10679883B2 (en) 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
US8647439B2 (en) 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
PT2852469T (en) 2012-04-26 2019-07-31 Intevac Inc System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP2013235912A (en) 2012-05-08 2013-11-21 Tokyo Electron Ltd Method for etching substrate to be processed and plasma etching device
TWI522490B (en) 2012-05-10 2016-02-21 應用材料股份有限公司 Method of depositing a film on a substrate using microwave plasma chemical vapor deposition
US8846543B2 (en) 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
US20130320429A1 (en) 2012-05-31 2013-12-05 Asm Ip Holding B.V. Processes and structures for dopant profile control in epitaxial trench fill
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US20150099065A1 (en) 2012-06-07 2015-04-09 Soitec Gas injection components for deposition systems, deposition systems including such components, and related methods
US20130330911A1 (en) 2012-06-08 2013-12-12 Yi-Chiau Huang Method of semiconductor film stabilization
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
USD723330S1 (en) 2012-06-11 2015-03-03 Barry Dean York Debris mask and basin
US9984866B2 (en) 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20130337653A1 (en) 2012-06-15 2013-12-19 Asm Ip Holding B.V. Semiconductor processing apparatus with compact free radical source
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
USD705762S1 (en) 2012-07-04 2014-05-27 Sercomm Corporation Communication device having multi-module assembly
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9630284B2 (en) 2012-07-12 2017-04-25 Lincoln Global, Inc. Configurable welding table and force indicating clamp
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
KR20150036114A (en) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Organosilane precursors for ald/cvd silicon-containing film applications
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9911676B2 (en) 2012-07-27 2018-03-06 Asm Ip Holding B.V. System and method for gas-phase passivation of a semiconductor surface
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8911826B2 (en) 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US8664627B1 (en) 2012-08-08 2014-03-04 Asm Ip Holding B.V. Method for supplying gas with flow rate gradient over substrate
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US8912070B2 (en) 2012-08-16 2014-12-16 The Institute of Microelectronics Chinese Academy of Science Method for manufacturing semiconductor device
US9370757B2 (en) 2012-08-21 2016-06-21 Uop Llc Pyrolytic reactor
US9707530B2 (en) 2012-08-21 2017-07-18 Uop Llc Methane conversion apparatus and process using a supersonic flow reactor
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
KR102296150B1 (en) 2012-09-07 2021-08-30 어플라이드 머티어리얼스, 인코포레이티드 Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP5882167B2 (en) 2012-09-13 2016-03-09 東京エレクトロン株式会社 Heat treatment equipment
US20140077240A1 (en) 2012-09-17 2014-03-20 Radek Roucka Iv material photonic device on dbr
MX2015003569A (en) 2012-09-19 2016-06-21 Apjet Inc Atmospheric-pressure plasma processing apparatus and method.
US8921207B2 (en) 2012-09-24 2014-12-30 Asm Ip Holding B.V., Inc. Tin precursors for vapor deposition and deposition processes
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6042160B2 (en) 2012-10-03 2016-12-14 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9353441B2 (en) 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US20140099798A1 (en) 2012-10-05 2014-04-10 Asm Ip Holding B.V. UV-Curing Apparatus Provided With Wavelength-Tuned Excimer Lamp and Method of Processing Semiconductor Substrate Using Same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014086472A (en) 2012-10-19 2014-05-12 Sinfonia Technology Co Ltd Clamp device and workpiece conveyer robot
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US9018639B2 (en) 2012-10-26 2015-04-28 Dow Corning Corporation Flat SiC semiconductor substrate
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
JP5960028B2 (en) 2012-10-31 2016-08-02 東京エレクトロン株式会社 Heat treatment equipment
US20140116335A1 (en) 2012-10-31 2014-05-01 Asm Ip Holding B.V. UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9190486B2 (en) 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
WO2014083400A1 (en) 2012-11-27 2014-06-05 Soitec Deposition systems having interchangeable gas injectors and related methods
KR102046976B1 (en) 2012-12-04 2019-12-02 삼성전자주식회사 Semiconductor memory device and method for fabricating the same
US9362092B2 (en) 2012-12-07 2016-06-07 LGS Innovations LLC Gas dispersion disc assembly
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
US10240230B2 (en) 2012-12-18 2019-03-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US9064857B2 (en) 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140182053A1 (en) 2012-12-29 2014-07-03 Alexander Yeh Industry Co., Ltd. Pullable drain plug
US20140186544A1 (en) 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
KR20140089793A (en) 2013-01-07 2014-07-16 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
CN103972132B (en) 2013-01-24 2017-07-11 东京毅力科创株式会社 Substrate board treatment and mounting table
KR20140095738A (en) 2013-01-25 2014-08-04 삼성전자주식회사 Transistors and methods of manufacturing the same
US9018093B2 (en) 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
EP2765218A1 (en) 2013-02-07 2014-08-13 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
US9184045B2 (en) 2013-02-08 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-up PEALD process
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR20140102782A (en) 2013-02-14 2014-08-25 삼성전자주식회사 Blade for transferring wafer and wafer transferring apparatus having the same
JP5934665B2 (en) 2013-02-22 2016-06-15 東京エレクトロン株式会社 Film forming method, program, computer storage medium, and film forming system
USD743357S1 (en) 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US8790743B1 (en) 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD723153S1 (en) 2013-03-08 2015-02-24 Olen Borkholder Recess ceiling fan bezel
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8933528B2 (en) 2013-03-11 2015-01-13 International Business Machines Corporation Semiconductor fin isolation by a well trapping fin portion
US9312222B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning approach for improved via landing profile
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US8984962B2 (en) 2013-03-15 2015-03-24 H. Aaron Christmann Rotatable torque-measuring apparatus and method
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
KR102146501B1 (en) 2013-03-15 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9666702B2 (en) 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
US9909492B2 (en) 2013-03-15 2018-03-06 Prime Group Alliance, Llc Opposed piston internal combustion engine with inviscid layer sealing
JP6096547B2 (en) 2013-03-21 2017-03-15 東京エレクトロン株式会社 Plasma processing apparatus and shower plate
USD734377S1 (en) 2013-03-28 2015-07-14 Hirata Corporation Top cover of a load lock chamber
JP6134191B2 (en) 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
US9142437B2 (en) 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US8864202B1 (en) 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US9365924B2 (en) 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US8900467B1 (en) 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
USD726365S1 (en) 2013-05-29 2015-04-07 Sis Resources Ltd. Mouthpiece plug for electronic cigarette
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US9117657B2 (en) 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US9123510B2 (en) 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US20140367043A1 (en) 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
KR102298038B1 (en) 2013-06-26 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 Methods of depositing a metal alloy film
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
GB2531453A (en) 2013-07-02 2016-04-20 Ultratech Inc Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP5861676B2 (en) 2013-07-08 2016-02-16 株式会社安川電機 Adsorption structure, robot hand and robot
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
USD705745S1 (en) 2013-07-08 2014-05-27 Witricity Corporation Printed resonator coil
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9099393B2 (en) * 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
US8986562B2 (en) 2013-08-07 2015-03-24 Ultratech, Inc. Methods of laser processing photoresist in a gaseous environment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
WO2015026230A1 (en) 2013-08-19 2015-02-26 Asm Ip Holding B.V. Twin-assembly of diverging semiconductor processing systems
US9209033B2 (en) 2013-08-21 2015-12-08 Tel Epion Inc. GCIB etching method for adjusting fin height of finFET devices
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9484199B2 (en) 2013-09-06 2016-11-01 Applied Materials, Inc. PECVD microcrystalline silicon germanium (SiGe)
USD716742S1 (en) 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
USD724553S1 (en) 2013-09-13 2015-03-17 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US10312127B2 (en) 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9284642B2 (en) 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
WO2015048303A1 (en) 2013-09-26 2015-04-02 Applied Materials, Inc Pneumatic end effector apparatus, substrate transportation systems, and methods for transporting substrates
US9018103B2 (en) 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015045163A1 (en) 2013-09-30 2015-04-02 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing device, substrate processing system, and storage medium
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9034717B2 (en) 2013-10-16 2015-05-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor-on-insulator structure and method of fabricating the same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP5847783B2 (en) 2013-10-21 2016-01-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9343308B2 (en) 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US9029272B1 (en) 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
KR20150052996A (en) 2013-11-07 2015-05-15 삼성디스플레이 주식회사 Substrate transferring apparatus and thin film deposition apparatus having the same
USD739222S1 (en) 2013-11-13 2015-09-22 Jeff Chadbourne Two-piece magnetic clamp
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR20150061179A (en) 2013-11-26 2015-06-04 에스케이하이닉스 주식회사 Deposition using plasma enhanced vapor phase method
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9620382B2 (en) 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
TW201525173A (en) 2013-12-09 2015-07-01 Applied Materials Inc Methods of selective layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10431489B2 (en) 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
EP3084033B1 (en) 2013-12-18 2023-05-10 IMEC vzw Method of producing transition metal dichalcogenide layer and materials
US9362385B2 (en) 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9478419B2 (en) 2013-12-18 2016-10-25 Asm Ip Holding B.V. Sulfur-containing thin films
KR20150073251A (en) 2013-12-20 2015-07-01 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
US9698035B2 (en) 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling
KR102146705B1 (en) 2013-12-23 2020-08-21 삼성전자주식회사 Wiring structure in a semiconductor device and method for forming the same
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
JP6247095B2 (en) 2013-12-27 2017-12-13 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
WO2015107009A1 (en) 2014-01-17 2015-07-23 Koninklijke Philips N.V. Heating system comprising semiconductor light sources
USD720838S1 (en) 2014-02-04 2015-01-06 Asm Ip Holding B.V. Shower plate
USD726884S1 (en) 2014-02-04 2015-04-14 Asm Ip Holding B.V. Heater block
USD724701S1 (en) 2014-02-04 2015-03-17 ASM IP Holding, B.V. Shower plate
USD732145S1 (en) 2014-02-04 2015-06-16 Asm Ip Holding B.V. Shower plate
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
USD725168S1 (en) 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
USD732644S1 (en) 2014-02-04 2015-06-23 Asm Ip Holding B.V. Top plate
US8993457B1 (en) 2014-02-06 2015-03-31 Cypress Semiconductor Corporation Method of fabricating a charge-trapping gate stack using a CMOS process flow
US9416447B2 (en) 2014-02-07 2016-08-16 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
CN108198748B (en) 2014-02-27 2022-04-29 斯克林集团公司 Substrate processing apparatus
KR20150104817A (en) 2014-03-06 2015-09-16 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
JP2015173230A (en) 2014-03-12 2015-10-01 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
JP6379550B2 (en) 2014-03-18 2018-08-29 東京エレクトロン株式会社 Deposition equipment
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US20150267295A1 (en) 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
KR102308587B1 (en) 2014-03-19 2021-10-01 가부시키가이샤 스크린 홀딩스 Substrate processing apparatus and substrate processing method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015140983A1 (en) 2014-03-20 2015-09-24 株式会社 東芝 Active substance for non-aqueous electrolyte secondary batteries, electrode for non-aqueous electrolyte secondary batteries, non-aqueous electrolyte secondary battery, battery pack, and production method for active substance for non-aqueous electrolyte secondary batteries
JP6270575B2 (en) 2014-03-24 2018-01-31 株式会社日立国際電気 Reaction tube, substrate processing apparatus, and semiconductor device manufacturing method
JP6304592B2 (en) 2014-03-25 2018-04-04 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP6254036B2 (en) 2014-03-31 2017-12-27 三菱重工業株式会社 Three-dimensional laminating apparatus and three-dimensional laminating method
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9343350B2 (en) 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9663857B2 (en) 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
KR102094470B1 (en) 2014-04-08 2020-03-27 삼성전자주식회사 Semiconductor Device and Method of Fabricating the Same
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9464352B2 (en) 2014-05-02 2016-10-11 Asm Ip Holding B.V. Low-oxidation plasma-assisted process
EP3140067B1 (en) 2014-05-08 2019-04-03 Stratasys Ltd. Method and apparatus for 3d printing by selective sintering
TWI518751B (en) 2014-05-14 2016-01-21 國立清華大學 A carrier channel with gradient distributing element concentration
US9343343B2 (en) 2014-05-19 2016-05-17 Asm Ip Holding B.V. Method for reducing particle generation at bevel portion of substrate
US9257557B2 (en) 2014-05-20 2016-02-09 Globalfoundries Inc. Semiconductor structure with self-aligned wells and multiple channel materials
US9577192B2 (en) 2014-05-21 2017-02-21 Sony Semiconductor Solutions Corporation Method for forming a metal cap in a semiconductor memory device
USD733262S1 (en) 2014-05-22 2015-06-30 Young Boung Kang Disposer of connection member for kitchen sink bowl
JP2016005900A (en) 2014-05-27 2016-01-14 パナソニックIpマネジメント株式会社 Gas barrier film, film substrate with gas barrier film, and electronic device with the gas barrier film
US20150348755A1 (en) 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10998228B2 (en) 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
USD743513S1 (en) 2014-06-13 2015-11-17 Asm Ip Holding B.V. Seal ring
USD753629S1 (en) 2014-06-19 2016-04-12 Yamaha Corporation Speaker
US20150367253A1 (en) 2014-06-24 2015-12-24 Us Synthetic Corporation Photoluminescent thin-layer chromatography plate and methods for making same
US20150380296A1 (en) 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9825191B2 (en) 2014-06-27 2017-11-21 Sunpower Corporation Passivation of light-receiving surfaces of solar cells with high energy gap (EG) materials
US9349620B2 (en) 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
USD742202S1 (en) 2014-09-11 2015-11-03 Thomas Jason Cyphers Sign frame key
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
CN106663696B (en) 2014-09-24 2020-12-08 英特尔公司 Scaled TFET transistors formed using nanowires with surface terminations
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
WO2015041376A1 (en) 2014-09-30 2015-03-26 株式会社日立国際電気 Substrate processing device, manufacturing method for semiconductor device, and reaction tube
US9558946B2 (en) 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
ES2703911T3 (en) 2014-10-13 2019-03-13 Heraeus Deutschland Gmbh & Co Kg Copper-colored paint
US9530787B2 (en) 2014-10-20 2016-12-27 Sandisk Technologies Llc Batch contacts for multiple electrically conductive layers
KR101535573B1 (en) 2014-11-04 2015-07-13 연세대학교 산학협력단 Method for synthesis of transition metal chalcogenide
US9305836B1 (en) 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
KR102268187B1 (en) 2014-11-10 2021-06-24 삼성전자주식회사 Magnetic memory device and method of manufacturing the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
JP2016098406A (en) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US9914995B2 (en) 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6086892B2 (en) 2014-11-25 2017-03-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US9406683B2 (en) 2014-12-04 2016-08-02 International Business Machines Corporation Wet bottling process for small diameter deep trench capacitors
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20160168699A1 (en) 2014-12-12 2016-06-16 Asm Ip Holding B.V. Method for depositing metal-containing film using particle-reduction step
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9515072B2 (en) 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US9324846B1 (en) 2015-01-08 2016-04-26 Globalfoundries Inc. Field plate in heterojunction bipolar transistor with improved break-down voltage
USD753269S1 (en) 2015-01-09 2016-04-05 Asm Ip Holding B.V. Top plate
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9496040B2 (en) 2015-01-22 2016-11-15 Sandisk Technologies Llc Adaptive multi-page programming methods and apparatus for non-volatile memory
JP6470057B2 (en) 2015-01-29 2019-02-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10228291B2 (en) 2015-02-25 2019-03-12 Kokusai Electric Corporation Substrate processing apparatus, and thermocouple
US10548504B2 (en) 2015-03-06 2020-02-04 Ethicon Llc Overlaid multi sensor radio frequency (RF) electrode system to measure tissue compression
US9808246B2 (en) 2015-03-06 2017-11-07 Ethicon Endo-Surgery, Llc Method of operating a powered surgical instrument
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
IL237775B (en) 2015-03-16 2019-03-31 Redler Tech Ltd Automatic, highly reliable, fully redundant electornic circuit breaker that includes means for preventing short-circuit overcurrent
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6484478B2 (en) 2015-03-25 2019-03-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6458595B2 (en) 2015-03-27 2019-01-30 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20160124028A (en) 2015-04-16 2016-10-26 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Group v-containing film forming compositions and vapor deposition of group v-containing films
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9343297B1 (en) 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
JP1547057S (en) 2015-05-28 2016-04-04
KR101785803B1 (en) * 2015-05-29 2017-10-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Structure and formation method of semiconductor device structure
US9711350B2 (en) 2015-06-03 2017-07-18 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation
JP1545222S (en) 2015-06-10 2016-03-07
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US20160362813A1 (en) 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017004050A1 (en) 2015-06-29 2017-01-05 Applied Materials, Inc. Temperature controlled substrate processing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6578243B2 (en) 2015-07-17 2019-09-18 株式会社Kokusai Electric Gas supply nozzle, substrate processing apparatus, semiconductor device manufacturing method and program
US20170025291A1 (en) 2015-07-22 2017-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chamber furnace for batch processing
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10309011B2 (en) 2015-07-29 2019-06-04 Korea Research Institute Of Standards And Science Method for manufacturing two-dimensional transition metal dichalcogemide thin film
JP6560924B2 (en) 2015-07-29 2019-08-14 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
KR102420087B1 (en) 2015-07-31 2022-07-12 삼성전자주식회사 Method of fabricating a semiconductor device
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350007B1 (en) * 2015-08-20 2022-01-10 삼성전자주식회사 Method for fabricating semiconductor device
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US11514096B2 (en) 2015-09-01 2022-11-29 Panjiva, Inc. Natural language processing for entity resolution
JP1546345S (en) 2015-09-04 2016-03-22
JP6448502B2 (en) 2015-09-09 2019-01-09 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10373809B2 (en) 2015-09-25 2019-08-06 Applied Materials Inc. Grooved backing plate for standing wave compensation
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102395997B1 (en) 2015-09-30 2022-05-10 삼성전자주식회사 Magnetoresistive random access device and method of manufacturing the same
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
WO2017062852A1 (en) 2015-10-09 2017-04-13 Applied Materials, Inc. Diode laser for wafer heating for epi processes
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
TWI740848B (en) 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 Implementing atomic layer deposition for gate dielectrics
JP6464990B2 (en) 2015-10-21 2019-02-06 東京エレクトロン株式会社 Vertical heat treatment equipment
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102424720B1 (en) 2015-10-22 2022-07-25 삼성전자주식회사 Vertical memory devices and methods of manufacturing the same
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
USD787458S1 (en) 2015-11-18 2017-05-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017105515A1 (en) * 2015-12-18 2017-06-22 Intel Corporation Stacked transistors
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
AT518081B1 (en) 2015-12-22 2017-07-15 Sico Tech Gmbh Injector made of silicon for the semiconductor industry
CH711990A2 (en) 2015-12-22 2017-06-30 Interglass Tech Ag Vacuum coating system for coating lenses.
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178698S (en) 2016-01-08 2016-10-01 ASM知識產權私人控股有&#x9 Outer wall of reactor for semiconductor manufacturing apparatus
TWD178424S (en) 2016-01-08 2016-09-21 ASM知識產權私人控股有&#x9 Gas flow control plate for semiconductor manufacturing apparatus
TWD178425S (en) 2016-01-08 2016-09-21 ASM知識產權私人控股有&#x9 Electrode plate for semiconductor manufacturing apparatus
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US10865477B2 (en) * 2016-02-08 2020-12-15 Illinois Tool Works Inc. Method and system for the localized deposit of metal on a surface
JP6538582B2 (en) 2016-02-15 2019-07-03 株式会社Kokusai Electric Substrate processing apparatus, method of manufacturing semiconductor device, and program
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6946320B2 (en) 2016-03-13 2021-10-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective deposition of silicon nitride film for spacers
US10134672B2 (en) 2016-03-15 2018-11-20 Toshiba Memory Corporation Semiconductor memory device having a stepped structure and contact wirings formed thereon
KR20170107323A (en) 2016-03-15 2017-09-25 연세대학교 산학협력단 Transition metal dichalcogenides alloy and manufacturing the same
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6566904B2 (en) 2016-03-29 2019-08-28 東京エレクトロン株式会社 Substrate processing equipment
JP6095825B2 (en) 2016-04-08 2017-03-15 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109075070A (en) 2016-06-07 2018-12-21 株式会社国际电气 The manufacturing method and program of substrate board treatment, fire door portion and semiconductor device
US10002958B2 (en) 2016-06-08 2018-06-19 The United States Of America, As Represented By The Secretary Of The Navy Diamond on III-nitride device
USD785766S1 (en) 2016-06-15 2017-05-02 Asm Ip Holding B.V. Shower plate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN117855105A (en) 2016-06-30 2024-04-09 株式会社国际电气 Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium
JP6695975B2 (en) 2016-07-05 2020-05-20 株式会社Kokusai Electric Substrate processing apparatus, gas nozzle, and method for manufacturing semiconductor device
US9812319B1 (en) 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD793352S1 (en) 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6616258B2 (en) 2016-07-26 2019-12-04 株式会社Kokusai Electric Substrate processing apparatus, lid cover, and semiconductor device manufacturing method
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102429608B1 (en) * 2016-08-17 2022-08-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6710130B2 (en) 2016-09-13 2020-06-17 東京エレクトロン株式会社 Substrate processing equipment
JP6710134B2 (en) 2016-09-27 2020-06-17 東京エレクトロン株式会社 Gas introduction mechanism and processing device
JP6550029B2 (en) 2016-09-28 2019-07-24 株式会社Kokusai Electric Substrate processing apparatus, nozzle base and method of manufacturing semiconductor device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP6737139B2 (en) 2016-11-14 2020-08-05 東京エレクトロン株式会社 Gas injector and vertical heat treatment equipment
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR102147174B1 (en) 2016-11-18 2020-08-28 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, reaction tube structure and method of manufacturing semiconductor device
JP6804270B2 (en) 2016-11-21 2020-12-23 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2020502790A (en) 2016-12-15 2020-01-23 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ Semiconductor processing equipment
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10049426B2 (en) 2017-01-03 2018-08-14 Qualcomm Incorporated Draw call visibility stream
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US9984869B1 (en) 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US20160042954A1 (en) * 2014-08-06 2016-02-11 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
US20160254158A1 (en) * 2015-02-27 2016-09-01 Globalfoundries Inc. Co-fabrication of non-planar semiconductor devices having different threshold voltages
US20180190496A1 (en) * 2015-09-03 2018-07-05 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and supply system
US20170236821A1 (en) * 2016-02-11 2017-08-17 Samsung Electronics Co., Ltd, Semiconductor device including transistors with adjusted threshold voltages
US9748145B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Semiconductor devices with varying threshold voltage and fabrication methods thereof
US20180090583A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Semiconductor Devices Having Reduced Contact Resistance
US20180097076A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Fully depleted soi device for reducing parasitic back gate capacitance
US20200066897A1 (en) * 2016-09-30 2020-02-27 Intel Corporation Ultra-scaled fin pitch processes having dual gate dielectrics and the resulting structures
US20180174845A1 (en) * 2016-12-16 2018-06-21 SK Hynix Inc. Semiconductor device having buried gate structure and method for fabricating the same
US20200035678A1 (en) * 2017-06-23 2020-01-30 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20190013414A1 (en) * 2017-07-07 2019-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Cited By (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US20240096711A1 (en) 2024-03-21
TW201933543A (en) 2019-08-16
KR102615713B1 (en) 2023-12-20
US20190088555A1 (en) 2019-03-21
US10607895B2 (en) 2020-03-31
TW202322281A (en) 2023-06-01
KR20190032213A (en) 2019-03-27
KR20230173640A (en) 2023-12-27
TWI793161B (en) 2023-02-21

Similar Documents

Publication Publication Date Title
US20240096711A1 (en) Method for forming a semiconductor device structure and related semiconductor device structures
US10643904B2 (en) Methods for forming a semiconductor device and related semiconductor device structures
US11056567B2 (en) Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11923192B2 (en) Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US20230238239A2 (en) Methods for filling a gap feature on a substrate surface and related semiconductor structures
US20220351974A1 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US20210151352A1 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102553413B1 (en) Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US20200181770A1 (en) Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
TWI536451B (en) Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors
US8975142B2 (en) FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US20150179798A1 (en) Conformal thin film deposition of electropositive metal alloy films
KR20190024834A (en) Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US9887080B2 (en) Method of forming SiOCN material layer and method of fabricating semiconductor device
US9136131B2 (en) Common fill of gate and source and drain contacts
TWI835529B (en) Method for forming a semiconductor device structure and related semiconductor device structures
US20240060175A1 (en) Conformal molybdenum deposition
KR20230110582A (en) Methods and Apparatus for Metal Filling in a Metal Gate Stack

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHU, CHIYU;GIVENS, MICHAEL EUGENE;XIE, QI;AND OTHERS;SIGNING DATES FROM 20180806 TO 20180821;REEL/FRAME:052264/0183

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED