TWI359450B - Substrate cleaning chamber and components - Google Patents

Substrate cleaning chamber and components Download PDF

Info

Publication number
TWI359450B
TWI359450B TW097119766A TW97119766A TWI359450B TW I359450 B TWI359450 B TW I359450B TW 097119766 A TW097119766 A TW 097119766A TW 97119766 A TW97119766 A TW 97119766A TW I359450 B TWI359450 B TW I359450B
Authority
TW
Taiwan
Prior art keywords
ring
holes
diameter
distribution plate
gas distribution
Prior art date
Application number
TW097119766A
Other languages
English (en)
Other versions
TW200908109A (en
Inventor
Martin Riker
Wei W Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200908109A publication Critical patent/TW200908109A/zh
Application granted granted Critical
Publication of TWI359450B publication Critical patent/TWI359450B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0268Liner tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning In General (AREA)

Description

1359450 【先前 在 基板上 連線、 案可藉 成一圖 去殘餘 製造。 露出下 沉積至 體。例 成暴露 體和接 製程, 但 材料需 先經清 下的導 如,在 剝除光 九、發明說明: 【發明所屬之技術領域】 本發明係有關於基板清:智 q潔腔室與其部件 技術】 例如半導體及顯示器的基板 ,然後蝕刻以形成特徵結構 接觸、通孔、閘極和阻障。 由在該基板上沉積—含金屬 案化抗钱刻材料、蝕刻該導 的光阻、以及在該蝕刻的特 可進一步蝕刻該介電層以形 方的含金屬導電材料或其他 該等經钱刻的孔或溝槽内, 如’在含鋼内連線的形成中 出下方的銅導電材料之接觸 觸孔上沉積一薄的鋼晶種層 以填充該等接觸孔。 是,該含金屬導體上的污染 要該暴露出的導體表面在執 潔。例如,在一中間製程步 體上常會形成原生氧化膜( 一光阻剝除製程期間,其中 阻,或者是在不同腔室間傳 處理中,層 (feature), 例如,電氣 的導體、在 體以形成該 徵結構上沉 成接觸孔或 基板層。導 以電氣接觸 ’可蝕刻該 孔。可在該 ,以促進隨 係、形成在該 例如導電内 内連線的圖 該導體上形 内連線 '除 積介電層來 通孔,其暴 電材料然後 該下方的導 介電層以形 暴露出的導 後的銅電锻 物和不期望存在的表面 行後續的製程步驟之前 驟期間暴露在氧氣物種 native oxide film ),例 使用一含氧氣體電漿來 送基板時。該等氧化膜 5 1359450 增加導體表面之間的接觸介面處的電阻。該表面材料也可 能有來自先前製程的殘餘製程沉積物,例如含碳、含矽、 含氟、及含氮製程殘餘物。這些製程沉積物可使空孔(void) 或其他不規則物形成在暴露出的及被沉積的材料之間的介 面處。
基板清潔腔室’也稱為預清潔腔室,係用來在處理之 前以及處理步驟之間從該基板表面清除氧化膜及其他不期 望存在的製程沉積物。在清潔處理期間,該基板係支撐在 該清潔腔室内,並且一經激發的清潔氣體係經形成在一遠 端氣室内並導入該腔室中。該清潔氣體與該等表面殘餘物 反篇並將其除去。在某些製程.中,該基板加熱底座.包.含一. 加熱元件,以在清潔期間控制該基板的溫度。
但是,在此種清潔處理中使用經激發的清潔氣艟的一 個問題在於難以控制該經激發的的清潔氣體之自由基及離 子物種的能量。該清潔氣體和該基板表面之間的較高能量 碰撞可導致對下方基板的損傷。該清潔氣體中較輕的離 子,例如H+,在其穿透該基板表面而損傷下方介電層時也 可能是有害的。因此,希望可以控制導入該製程腔室内的 經激發物種之能量及類型。 另一個問題在於該清潔氣體常蝕除並腐蝕圍繞一氣體 激發器内遠端之該激發區的遠端腔室壁,並且甚至可蝕刻 並腐蝕該清潔腔室内部的部件。此種腐蝕損傷這些部件, 並且若該部件係該腔室之一整合部分,則必須關閉該腔室 以使該部件可在預定的製程週期數量後被翻修或置換,這 6 1359450 疋不理想的。習知的不銹鋼壁和襯墊特別容易受到腐蝕, 而需要頻繁的置換或整修。
又另個問通在該清潔.腔室内接觸該基板的基板加熱 底座在該基板傳送製程期間傳送污染物和製程殘餘物及沉 積物至該基板背部或甚至刮傷該基板時發生。含有加熱元 件的基板加熱底座也可能在該基板表面上提供不均勻的加 熱°擁有由升高的凸形物(niesa)和溝槽組成的基板承接 表面之基板加熱底座容許—熱傳氣體在該基板後方流動以 改善溫度均勻性’但仍傳送不期望存在的製程殘餘物和沉 積物數量至該基板。 …因此,希望具有—種清潔腔室和氣體激發器,其可選 擇性過濾經激發的氣體物種,例如,以從該清潔氣體濾掉 特定離子物種。也希望具有可輕易置換或整修的腔室部 件《更希望具有一種基板加熱底座,其使得傳送該等製程 沉積物至該基板的背部表面所造成基板的污染最小化。也 希望具有一種容許更均勻的基板加熱之基板加熱底座。
【發明内容】 本發明提供一種用於連接一遠端腔室之出氣道至一基 板清潔腔室的進氣道之消耗性(consumable )陶瓷襯塾。 該襯墊包含:一入口圓柱,其外徑係按一定尺寸製作以適 配-至該遠端腔室的出氣道内;/出口圓柱,與該基板清潔 腔室之進氣道連接;以及一圓錐形展開部(flare),係將該 入口圓柱連结至該出口圓柱。 7 1359450
該襯墊之該圓錐形展開部可包含一圓錐形表面,其 一垂直袖而傾斜約10度〜60度。在一實施例中,該圓 形展開部之長度和該出口圓柱之長度的比例為約1 : 2至 1: 8。在一實施例中,該襯墊之該入口圓柱包含一第一 徑,而該襯墊之該出口圓柱包含一第二直徑,其係第二 徑至少是第一直徑的1.5倍大。在更一實施例中,第一 徑為約1至約4公分,且第二直徑為約2至約8公分。 一實施例中,該襯墊包含一陶瓷材料,該陶瓷材料能夠 在該遠端氣體激發器内產生的激發氣體中清除一離子 種。在一實施例中,該襯墊係由石英、氧化鋁或氮化鋁 成,並且甚至厚度可以為約2毫米至約6毫米。該襯塾 更包含一襯墊鎖定圓柱,該圓柱係按一定尺寸製作以適 至該出口圓柱的外徑周圍。
由 錐 約 直 直 直 在 從 物 组 可 配 接 開 腔 連 該 墊 之 作 本發明提供一種將一陶瓷襯墊置入一上腔室壁以連 一遠端腔室的出氣道至一基板清潔腔室的進氣道之方法 該陶瓷襯墊包含一入口圓柱、一出口圓柱及一圓錐形展 部,其中,入口圓柱係按一定尺寸製作以適配至該遠端 室的出氣道内,出口圓枉則與該基板清潔腔室之進氣道 接,圓錐形展開部係將該入口圓柱連結至該出口圓柱。 方法之步驟如下:(a)將一襯墊鎖定圓柱設置於該陶瓷襯 之出口圓枉上方;(b)將一襯墊固持工具滑入該陶瓷襯墊 出口圓柱内,且該襯墊固持工具的外徑係按一定尺寸製 以緊夾該出口圓柱的内徑;以及(c)抓住該襯墊固持工具 並將該陶瓷襯墊的入口圓柱置入該遠端腔室之出氣道内 8 1359450 在一實施例中,該方法更包含:(d)轉動該襯塾固持工 具以將該鎖定圓柱的環狀凸緣鎖進上腔室壁之匹配環狀唇 部内。
本發明提供一種用於一基板清潔腔室之基板加熱底 座。該基板加熱底座包含:(a) —環狀板,包含:一第一盤 狀物,具有一基板承接表面,且該基板承接表面具有凹槽 之一陣列;一第二盤狀物,具有一經成形以容納加熱元件 之通道;以及一銅焊連結,係連結該第一和第二盤狀物; (b)複數個陶瓷球,每一個皆設置在該基板承接表面上之一 凹槽内;以及(c) 一加熱元件,嵌設在該環狀板内。
該基板加熱底座的銅焊連結可包含一鋁銅焊化合物。 該底座的第一及第二盤狀物可包含鋁。該底座的該等陶瓷 球可由氧化鋁、石英、藍寶石、氮化矽、合成剛石 '氧化 锆、三氧化二鋁、或其混合物組成。在一實施例中,該底 座的陶瓷球之直徑為約1至約3毫米,並且其直徑甚至可 大到足以將該基板承接表面維持在比該環狀板的頂表面高 約0.0 1毫米至約0.5毫米。 本發明提供一種用於一基板製程腔室之配氣板。該配 氣板具有:第一孔之一第一環,各個第一孔之直徑為 d: 第二孔之一第二環,各個第二孔之直徑為2d,且第二環位 於第一環之徑向外側;一第三孔之一第三環,各個第三孔 之直徑為3 d,且第三環係位於第二環之徑向外側;以及第 四孔之一第四環,各個第四孔之直徑為4 d,且第四環係位 於第三環之徑向外側。 9 1359450 在配氣板之一實施例中,直徑d為約1至約5毫米。 該配氣板可由陶瓷組成,並且甚至可包含氧化鋁或氧化矽。
本發明提供一種用於一基板清潔腔室之製程套組,該 基板清潔腔室具有用於支托一配氣板之腔室蓋,且配氣板 係面對一基板加熱底座。該製程套組具有:(a)—頂板,用 以接觸該腔室蓋,該石英頂板具有一用以使製程氣體通過 其間之孔洞,並且具有一外圍邊緣;(b) —頂部襯墊,接觸 該石英頂板的外圍邊緣,並且係位於該配氣板上方;(c) 一底部襯墊,位於該配氣板下方;以及(d) —集中環,搁置 在該基板加熱底座的外圍邊緣上。
在該製程套組之一實施例中,該頂板、頂部襯墊、底 部襯墊和集t環皆包含石英。該製程套組的頂板可包含一 環形盤狀物,其具有一外圍邊緣以及一用以使製程氣體通 過其間之孔洞。該頂板之厚度為約1毫米至約5毫米。在 一實施例中,該製程套組的配氣板係由陶瓷組成,並且甚 至可包含氧化鋁或氧化矽。該製程套組的頂部及底部襯墊 可包含圓柱。該製程套組的集中環可具有一内凸緣,其搁 置在該基.板加熱底座的外圍邊緣上,該凸緣包含一傾斜的 上表面,且該上表面在基板外圍處與一垂直表面接合。在 更一實施例中,該製程套組的傾斜上表面包含約 8 5至約 100°之間的角度。 【實施方式】 第1圖示出一基板設備20之一實施例,其包含適於清 10 1359450
潔一基板22之清潔腔室24。如圖所示之該清潔腔室24係 適於清潔基板22,例如半導體晶圓;但是,該清潔腔室24 可經熟知技藝者調整而適於清潔其他基板2 2,例如平面顯 示器、聚合物面板、或其他電路容納結構。因此,本發明 之範圍不應被限制在此所示的該清潔腔室的例示實施例。 一般來說,該清潔腔室24包含一或多個圍壁30,其可包 含一上壁32、側壁34、以及一底壁36,並且其包圍一處 理區38。從一遠端腔室42提供經激發之清潔氣體至該清 潔腔室24的進氣道40。該清潔氣體與基板22及該腔室24 内的其他表面反應。廢氣及副產物透過一排氣系統44而從 該腔室24排出,排氣系統44可包含一排氣口 46,其接收-來自該處理區38的氣體,並且也可包含一節流閥48,以 控制該腔室2 4内的氣體壓力,以及一或多個排氣幫浦5 0, 例如渦輪分子排氣幫浦。該排氣系統44可以是能夠在該腔 室 24 内保持次大氣壓(sub-atmospheric pressure)。
一種適於在遠端激發該清潔氣體的遠端腔室 42包含 一遠端氣體激.發器52,其耦合能量至一氣體激發區域54。 一清潔氣源56提供一清潔氣體至該氣體激發區域54。可 提供一流量閥58以控制進入該遠端腔室42的清潔氣體之 流速。該氣體激發器52耦合能量至該氣體激發區域54内 的清潔氣體,以形成含有離子及自由基物種的經激發之清 潔氣體。該氣體激發器52可耦合,例如,RF或微波能量 至該清潔氣體。在一態樣中,該遠端氣體激發器52包含一 感應天線5 7,其以,例如,約100瓦至約10千瓦的功率 11 1359450
層級而感應耦合RF能量至該氣體激發區域54内的清潔氣 體。該氣體激發器52也可以是一超環面氣體激發器,以耦 合能量至該遠端氣體激發區域54内的清潔氣體,如同例如 在Smith等人之美國專利第6,150,628號中所描述者,其 在此藉由引用的方式並且以其整體併入本文中。由該環狀 氣體激發器所施加的適當RF功率層級可以從約1000瓦至 約10,000瓦。也可使用包含一微波氣體活化器的遠端氣體 激發器.52,其提供從约300瓦至約5千瓦的微波功率層級。
一消耗性的陶瓷襯墊60連結該遠端氣體激發器52的 出氣道62至該腔室24的進氣道40,如第2A和2B圖所 示者。藉由以該襯塾:6〇的至少r-部分表面覆蓋通道4(L、 62之内表面而保護該等通道40、62,以使該襯墊60的内 表面61暴露在該激發氣體物種下。該襯墊60包含一入口 圓柱64,且入口圓柱64之外徑係按一定尺寸製作以適配 至該遠端氣體激發器的出氣道62内。在一態樣中,該入口 圓柱64之長度為L·,其足夠長而可從該遠端腔室42延伸 出至少約50毫米的距離。且該長度L係足夠短以在該腔 室24的氣體入口 40末端之前至少約1毫米處終止。在一 態樣中,該入口圓柱64之長度L為約100至約1 10毫米, 以及直徑為約1公分至約4公分之間。 一圓錐形展開部66連結該入口圓柱64至一出口圓柱 6 8。該圓錐形展開部6 6包含一管,其直徑係沿著一圓錐形 表面越過該展開部6 6的長度而增加。該圓錐形展開部6 6 具有一上端70和一下端72。該圓錐形展開部66的上端70 12 1359450 之外徑的尺寸係經訂製以對應於在該圓錐形展開部 6 6和 該入口圓柱64之間的接合處之該入口圓柱64的外徑。該 圓錐形展開部66的下端72之外徑的尺寸係經訂製以對應 於在該圓錐形展開部66和該出口圓柱68之間的接合處之 該出口圓柱68的外徑。該圓錐形展開部66的下端72之直 徑係較該圓錐形展開部 6 6的上端 7 0之直徑大至少 1 · 5 倍。在一態樣中,該入口圓柱64、該圓錐形展開部66和 該出口圓柱68係一體連接。
該圓錐形展開部6 6係作為逐漸增加該襯墊6 0在該上 端70及下端72之間的内部空間之直徑,以提供進入該製 程腔室的激發氣體物種更均勻的分配。直徑的驟然政變咸 信會造成來自該襯墊出口之氣體分配不均勻。該圓錐形展 開部66的直徑從該入口圓柱64的第一直徑朝該出口圓柱 68的第二直徑漸成錐狀,以提供沿著該解離的氣體物種之 流動路徑之空間的逐漸增加。在一態樣中,該圓錐形展開 部66包含一圓錐形表面,其係相對於通過該圓錐形展開部 的中心線之垂直軸而呈一角度,此角度為約10度至約60 度。此外,該圓錐形展開部66的長度對於該出口圓柱68 的長度之比例係約1 : 2至約1 : 8。間隔該圓錐形展開部 66在長度上的空間之增加係提供氣體物種在該圓錐形展 開部6 6之出口端7 2處的較佳分配。 該襯墊60也具有一出口圓柱68,其與該基板清潔腔 室24的進氣道40連結。在一態樣中,該出口圓柱68之外 徑係按一定尺寸製作以適配至該基板清潔腔室 24的進氣 13 1359450
道40内。該出口圓柱68之長度為L,其係足夠短以在 清潔腔室24的處理區之前終止,以避免在該腔室環境中 蝕。當該入口圓枉64具有一第一直徑時,該出口圓柱 包含至少較該第一直徑大 1.5倍的第二直徑。在一態 中,該出口圓柱68之直徑為約2公分至约8公分或更典 地約4公分。該出口圓柱68保護該腔室之氣體入口 40 内表面不受該激發氣體物種腐蝕,同時也增加該襯墊的 徑,以減少形成在該遠端區域54内的激發氣體物種之間 碰撞。 該消耗性之襯墊60包含一陶瓷材料,其能夠從在該 端氣體激發器内產生的激發氣體中清除離子物種。例如 該襯墊60可包含石英、氧化鋁或氮化鋁。在一態樣中, 襯墊60包含石英,並且能夠藉由吸附某些氫離子至其内 面 74上而從該激發氣體清除氫離子。咸信該石英内表 74係作為一離子過濾器76,以藉由提供含氫物種可吸附 表面來減少該等自由基的再結合。也相信衝擊該石英表 74的含氫物種將所吸附的含氫自由基釋放進入該激發 體中,從而再生自由的氫自由基。但是,氫離子不會由 英表面74再生,因此,衝擊該石英表面的氫離子會再結 而形成電中性的非離子物種。因此,讓該活化或激發的 潔氣體通過該石英表面 74上方會致使離子物種從該激 清潔氣體濾出,同時保存氫自由基。 譚消耗性襯墊6 0的厚度係根據置換之前該襯墊必 承受的製程週期數量來選擇。該激發氣體能夠蝕刻並腐 該 腐 68 樣 型 的 直 的 遠 該 表 面 的 面 氣 石 合 清 發 須 14 1359450 該襯墊60,因此,該襯墊60必須在預定的製程週期數量 後置換。此外,該襯墊60的吸附性質隨著越來越多的離子 吸附至該陶瓷襯墊表面上而衰減。該襯墊60可承受的週期 數量與該襯墊60的厚度有關。在一態樣中,該襯墊60係 足夠厚以清除至少約30,000個製程週期的離子物種,並且 擁有約2毫米至約6毫米的厚度。
可藉由將陶瓷粉末鑄成預期形狀來製造該襯墊6 0,例 如,藉由冷均壓成型法(cold isostatic pressing)。例如’使 陶瓷粉末與例如有機結合劑聚乙烯醇之液態結合劑結合。 將該混合物置於一冷均壓成型設備之橡膠袋中並均勻施加 壓力在該袋壁上,以緊壓該混合物而形成擁有期望管狀的 陶瓷結構。可藉由將該撓性容器浸泡在水中或藉由其他加 壓方法來施加壓力。可使用中空的管模將鑄造的陶瓷預形 (preform)製成圓柱或環狀,並且可藉由機械加工而進一 步形塑所形成的鑄成之陶瓷預形。然後燒結經過形塑的陶 瓷預形以形成一經燒結的陶瓷。例如,可以約1 3 0 0 °C至約 1 800°C的溫度燒結氧化鋁約48至約96小時,通常在約1 atm的壓力下。可進一步形塑該燒結的陶瓷材料,例如, 藉由機械加工、研磨、雷射鑽孔、或使用其他方法,以提 供預期陶瓷結構。 該襯墊60係利用一襯墊鎖定圓柱71而保持在該腔室 内的適當位置上。該襯墊鎖定圓柱71的尺寸係經訂製以滑 過該襯墊60的出口圓柱68之外徑上方,並且其係靠著該 出口圓柱68之一環狀唇部69擱置,如第2A和2B圖所示。 15 1359450 該襯墊鎖定圓柱71係適配至該襯墊60的出口圓柱68和該 孔洞壁7 3之間,以形成一氣密密封件,如第1圖所示'並 且可由金屬或陶瓷材料製成。
有利地,該襯墊鎖定圓柱71辅助該襯墊60置放進入 該上腔室壁32,並且也輔助該襯墊60於暴露在電漿下一 預定數量的製程週期後之移除以利整修或置換。該襯墊鎖 定圓柱71包含一環狀凸緣73,其從該鎖定圓柱71的一端 延伸出。該環狀凸緣73擁有一平坦的榫75,其係嵌入從 該上腔室壁32延伸出之環狀唇部79上之匹配的平坦榫部 分77,如第2B圖所示。轉動該襯墊鎖定圓柱71以旋轉該 環_狀凸緣.73,因此其滑至該上腔室壁32的環狀唇部79後 方,而在其後方鎖定該環狀凸緣。例如鎖定梢的鎖定阻件 (blocker)(未示出)可嵌入該旋轉式環狀凸緣73的通道内, 以阻擋並停止該凸緣進一步旋轉。
第2B圖也示出一種將該襯墊60嵌入一腔室蓋内以連 結一遠端腔室42的出氣道62至一清潔腔室24的進氣道 40之方法。在此方法中,首先將該襯墊鎖定圓柱71置於 該陶瓷襯墊60的出口圓枉68上方。然後,將一襯墊固持 工具81通入該陶瓷襯墊60的出口圓柱68内,因此該襯墊 固持工具81的外徑緊夾該出口圓柱68的内徑。一使用者 抓住該襯墊固持工具81,然後將該陶瓷襯墊60的入口圓 柱64嵌入該遠端腔室42的出氣道62内。接著轉動該襯墊 固持工具81以如所述般將該襯墊鎖定圓柱71的環狀凸緣 73鎖入該上腔室壁32之匹配的環狀唇部79内。 16 1359450 該腔室24也可選擇性地包含一腔室氣體激發器(未示 出)’其耦合能量至該腔室24的處理區38内的氣體。例如, 該腔室氣體激發器可包含—或多個電極和一感應天線,以 耦合RF能量。 處理區38内的基板22,如第1、3A、3B和4B圖所示c 該底座8〇包含—環狀板82,板82具有一基板承接表3 84’而基板承接表面且士 ^ .
0外具有—凹槽88陣列以及嵌入在言 環狀板82内的加熱 τ 92。該加熱元件92係由一控制| 78控制。該控制器η能约心 月b约相應於以下條件之一而供應? 變功率層級給該加埶元 ’、、、彳午92 :來自一或多個監控該清潔® 室24或該遠端腔室49 円的情況之偵測器1 06的輸入,資 來自該設備20的使用者 ^贫之輪入。該底座80可選擇性地告 含一電極(未示出),其 力』紅偏壓以將該基板22支托在該肩 座80上或影響該製鞋的从讲 的性質,例如該基板22之離子轟鸯 的程度。施加至該電炻沾伯& 的偏壓也由該控制器7 8控制。
提供-基板加熱底|8〇卩支托該基板清
複數個陶瓷球9〇沾I 的每一個皆設置在該基板承接 84上的一凹槽88内,如笛 第3A圖所示。該等陶瓷球9〇相 彼入在該底座的表面 衣面84内,而使每一個球90之一部分 表面位在該底座表面84 4的+面上方。如此,該等球9" 頂部區144搆成一升高…個不連續區所組成的基板淨 接表面.*中?疋嵌入在該底座8〇的表面84内的球 90之數量。該升高的基板承 土板承接表面86與該底座表面84垂 直分離。也就是說,續斗古α t ,, °同的基板承接表面86比該環狀板 17 1359450
8 2表面高約0.0 1毫米至約0.5毫米。利用一系列的不連續 點,並且在距離該加熱底座80之環狀板82的表面一垂直 距離處支撐該基板22,藉以容許該腔室24内的氣體在加 熱期間在該基板22和該環狀板82表面之間傳熱。與讓該 基板22與該環狀板82的表面接觸相比之下,使該基板22 懸置在該環狀板 82表面上方係允許該基板 22更均勻加 熱,此乃因為熱接觸不會受到該板82的熱傳導性及表面接 觸性質之局部變異的直接影響。 在一態樣中,該等陶瓷球9 0係球狀,並且該等球9 0 的直徑足夠大而可將該基板承接表面保持得比該環狀板的 頂表面高約0.01毫米至約0.5毫米。通常,該等球90之 直徑為約1毫米和約3毫米之間。在一態樣中,該等球體 之直徑為約2毫米,並且從該環狀板82的上表面突出約 0.04毫米。該等陶瓷球90包含氮化矽、氧化锆、藍寶石、 合成剛石、以及氧化鋁的至少一種,並且在一態樣中包含 氧化鋁。
該環狀板82係由兩個盤狀物94、96構成,其係利用 銅焊連結而彼此結合在一起。在一態樣中,如第3 A和3 B 圖所示,該環狀板82包含一第一盤狀物94,其具有升高 的基板承接表面86。該第一盤狀物94厚度為約10毫米至 約3 0毫米,且直徑為約10公分至約7 0公分。該盤狀物 94的直徑係取決於待處理的基板之尺寸。該盤狀物94的 承接表面包含複數個凹槽88,每一個凹槽88皆擁有足以 容納一陶瓷球90的直徑及深度。該凹槽88可利用機械加 18 1359450 工形成,並且較佳地包含往内傾斜約2和約2 0度之間的側 邊,而使該凹槽88的直徑在該第一盤狀物的表面處稍微小 於該陶瓷球90的直徑。以此方式加工的凹槽88能夠在嵌 入陶瓷球9 0之後而將陶瓷球9 0限制在該環狀板8 2的表 面。
所提供之第二盤狀物96之直徑係與該第一盤狀物94 的直徑匹配,且厚度為約6毫米和約1 5毫米之間。該第二 盤狀物96包含經形塑以容納該加熱元件92的通道98,並 且由鋁、銅、鈦、鉬或不銹鋼、或其組合物的至少一種組 成。在一態樣中,該第二盤狀物包含鋁,並且該銅焊連結 材料包含一種鋁銅焊材料。讓加熱元件 9 2包含一電阻組 件,而該電阻組件具有足夠的電阻以將該環狀板82的表面 8 4維持在約室溫至約4 0 0 °C的溫度。該加熱元件9 2係經由 接線柱1 00供電,而接線柱1 00沿著該盤狀物的中心1 02 而延伸通.過該第二盤狀物96。
具有嵌設之加熱元件92的環狀板82可藉由從厚度約 5毫米的鋁片以機械加工一第一盤狀物94來形成。擁有距 離該第一盤狀物94之表面84约2毫米的深度之凹槽88 係在對應於該等鑽孔裝埋的陶瓷球9 0之預期位置上鑽入 該盤狀物94的表面84。從厚度約11.5毫米至約12.5毫米 的鋁片以機械加工一第二盤狀物96,而使得第二盤狀物96 之直徑與該第一盤狀物94的直徑相同。在該盤狀物96内 機械加工一彎曲的通道98,該通道98擁有對應於該加熱 元件92的尺寸之寬度及深度。在該第二盤狀物96的中心 19 1359450 該 件 該 或 覆 側 件 加 冷 板 承 樣 ), 接 22 含 螺 狀 在 桿 1 02周圍鑽設至少一對孔(未示出)。該等鑽孔的直徑比 加熱元件92的接線100之直徑大至少1〇%。該加熱元 Μ係藉由將其壓入該通道98内並將該等接線ι〇〇穿過 等鑽孔來施加至該第二盤狀物96的溝槽側。將一銅焊箔 銅焊化合物置於該第二盤狀物96的溝槽表面上,以使其 蓋該第二盤狀物96的表面。將該第一盤狀物94之無孔 保持在該铜焊表面上,並使該組件對齊,則該第一和第 盤狀物:4、9 6的周圍會彼此重叠。該組件係藉由將該組 置於爐管中、加熱該組件至高於該銅焊材料的熔點並施 壓力,如在熱壓法(hot press)中,來連結在一起。然後 卻該組件以形成一銅焊速結1〇4^ 該%狀板82的背部表面係安裝在用來支樓該環狀 82的支桿11〇上。該支桿11〇包含—桿,且桿具有適於 接該環狀板82的背部表面之承接表面。該桿可包含金屬 例如不銹鋼或鋁,並且可以是實心或空心結構。在一態 中’該支桿110也包含一波紋管以及一舉升機構(未示出 而該舉升機構係適於將該底座80升高及降低以用於承 一基板22、處理該基板22和從該腔室24中移出該基板 的位置。將該環狀板82固定在該支桿11〇上的方法可包 將該支桿110焊接在該環狀板82的底表面上;將一具有 紋的接合器焊接在該環狀板82的底表面上然後將該環 板82螺鎖在該支桿11〇上;或者藉由將一中空管子焊接 該環狀板82的底表面上然後將該中空管子鉗置在該支 110 上》 20 1359450
提供包含數個部件112的製程套組114以容納提供進 入清潔腔室24之激發氣體,並且在該基板表面上分配該氣 體,如第4A和4B圖所示。該製程套組114的部件112可 包含,例如,頂板116、頂部襯墊118、配氣板120、底部 襯墊122、以及集中環124。該製程套組114的該等部件 112可輕易地從該腔室24移出,例如,以置換或修復被腐 蝕的部件,或是調整該清潔腔室24以適應處理不同尺寸的 基板22。該製程套組114的部件可由石英製成,因為石英 能夠有效降低製程氣體自由基的再結合速率,例如氫自由 基。
該頂板116包含一環狀盤狀物126,該盤狀物126具 有一外圍邊缘1 2 8和一孔洞1 3 0,而孔洞1 3 0係使製程氣 體通過其間,如第4A圖所示。該頂板116係按一定尺寸 製作以適配至該基板清潔腔室2 4内,而該孔洞1 3 0之直徑 為約40毫米和約45毫米之間,並且位於該頂板116的中 心周圍,而使該孔洞130實質上與該上腔室壁32的進氣道 40重疊。該頂板116接觸該腔室24的上壁32。該頂板116 接觸頂部襯墊118並且由該頂部襯墊118支撐之。該頂板 1 1 6之厚度為約1毫米至約1 0毫米。 該頂部襯墊118接觸該項板Π6的外圍邊緣128。該 頂部襯墊 118包含一圓柱,其作用為限制該激發製程氣 體,並且保護該清潔腔室24的圍壁30不受該激發製程氣 體損傷。該襯墊1 1 8之厚度為約0.6 0公分至約0.7 0公分。 在一態樣中,該頂板1 1 6的外圍邊緣1 2 8擱置在該頂部襯 21 1359450 墊118的上緣132上。
一配氣板 120具有接觸該頂部襯墊 118之頂表面 1 34、底表面1 36、以及複數個穿過其間的孔1 40,而孔1 40 係用以將製程氣體分配在該腔室24内。該等孔140係經形 塑、訂製尺寸、並且在該配氣板1 20表面上以互相間隔開 的方式分配設置,以促進該製程氣體均勻輸送至該基板22 表面。在一態樣中,該等孔 140 包含孔 140a-d的四環 1 39a-d >該等孔140a-d的每一個皆擁有不同的直徑,如第 4 C圖所示。在_態樣中,最内側為具有直徑d之第一孔 140a的第一環 139a。每一個皆擁有一直徑 2d之第二孔 1 4 0b的第二環1 3 9b係位於該第一環1 3 9a的徑向外側。每 一個皆擁有一直徑3d之第三孔1 40c的第三環1 3 9c係位於 該第二環1 3 9b的徑向外側。每一個皆擁有一直徑4d之第 四孔140d的第四環139d係位於該第三環139c的徑向外 側。孔140 a-d之此種分佈提供製程氣體至該基板22表面 的更均勻輸送。在一態樣中,該等第一孔 140a的直徑d 為約1至約5毫米,而其他孔140b-d係據此尺寸而製作。 舉例來說,第一環之每一個孔140a的直徑為約1至約5 毫米;第二環之每一個孔1 40b的直徑為約2至約1 0毫米; 第三環之每一個孔1 4 0 c的直徑為約3至約1 5毫米;以及 第四環之每一個孔140d的直徑為約4至約20毫米。在一 態樣中,直徑不同的孔 140a-d亦間隔設置而使該第四環 1 3 9d含有較大量的孔,並讓該第三環139c、第二環139b、 和第一環139a之孔的數量逐漸變少。該配氣板120可由陶 22 1359450 瓷構成,例如,氧化鋁或氧化矽,並且氧化矽可以是石英。 一底部襯墊122接觸該配氣板120的底表面136,如 第4A和4B圖所示。該底部襯墊丨22也包含一圓柱,其擁 有從該圓柱往外延伸的環狀外圍邊緣丨42。該外圍邊緣142 接觸該配氣板120的底表面136和該清潔腔室24的側壁 34 ° 提供一集中環丨24以將該激發製程氣體集中至該基板 22上。該集中環124包含一内凸緣148’其擱置在該支揮 底座80的外圍邊緣上,並擁有傾斜上表面ι5〇,該傾斜上 150係與在該基板外圍處之一垂直表面m接合,如 第3B和4β圖所示6該傾斜上表面150包含約85至..約1 .〇 〇 〇 之間的角度,例如約95。。該集中環124也具有一腳部 152 ’其在該基板加熱底座80之外凸部154周圍提高。 上述之製程套組1 1 4部件可包含一過爐材料,例如石 英’以吸附來自該激發氣體的離子物種’以從該激發氣體 據出離子物種。在一態樣中,該頂板116、頂部襯墊118、 配氣板120、底部襯墊122和集中環124之至少一部分表 面包含石英,例如一石英塗層。可利用物理氣相沉積法或 和用熱水沉積法(hydrothermal deposition)將石英沉積至 故些製程套組114部件的表面上。這些表面上之石英層的 適4厚度係約〇. 〇 1毫米至約4毫米。在一態樣中,該製程 套 '組11 4部件〗丨2係由石英組成》 該等石英表面74可經配置以提供對於來自該激發清 潔氣體之氫離子物種的最佳過濾。在一態樣中’該等石英 23 1359450 表面74包含該陶瓷襯墊60之一部分的内部表面,其連 該氣體激發區域54和該清潔腔室24。例如,該陶瓷襯 60可包含一石英管。在另一態樣中,該石英表面74包 一或多個氣體分配器的表面,例如該配氣板 120的上 面。該等石英表面也可包含一設置在該遠端區域和該基 之間的線格(wire grid),例如在該處理區上方,以進一 過濾該活化的清潔氣體。
結 墊 含 表 板 步 基 最 等 在 約 9 以 氣 可 約 於 ^ Ο 火 驟 的 制 在設備20之清潔腔室24内執行的清潔製程中,該 板2 2的溫度係經設定以提供減少沉積物中的氧化物之 佳條件,並且甚至可經設定以加速該等含氫自由基和該 沉積物之間的化學反應。例如,該.基板2 2的溫度可維持 約0至約5 0 0 °C,例如約1 5 0 °C至約4 5 0 °C,並且甚至是 2 5 °C至約3 5 0 °C,例如約1 5 0 °C至約3 5 0 °C。在一態樣中 在該清潔製程期間施加至該基板22的偏壓功率層級可 如預期般的低,因為高的偏壓功率層級可增加激發清潔 體内的離子對於該基板22的轟擊。適當的偏壓功率層級 以是低於約1 0 0瓦,例如,約0至約1 〇瓦,並且甚至是 1至約10瓦,並且實質上甚至可以是零。在另一態樣中 可施加較高的偏麼功率層級以增加清潔速率,例如大 100瓦的偏壓功率層級,並且甚至是約100瓦至約200瓦 更發現到該基板22的清潔可藉由執行熱處理或退 步驟以從該基板22上除去沉積物來改善。在該熱處理步 中,將該基板22加熱至高到足以從該基板22氣化材料 溫度。也可在該熱處理步驟期間提供還原氣體流,以抑 24 1359450
氧化物在該基板22上形成。適合的還原氣體可! 氣體,例如氫氣。該熱處理步驟可在無實質激香 體的情況下執行,例如並未實質耦合RF或微注 還原氣體,藉以在該激發氫自由基清潔步驟之肯 溫和的基板2 2之初始清潔。 在適當的清潔製程之一態樣中,包含約50 seem的氫氣(例如300 seem的氫氣)以及約0至 的水(例如3 seem的水)之清潔氣體係藉由施方 至約3000瓦(例如1050瓦)的功率層級而在索 激發器52的腔室42中活化。該遠端腔室42之眉 在'低於約1 0 _托耳(T 〇 r r ),例如約_ 1托耳。施加 1 0 0瓦的偏壓功率層級(例如5 0瓦)以偏壓該基 且該基板2 2的溫度係維持在約1 5 0至約4 5 0 °C ' 。(:。該清潔製程係實質上除去該等沉積物以提供 表面。 在完成該清潔製程後,將該腔室24内的壓力 約1 〇毫托耳的壓力,以排空用過的清潔氣體和清 並減少該多腔室設備26被該清潔腔室24污染的 該基板22然後可透過擁有移送機器手(transfer 之基板移送室並在真空下移送至一沉積腔室28b 潔過的含金屬導體表面上沉積一第二含金屬導體 銅、銘、組、鶴、氮化组及氮化鶴的至少一者。 適於處理基板22的多腔室設備20包含一或 腔室28a-d,其可包含該清潔腔室24,如第5圖 ,含一含氫 -該還原氣 L能量至該 「提供相對 至約 1 0 0 約 1 0 seem f約300瓦 :遠端氣體 :力係維持 約0至約 板22,並 ^例如2 5 0 •一清潔的 降至低於 潔副產物 可能性。 robot)119 以在剛清 2 1,例如 多個製程 所示。該 25 1359450
等腔室係安裝在一平台上,而平台提供電氣、配管 他支撐功能。該平台通常支撐一負載鎖定室156, 待處理的基板22之基板卡匣158,以及一基板移送 該基板移送室154含有一機器手162以將基板22從 卡匣158移送至不同的腔室28a-d以進行處理並在 將其送回。該等不同的腔室28 a-d可包含,例如, 室.2 4、以在晶圓上沉積材料之沉積腔室2 8 b、選擇 一熱處理腔室 28c,以及其他處理腔室。例如,在 中,該等腔室之一包含該清潔腔室24,以除去形成 板22上的含金屬導體上之沉積物。在該清潔製程結 可利用該機器手1 62將該基板22移送至一沉積腔: 以在該清潔的基板22上沉積例如含金屬導體的材另 板22也可由該機器手162移送至能夠在於該第一逛 内沉積的第一材料上方沉積其他材料(例如另一種 導體)的第二沉積腔室28c。該等腔室28 a-d係經 以在該基板移送室154的側壁 160内形成連續的 境,以提供可繼續進行而不被中斷的製程,並減少 的污染。該移送室154包含擁有一排氣口 164的側/ 以排出氣體並維持低壓環境,例如低於约1 〇毫托 力,以減少该等腔室的污染。 該多腔室設備20可利用一控制器170透過一硬 來操作。該控制器170包含一電腦(未示出),其擁 憶體和周邊電腦組件連接的中央處理單元。較佳地 憶體可包含可移除式儲存媒體(例如CD或軟盤)、 、及其 以容納 室 154, 該基板 處理後 清潔腔 性地, 一態樣 在該基 束後, [28d > 。該基 :室 28b 含金屬 .内連接 真空環 基板22 I 160 > 耳的座 體介面 有與記 ,該記 非移除 26 1359450
式儲存媒體(例如硬盤),以及隨機存取記憶體。該控制器 170可進一步包含複數個介面卡,包含,例如,類比及數 位輸入及輸出板、介面板、以及馬達控制板。在一態樣中, 該控制器170包含一電腦可讀程式.,該程式可儲存在該記 憶體中,例如在非移除式儲存媒體或在移除式儲存媒體 中。該電腦可讀程式通常包含製程控制軟體、製程監控軟 體、安全系統軟體、以及其他控制軟體,該製程控制軟體 含有程式碼以操作該等腔室28a-d及其部件、該移送室1 54 和機器手162,製程監控軟體係用以監控在該等腔室内執 行的製程。該電腦可讀程式可以任何習知電腦可讀程式化 語言撰寫。 -
雖然已示出並描述本發明之例示實施例,熟知技藝者 可設計出合併本發明並且也落在本發明範圍内之其他實施 例。例如,該腔室24可包含除了具體描述者之外的部件, 如對於熟知技藝者而言為顯而易見般。此外,下方、上方、 底部、頂部、上、下、第一及第二等詞及其他相對或位置 用詞係關於圖式内的例示實施例示出,並且是可互換的。 因此,附屬的申請專利範圍不應受限於較佳態樣的描述、 材料、或在此描述以示出本發明之空間設置。 【圖式簡單說明】 可關於上面描述、如下附屬申請專利範圍、以及附圖 而對本發明之這些特徵結構、態樣、及優勢有更佳的了解, 其示出本發明之範例。但是,應了解每一個特徵結構均可 27 1359450 在本發明中廣泛使用,而不僅是在特定圖式背景中,並且 本發明包含這些特徵結構的任意組合,其中: 第1圖係包含基板清潔腔室之基板製程設備之一實施 例的剖面側視圖; 第2A圖係利用一襯墊鎖定圓柱和襯墊固持工具而適 配入該清潔腔室的頂板内之消耗性陶瓷襯墊的分解透視 Γ5Γ| · 圖,
第2B圖係適配入該清潔腔室的頂板内之陶瓷襯墊和 襯墊鎖定圓柱的簡要側視圖; 第3A圖係具有嵌設在該基板承接表面内的陶瓷球之 基板加·熱底座_的透視圖; ·- 第 3B圖係具有以銅焊連結的第一和第二盤狀物,以 及一嵌設的加熱元件之第3A圖的基板加熱底座之剖面簡 要圖, 第4A圖係一製程套組和配氣板的分解透視圖;
第4B圖係一清潔腔室内之製程套組、配氣板和基板 加熱底座的簡要部分剖面圖; 第4C圖係該配氣板的上視圖;以及 第5圖係包含基板清潔腔室之基板製程設備的簡要 圖。 【主要元件符號說明】 20 基板設備 22 基板 2 4 清潔腔室 28a-d 腔室 28 1359450
26 多腔室設備 30 圍壁 32 上壁/上腔室壁 34 側壁 36 底壁 38 處理區 40 進氣道/氣體入口 42 (遠端)腔室 44 排氣系統 46 排氣口 48 節流閥 50 排氣幫浦 52 氣體激發器 54 (氣體激發)區域 56 清潔氣源 57 感應天線 58 流量閥 60 襯塾 61 内表面 62 出氣道 64 入口圓枉 66 展開部 68 出口圓柱 69、 79 唇部 70 上端 71 鎖定圓柱 72 下端/出口端 73 壁/凸緣 74 表面 75 榫 76 離子過濾器 77 榫部分 78、 170 控制器 80 底座 8 1 襯塾固持工具 82 板 84、 86 (基板承接)表面 88 凹槽 90 球 92 加熱元件 94、 96 盤狀物 98 通道 1 00 接線(柱) 1 02 中心 1 04 銅焊連結 106 偵測器 110 支桿 112 部件 29 1359450
114 製程套組 116 頂 板 118 頂部襯墊 119 機 器手 120 配氣板 122 底部襯墊 124 集中環 126 盤狀物 128 ' 142 外圍邊緣 130 孔 洞 132 上緣 134 頂 表面 136 底表面 139a- d 環 140 孔 140a- d 孔 144 頂部區 148 内 凸緣 150 傾斜上表面 15 1 垂 直表面 152 腳部 154 外 凸部 156 負載鎖定室 158 卡 匣 160 側壁 162 機 器手 164 排氣口
30

Claims (1)

1359450 第μ丨·號專利案/«〇年r月修正^年^月修正本 十、申請專利範圍· 1. 一種用於一基板製程腔室之配氣板,該配氣板包含: (a) 第一孔之一第一環,各個該些第一孔之直徑為d ; (b) 第二孔之一第二環,各個該些第二孔之直徑為2d, 且該第二環係位在該第一環之徑向外側; (c) 第三孔之一第三環,各個該些第三孔之直徑為3 d, 且該第三環係位在該第二環之徑向外側;以及 (d) 第四孔之一第四環,各個該些第四孔之直徑為4d, 且該第四環係位在該第三環之徑向外側。 -V ▲▲ ' 2. 如申請專利範圍第1項所述之配氣板,其中直徑d為約 Γ至約5毫米。 3.如申請專利範圍第1項所述之配氣板,其中該第二孔具 有一直徑為約2至約1 0毫米。 4 ·如申請專利範圍第1項所述之配氣板,其中該第三孔具 有一直徑為約3至約1 5 _米。 5 ·如申請專利範圍第1項所述之配氣板,其中該第四孔具 有一直徑為約4至約20.毫米。 31 1359450 6.如申請專利範圍第1項所述之配氣板,其係由陶莞组 成0 7.如申請專利範圍第6項所述之配氣板,其中上述之陶瓷 包含氧化鋁或氧化矽。 8.如申請專利範圍第1項所述之配氣板,其係由石英組
9.如申請專利範圍第1項所述之配氣板,其中該第四環包 含比該第三環大量的孔。 1 〇.如申請專利範圍第1項所述之配氣板,其中該第一環或 第二環包含比該第四環小量的孔。
11. 一種用於一基板製程腔室之配氣板,該配氣板包含: (a) 第一孔之一第一環,各個該些第一孔具有一直徑 d,其為約1至約5毫米; (b) 第二孔之一第二環,各個該些第二孔具有一直徑 2 d,其為約2至約1 0毫米,且該第二環係位在該第一環之 徑向外側; (c)第三孔之一第三環,各個該些第三孔具有一直徑 3 d,其為約3至約1 5毫米,且該第三環係位在該第二環之 32 1359450 徑向外側;以及 (d)第四孔之一第四環,各個該些第四孔具有一直徑 4d,其為約4至約20毫米,且該第四環係位在該第三環之 徑向外側。 1 2 ·如申請專利範圍第1 1項所述之配氣板,其係由陶瓷組 成。
1 3 .如申請專利範圍第1 1項所述之配氣板,其中上述之陶 瓷包含氧化鋁或氧化矽。 1 4 ·如申請專利範圍第11項所述之配氣板,其係由石英組 成。 1 5.如申請專利範圍第1 1項所述之配氣板,其中該第四環 包含比該第三環大量的孔。
1 6.如申請專利範圍第1 1項所述之配氣板,其中該第一環 或第二環包含比該第四環小量的孔。 17.—種用於一基板製程腔室之配氣板,該配氣板包含: (a)第一孔之一第一環,各個該些第一孔具有一直徑為 約1至約5毫米; 33 1359450 (b) 第二孔之一第二環,各個該些第二孔具有一直徑為 約2至約1 0毫米,且該第二環係位在該第一環之徑向外側; (c) 第三孔之一第三環,各個該些第三孔具有一直徑為 約3至約1 5毫米,且該第三環係位在該第二環之徑向外 侧;以及 (d) 第四孔之一第四環,各個該些第四孔具有一直徑為 約4至約2 0毫米,且該第四環係位在該第三環之徑向外側。
1 8 .如申請專利範圍第1 7項所述之配氣板,其係由陶瓷組 成。 1 9 .如申請專利範圍第1 7項所述之配氣板,其中上述之陶 瓷包含氧化鋁或氧化矽。
2 0 ·如申請專利範圍第1 7項所述之配氣板,其係由石英組 成。 2 1 .如申請專利範圍第1 7項所述之配氣板,其中該第四環 包含比該第三環大量的孔。 22.如申請專利範圍第17項所述之配氣板,其中該第一環 或第二環包含比該第四環小量的孔。 34
TW097119766A 2007-05-30 2008-05-28 Substrate cleaning chamber and components TWI359450B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94095907P 2007-05-30 2007-05-30
US11/857,975 US7942969B2 (en) 2007-05-30 2007-09-19 Substrate cleaning chamber and components

Publications (2)

Publication Number Publication Date
TW200908109A TW200908109A (en) 2009-02-16
TWI359450B true TWI359450B (en) 2012-03-01

Family

ID=40086776

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100133253A TWI474387B (zh) 2007-05-30 2008-05-28 基板清潔腔室與其部件
TW097119766A TWI359450B (en) 2007-05-30 2008-05-28 Substrate cleaning chamber and components

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW100133253A TWI474387B (zh) 2007-05-30 2008-05-28 基板清潔腔室與其部件

Country Status (6)

Country Link
US (3) US7942969B2 (zh)
JP (4) JP5726521B2 (zh)
KR (2) KR101550579B1 (zh)
CN (1) CN101730921B (zh)
TW (2) TWI474387B (zh)
WO (1) WO2008153785A2 (zh)

Families Citing this family (475)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
KR20130093080A (ko) * 2010-06-25 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 이온 전류가 감소된 예비-세정 챔버
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012137408A1 (ja) * 2011-04-04 2012-10-11 キヤノンアネルバ株式会社 処理装置
DE102011007632B3 (de) * 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10883950B2 (en) 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
KR101885105B1 (ko) 2011-09-01 2018-08-06 세메스 주식회사 기판 처리 장치 및 방법
TWI674625B (zh) * 2011-10-05 2019-10-11 應用材料股份有限公司 原位羥化裝置
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103140011A (zh) * 2011-11-30 2013-06-05 亚树科技股份有限公司 直立式电浆产生装置
JP6181075B2 (ja) * 2011-12-23 2017-08-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子水素を用いて基板表面を洗浄するための方法及び装置
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
KR20130090287A (ko) * 2012-02-03 2013-08-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8822313B2 (en) 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101443792B1 (ko) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102291460B1 (ko) * 2013-04-30 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분포된 가스 통로들을 갖는 유동 제어 라이너
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102279673B1 (ko) * 2014-01-15 2021-07-21 갈리움 엔터프라이지즈 피티와이 엘티디 필름 내의 불순물의 감소를 위한 장치 및 방법
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR102451499B1 (ko) 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102262107B1 (ko) * 2014-08-29 2021-06-10 세메스 주식회사 기판 처리 장치
CN105405801B (zh) * 2014-09-11 2018-02-06 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016069808A1 (en) * 2014-10-31 2016-05-06 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10546733B2 (en) 2014-12-31 2020-01-28 Applied Materials, Inc. One-piece process kit shield
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR102481432B1 (ko) * 2015-08-10 2022-12-27 삼성전자주식회사 커버 플레이트 및 그를 포함하는 플라즈마 처리 장치
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102196746B1 (ko) * 2016-06-03 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
TW201841869A (zh) * 2017-03-21 2018-12-01 美商康波能再造工程公司 於高腐蝕或侵蝕半導體製程應用中使用的陶瓷材料組件
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN108284637B (zh) * 2018-04-11 2023-10-20 洛阳红奇机械科技有限公司 一种大板热压机的上模板表面清理装置的操作方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN110468377B (zh) * 2018-05-11 2022-04-22 北京北方华创微电子装备有限公司 腔室及半导体加工设备
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11251075B2 (en) * 2018-08-06 2022-02-15 Mattson Technology, Inc. Systems and methods for workpiece processing using neutral atom beams
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7079718B2 (ja) * 2018-11-27 2022-06-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111261514A (zh) * 2018-11-30 2020-06-09 东京毅力科创株式会社 基片处理方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11521834B2 (en) * 2020-08-26 2022-12-06 Tokyo Electron Limited Plasma processing systems and methods for chemical processing a substrate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022100570A (ja) * 2020-12-24 2022-07-06 新光電気工業株式会社 静電チャック及びその製造方法、基板固定装置
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (451)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
JPS5827652Y2 (ja) 1978-05-02 1983-06-15 日本軽金属株式会社 海苔養殖用アルミニウム合金製ポ−ル
JPS54162969U (zh) 1978-05-04 1979-11-14
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS60185786U (ja) 1984-05-22 1985-12-09 日立金属株式会社 防食継手
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (zh) 1986-09-18 1989-07-31 Grob Ernst Fa
JPS63235435A (ja) 1987-03-24 1988-09-30 Nishimura Watanabe Chiyuushiyutsu Kenkyusho:Kk 金属タンタルの製造方法
JPS63149396U (zh) 1987-03-24 1988-09-30
JPH0521876Y2 (zh) * 1987-05-30 1993-06-04
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
EP0339903B1 (en) 1988-04-26 1993-10-06 Toto Ltd. Method of making dielectric ceramics for electrostatic chucks
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
EP0406690B1 (en) 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5052331A (en) 1989-10-18 1991-10-01 The United States Of America As Represented By The United Sates Department Of Energy Apparatus for gas-metal arc deposition
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
JPH03138354A (ja) 1989-10-24 1991-06-12 Pioneer Electron Corp 防着板を備えた薄膜形成装置
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
DE69103915T2 (de) 1990-01-25 1995-05-11 Applied Materials Inc Elektrostatische Klemmvorrichtung und Verfahren.
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH03256327A (ja) * 1990-03-06 1991-11-15 Sumitomo Electric Ind Ltd 半導体製造装置
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
EP0493089B1 (en) 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
US5356723A (en) 1991-12-18 1994-10-18 Sumitomo Metal Industries, Ltd. Multilayer plated aluminum sheets
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
JP3566740B2 (ja) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3323924B2 (ja) 1993-01-29 2002-09-09 東京エレクトロン株式会社 静電チャック
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3201071B2 (ja) * 1993-05-18 2001-08-20 富士電機株式会社 爆薬発電機
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
WO1995018019A1 (de) 1993-12-27 1995-07-06 Hoechst Aktiengesellschaft Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
JPH07197272A (ja) 1993-12-29 1995-08-01 Kobe Steel Ltd フィルム密着性に優れた表面処理アルミニウム及びアルミニウム合金板
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
KR100404631B1 (ko) 1994-01-31 2004-02-05 어플라이드 머티어리얼스, 인코포레이티드 두께가일정한절연체막을갖는정전기척
JP4108119B2 (ja) 1994-02-23 2008-06-25 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5690795A (en) 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH0917850A (ja) 1995-06-30 1997-01-17 Tokyo Electron Ltd プラズマ処理装置
GB2318590B (en) 1995-07-10 1999-04-14 Cvc Products Inc Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5658442A (en) 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5901751A (en) 1996-03-08 1999-05-11 Applied Materials, Inc. Restrictor shield having a variable effective throughout area
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
JPH09272965A (ja) 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JPH09289152A (ja) * 1996-04-23 1997-11-04 Dainippon Screen Mfg Co Ltd 基板熱処理装置
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
JP3175835B2 (ja) 1996-05-09 2001-06-11 アプライド マテリアルズ, インコーポレイテッド プラズマ発生用埋込み形コイル
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5988187A (en) * 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5810931A (en) 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
JP3363040B2 (ja) * 1996-09-30 2003-01-07 株式会社荏原製作所 高速原子線源
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6036587A (en) 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
EP0946966B1 (de) 1996-12-21 2005-05-11 Singulus Technologies AG Vorrichtung zur kathodenzerstäubung
KR20000069523A (ko) 1997-01-16 2000-11-25 보텀필드 레인, 에프. 기상 증착 요소 및 기상 증착 방법
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
JPH10242255A (ja) * 1997-02-28 1998-09-11 Kyocera Corp 真空吸着装置
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5893643A (en) 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
US6103069A (en) 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6000415A (en) 1997-05-12 1999-12-14 Applied Materials, Inc. Method and apparatus for positioning a restrictor shield of a pump in response to an electric signal
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6589407B1 (en) 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield
JP3449459B2 (ja) 1997-06-02 2003-09-22 株式会社ジャパンエナジー 薄膜形成装置用部材の製造方法および該装置用部材
JP4023893B2 (ja) 1997-06-06 2007-12-19 沖電気工業株式会社 発光素子アレイ及び発光素子
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
JP3269993B2 (ja) 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
FR2768158B1 (fr) 1997-09-10 2001-06-01 Seb Sa Revetement de couche antiadherent a durete amelioree pour support en aluminium, articles et ustensiles culinaires comportant ce revetement
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5922133A (en) 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
JP4602545B2 (ja) 1997-09-16 2010-12-22 アプライド マテリアルズ インコーポレイテッド プラズマチャンバの半導体ワークピース用シュラウド
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6068685A (en) * 1997-10-15 2000-05-30 Saes Pure Gas, Inc. Semiconductor manufacturing system with getter safety device
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
JP3179075B2 (ja) 1997-12-22 2001-06-25 旭化成株式会社 電気植毛用の繊維及び電気植毛品
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3540936B2 (ja) 1998-03-31 2004-07-07 京セラ株式会社 真空容器
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
KR100290781B1 (ko) 1998-06-30 2001-06-01 박종섭 반도체 소자 및 그 제조방법
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
KR20010089376A (ko) 1998-10-29 2001-10-06 조셉 제이. 스위니 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
JP2000144399A (ja) 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
WO2000028104A1 (en) 1998-11-06 2000-05-18 Scivac Sputtering apparatus and process for high rate coatings
JP3937004B2 (ja) * 1998-11-18 2007-06-27 日本発条株式会社 ヒータユニット
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6583364B1 (en) * 1999-08-26 2003-06-24 Sony Chemicals Corp. Ultrasonic manufacturing apparatuses, multilayer flexible wiring boards and processes for manufacturing multilayer flexible wiring boards
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
KR100331555B1 (ko) * 1999-09-30 2002-04-06 윤종용 복수의 통공이 형성된 배플 및 그를 구비한 반도체소자 제조장비
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW503442B (en) 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
WO2001084624A2 (en) 2000-04-28 2001-11-08 Applied Materials, Inc. Semiconductor lift pin for dechucking substrates
WO2001084590A2 (en) 2000-05-04 2001-11-08 Etec Systems, Inc. Method and apparatus for imaging a specimen using indirect in-column detection of secondary electrons in a microcolumn
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US20010035403A1 (en) * 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
WO2001097270A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Substrate cleaning apparatus and method
US6358376B1 (en) 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
WO2002015255A1 (en) 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
KR20030024868A (ko) 2000-08-17 2003-03-26 토소우 에스엠디, 인크 수명-종료-표시를 갖는 고순도 스퍼터 타켓과 이의 제조방법
US6497797B1 (en) 2000-08-21 2002-12-24 Honeywell International Inc. Methods of forming sputtering targets, and sputtering targets formed thereby
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
EP1322444A4 (en) 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6503331B1 (en) 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3964177B2 (ja) * 2000-10-30 2007-08-22 大日本スクリーン製造株式会社 基板処理方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1312695B1 (en) 2000-11-17 2009-07-29 Nippon Mining & Metals Co., Ltd. Sputtering target producing few particles, backing plate provided with the target, and a method of producing the target
EP1341948A1 (de) 2000-11-27 2003-09-10 Unaxis Trading AG Target mit dickenprofilierung für rf magnetron
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100817742B1 (ko) 2000-12-18 2008-03-31 토소우 에스엠디, 인크 스퍼터 타겟 조립체의 제조방법 및 타겟 조립체
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
WO2002086186A1 (en) 2001-04-24 2002-10-31 Tosoh Smd, Inc. Target and method of optimizing target profile
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
CN1608141A (zh) 2001-09-17 2005-04-20 黑罗伊斯有限公司 废弃溅射靶的修复
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6667577B2 (en) 2001-12-18 2003-12-23 Applied Materials, Inc Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7121938B2 (en) 2002-04-03 2006-10-17 Toho Engineering Kabushiki Kaisha Polishing pad and method of fabricating semiconductor substrate using the pad
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US20030194510A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US20030221702A1 (en) 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
JP2005534188A (ja) 2002-07-26 2005-11-10 アプライド マテリアルズ インコーポレイテッド スピンドライヤーの為の親水性構成要素
US6846396B2 (en) 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040069223A1 (en) 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wall liner and slot liner for process chamber
ATE425277T1 (de) 2002-10-21 2009-03-15 Cabot Corp Verfahren zur herstellung eines sputtertargets und sputtertarget
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
EP1694885A4 (en) 2003-04-18 2007-12-19 Applied Materials Inc GALVANIZATION SYSTEM WITH MULTIPLE CHEMISTRY
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7297247B2 (en) 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US7097744B2 (en) 2003-06-12 2006-08-29 Applied Materials, Inc. Method and apparatus for controlling darkspace gap in a chamber
EP1639620A2 (en) 2003-06-20 2006-03-29 Cabot Corporation Method and design for sputter target attachment to a backing plate
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7294224B2 (en) 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
KR20060123504A (ko) 2004-02-03 2006-12-01 허니웰 인터내셔널 인코포레이티드 물리증착 표적 구조체
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7049612B2 (en) 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060021870A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
EP1903123B1 (de) 2004-11-19 2012-02-22 Applied Materials GmbH & Co. KG Trägerplatte mit einer darauf aufgesetzten gekühlten Rückenplatte
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
JP4762064B2 (ja) * 2005-07-04 2011-08-31 京セラ株式会社 接合体とこれを用いたウェハ支持部材及びウェハ処理方法
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
KR101066450B1 (ko) * 2005-09-28 2011-09-23 가부시키가이샤 오쿠텍 시료 유지구와 이것을 사용한 시료 흡착 장치 및 시료 처리방법
US20070084408A1 (en) 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20070215463A1 (en) 2006-03-14 2007-09-20 Applied Materials, Inc. Pre-conditioning a sputtering target prior to sputtering
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
WO2008079722A2 (en) 2006-12-19 2008-07-03 Applied Materials, Inc. Non-contact process kit
US8221602B2 (en) 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
KR20110025163A (ko) 2007-11-08 2011-03-09 어플라이드 머티어리얼스, 인코포레이티드 이동 가능한 실드를 갖는 전극 배열체

Also Published As

Publication number Publication date
JP2010528488A (ja) 2010-08-19
US8980045B2 (en) 2015-03-17
KR101593461B1 (ko) 2016-02-12
WO2008153785A3 (en) 2009-03-19
JP5726521B2 (ja) 2015-06-03
TW200908109A (en) 2009-02-16
US20110232845A1 (en) 2011-09-29
TWI474387B (zh) 2015-02-21
JP5844722B2 (ja) 2016-01-20
JP2013080940A (ja) 2013-05-02
JP2018050059A (ja) 2018-03-29
US20080295872A1 (en) 2008-12-04
WO2008153785A2 (en) 2008-12-18
KR101550579B1 (ko) 2015-09-07
KR20100037060A (ko) 2010-04-08
US20150144263A1 (en) 2015-05-28
CN101730921B (zh) 2011-12-21
US7942969B2 (en) 2011-05-17
KR20150027848A (ko) 2015-03-12
JP2016076716A (ja) 2016-05-12
TW201203332A (en) 2012-01-16
CN101730921A (zh) 2010-06-09

Similar Documents

Publication Publication Date Title
TWI359450B (en) Substrate cleaning chamber and components
TWI427684B (zh) 用於現場基底處理之方法及裝置
US7811409B2 (en) Bare aluminum baffles for resist stripping chambers
US7658802B2 (en) Apparatus and a method for cleaning a dielectric film
TWI380340B (en) Process kit design for deposition chamber
US7697260B2 (en) Detachable electrostatic chuck
JP3159306U (ja) シーリングアセンブリを有する着脱式静電チャック
TWI252505B (en) Method and apparatus for reducing substrate backside deposition during processing
TWI533384B (zh) 製程套組遮罩及其使用方法
JP2011082536A (ja) 水素含有ラジカルによる未変性酸化物の洗浄
JP5043439B2 (ja) 遊離炭素を取り除くために扱われた半導体基板処理装置の炭化シリコン部品
TWI622326B (zh) Surface treatment method
KR20230127369A (ko) 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
TWI293480B (zh)
WO2006120843A1 (ja) プラズマクリーニング方法、成膜方法およびプラズマ処理装置