KR20100037060A - 기판 세정 챔버 및 부품 - Google Patents

기판 세정 챔버 및 부품 Download PDF

Info

Publication number
KR20100037060A
KR20100037060A KR1020097027534A KR20097027534A KR20100037060A KR 20100037060 A KR20100037060 A KR 20100037060A KR 1020097027534 A KR1020097027534 A KR 1020097027534A KR 20097027534 A KR20097027534 A KR 20097027534A KR 20100037060 A KR20100037060 A KR 20100037060A
Authority
KR
South Korea
Prior art keywords
liner
substrate
gas
chamber
ceramic
Prior art date
Application number
KR1020097027534A
Other languages
English (en)
Other versions
KR101550579B1 (ko
Inventor
마틴 리커
웨이 더블유. 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100037060A publication Critical patent/KR20100037060A/ko
Application granted granted Critical
Publication of KR101550579B1 publication Critical patent/KR101550579B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0268Liner tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Abstract

기판 세정 챔버(24)가 예를 들어 소모성 세라믹 라이너(10), 기판 가열 받침대(80), 및 프로세스 키트(114)와 같은 여러 부품을 포함한다. 소모성 세라믹 라이너(60)는 원격 가스 에너자이저(52)의 가스 배출 채널(62)을 기판 세정 챔버(24)의 가스 유입 채널(40)에 연결시키기 위해 제공된다. 기판 가열 받침대(80)는 요부(88) 세트에 위치하는 다수의 세라믹 볼(90)을 구비하는 기판 수용 표면(84)을 가지는 환형 플레이트(82)를 포함한다. 프로세스 키트(114)는 상부 플레이트(116), 상부 라이너(118), 가스 분배 플레이트(120), 하부 라이너(122), 및 포커싱 링(124)을 포함한다.

Description

기판 세정 챔버 및 부품 {SUBSTRATE CLEANING CHAMBER AND COMPONENTS}
본 발명은 기판 세정 챔버 및 부품에 관한 것이다.
반도체 및 디스플레이와 같은 기판의 프로세싱에 있어서, 기판상에는 층이 형성되며, 이후 전기 전도성 인터커넥트(interconnects), 컨택트(contacts), 비아(vias), 게이트(gates) 및 배리어(barriers)와 같은 피처(features)를 형성하기 위해 식각된다. 예를 들어, 기판상에 금속 함유 전도체를 증착하고, 인터커넥트 라인을 형성하도록 상기 전도체를 식각하고, 잔여 레지스트(resist)를 제거하고, 식각된 피처 위에 유전체를 증착함으로써 전기 인터커넥트 라인의 패턴이 형성될 수 있다. 유전체 층은 하부의 금속 함유 전도체 재료 또는 다른 기판 층을 노출시키는 컨택트 홀(contact holes)이나 비아를 형성하도록 추가로 식각될 수 있다. 이후 전기 전도성 재료가 식각된 홀이나 트랜치(trenches)로 증착되어 하부 전도체를 전기적으로 접촉시킨다. 예를 들어, 구리 함유 인터커넥트의 형성에 있어서, 하부의 구리 전도체 재료를 노출시키는 컨택트 홀을 형성하기 위하여 유전체 층이 식각될 수 있다. 얇은 구리 시드층(seed layer)이 노출된 전도체 및 컨택트 홀 위에 증착되어 컨택트 홀을 채우기 위한 후속 구리 전기도금 프로세스를 용이하게 할 수 있다.
그러나 금속 함유 전도체 상의 오염물질이나 바람직하지 않은 표면 물질로 인해서, 후속하는 프로세스 단계가 실행되기에 앞서 노출된 전도체 표면의 세척이 필요하다. 예를 들어, 중간 프로세스 단계 동안, 예를 들어 레지스트를 벗기기 위해 산소 함유 가스 플라스마가 사용되는 레지스트 스트리핑 프로세스(resist stripping process) 동안이나 다른 챔버 사이에서 기판을 전달할 때, 산소 종(oxygen species)에 노출된 전도체 상에 천연 산화 막이 종종 형성된다. 산화 막은 전도체 표면 사이의 컨택트 인터페이스에서 전기 저항을 증가시킨다. 표면 물질은 예를 들어 타소 함유, 실리콘 함유, 플루오르 함유, 및 질소 함유 프로세스 잔여물과 같은 이전 프로세스로부터의 잔여 프로세스 증착물을 가질 수도 있다. 이러한 프로세스 증착물은 노출되고 증착된 재료 사이의 인터페이스에 보이드(voids)나 기타 이형(irregularities)이 형성되게 할 수 있다.
프로세싱에 앞서 그리고 프로세싱 단계들 사이에서 기판 표면으로부터 산화 막 및 기타 바람직하지 않은 프로세스 증착물을 세정하기 위하여 예비-세정 챔버(pre-clean chambers)로도 알려진 기판 세정 챔버가 사용된다. 세정 프로세스 동안에, 기판은 세정 챔버 내에 지지되며, 활성화(energized) 세정 가스가 원격 가스 챔버 내에서 형성되어 챔버로 유입된다. 세정 가스는 표면 잔여물과 반응하여 이를 제거한다. 일부 프로세스에서는, 기판 가열 받침대가 세정과정 동안에 기판의 온도를 제거하기 위한 가열 부재를 포함한다.
그러나 이와 같은 세정 프로세스에 활성화 세정 가스를 사용하는 것과 관련된 한 가지 문제점은 여기된(excited) 세정 가스의 래디컬(radical) 및 이온 종의 에너지를 제어하기 어렵다는 점이다. 세정 가스와 기판 표면 간의 높은 에너지 충돌은 하부의 기판에 손상을 일으킬 수 있다. 예를 들어 H+와 같이 세정 가스 내의 경이온(lighter ions)도, 기판의 표면을 침투하여 하부의 유전층을 손상시키게 되면 유해할 수 있다. 따라서, 프로세스 챔버로 유입되는 활성화 종의 에너지 및 유형을 제어하는 것이 바람직하다.
또 다른 문제점은 세정 가스가 종종 가스 에너자이저(energizer) 내의 리모트(remote)의 여기 영역(excitation region)을 둘러싸는 원격 챔버 벽을 식각시키고 부식시키며, 심지어는 세정 챔버 내부의 부품을 식각시키고 부식시킬 수 있다는 점이다. 이러한 부식작용은 부품을 손상시키며, 만약 이들 부품이 챔버의 필수 부분이라면, 소정의 프로세스 사이클 횟수 이후에 부품을 보수하거나 교체하기 위해 챔버가 가동 중단되어야만 하는데, 이는 바람직하지 않다. 종래의 스테인리스 스틸 벽 및 라이너(liners)는 특히 부식에 민감하여 빈번한 교체나 보수가 필요하다.
또 다른 문제점은 기판과 접촉하는 세정 챔버 내의 기판 가열 받침대가 오염물질 및 프로세스 잔여 증착물을 기판의 배면(backside)으로 전달하거나 기판 이송 프로세스 중에 기판을 갉을(scratch) 경우에 발생한다. 가열 부재를 포함하는 기판 가열 받침대는 기판의 표면에 걸쳐 비 균일한 가열을 제공할 수도 있다. 융기된 메사(mesas) 및 홈으로 이루어진 기판 수용 표면을 가지는 기판 가열 받침대는 열 전달 가스가 기판의 뒤로 유동하게 하여 온도 균일성을 향상시키지만 바람직하지 않은 양의 프로세스 잔여물 및 증착물을 기판으로 전달하기도 한다.
따라서, 예를 들어 세정 가스로부터 일정한 이온 종을 필터링하는 것과 같이 활성화 가스 종(energized gas species)을 선택적으로 필터링할 수 있는 가스 에너자이저 및 세정 챔버가 요구된다. 또한, 쉽게 교체되거나 보수될 수 있는 챔버 부품이 요구된다. 또한, 기판의 배면으로의 프로세스 증착물 전달로 인한 기판의 오염을 최소화하는 기판 가열 받침대도 요구된다. 또한, 기판을 더욱 균일하게 가열할 수 있는 기판 가열 받침대도 요구된다.
원격 챔버의 가스 배출 채널을 기판 세정 챔버의 가스 유입 채널에 연결하기 위한 소모성 세라믹 라이너가 제공된다. 이러한 라이너는 상기 원격 챔버의 가스 배출 채널에 맞는 크기의 외부 지름을 가지는 유입 실린더, 기판 세정 챔버의 가스 유입 채널에 연결되는 배출 실린더, 및 상기 유입 실린더를 상기 배출 실린더에 연결하는 원뿔형 플레어를 포함한다.
소모성 세라믹 라이너의 몇 가지 실시예가 제공된다. 일 실시예에서는 라이너의 원뿔형 플레어가 수직축으로부터 약 10 내지 약 60도의 각도로 기울어진 원뿔형 표면을 포함한다. 일 실시예에서는, 상기 배출 실린더의 길이에 대한 상기 원뿔형 플레어의 길이의 비가 약 1:2 내지 약 1:8이다. 일 실시예에서는 상기 라이너의 유입 실린더가 제1 지름을 포함하고, 상기 라이너의 배출 실린더는 상기 제1 지름보다 1.5배 이상 큰 제2 지름을 포함한다. 또 다른 실시예에서는, 제1 지름이 약 1 내지 약 4cm이며 제2 지름은 약 2 내지 약 8cm이다. 일 실시예에서는, 라이너가 원격 가스 에너자이저에서 발생된 활성화 가스로부터 이온 종을 제거할 수 있는 세라믹 재료를 포함한다. 일 실시예에서는 라이너가 석영, 산화 알루미늄, 또는 질화 알루미늄으로 이루어지며, 약 2mm 내지 약 6mm의 두께를 가질 수 있다. 라이너는 추가로 배출 실린더의 외부 지름 주위에 맞는 크기의 라이너 잠금 실린더를 포함할 수 있다.
원격 챔버의 가스 배출 채널을 기판 세정 챔버의 가스 유입 채널에 연결하기 위해 상부 챔버 벽으로 세라믹 라이너를 삽입하기 위한 방법으로서, 상기 세라믹 라이너가 상기 원격 챔버의 가스 배출 채널에 맞는 크기의 유입 실린더, 기판 세정 챔버의 가스 유입 채널에 연결되는 배출 실린더, 및 상기 유입 실린더를 상기 배출 실린더에 연결하는 원뿔형 플레어를 포함하는, 세라믹 라이너 삽입 방법이 제공된다. 상기 방법은, (a) 상기 세라믹 라이너의 배출 실린더 위로 라이너 잠금 실린더를 배치시키는 단계; (b) 상기 세라믹 라이너의 배출 실린더로 라이너 유지 공구를 슬라이딩시키는 단계로서, 상기 라이너 유지 공구의 외부 지름이 상기 배출 실린더의 내부 지름과 맞닿도록 크기가 정해지는, 슬라이딩 단계; (c) 상기 라이너 유지 공구를 파지하여 상기 세라믹 라이너의 유입 실린더를 상기 원격 챔버의 가스 배출 채널로 삽입하는 단계;를 포함한다.
상기 방법의 일 실시예에서는, (d) 상기 잠금 실린더의 환형 플랜지를 상기 상부 챔버 벽의 상응하는 환형 립 안으로 고정시키기 위하여 상기 라이너 유지 공구를 비트는 단계;를 더 포함한다.
기판 세정 챔버용 기판 가열 받침대가 제공된다. 상기 기판 가열 받침대는 (a) 요부 세트를 구비하는 기판 수용 표면을 가지는 제1 디스크, 가열 부재를 수용하도록 형성된 채널을 가지는 제2 디스크, 및 상기 제1 및 제2 디스크를 연결하는 브레이징 접합부를 포함하는 환형 플레이트; (b) 상기 기판 수용 표면상의 요부에 각각 배치되는 다수의 세라믹 볼; 및 (c) 상기 환형 플레이트에 매설되는 가열 부재;를 포함한다.
기판 가열 받침대의 브레이징 접합부는 알루미늄 브레이징 혼합물을 포함할 수 있다. 받침대의 제1 및 제2 디스크는 알루미늄을 포함할 수 있다. 받침대의 세라믹 볼은 산화 알루미나, 석영, 사파이어, 질화 규소, 합성 강옥, 산화 지르코늄, Al2O3, 또는 이들은 혼합물로 이루어질 수 있다. 일 실시예에서는, 받침대의 세라믹 볼이 약 1 내지 약 3mm의 지름을 가지며, 상기 기판 수용 표면을 상기 환형 플레이트의 상부 표면보다 약 0.01mm 내지 약 0.5mm 만큼 더 높게 유지할 수 있는 크기의 지름을 가질 수 있다.
기판 처리 챔버용 가스 분배 플레이트가 제공된다. 상기 가스 분배 플레이트는 각각이 지름(d)을 가지는 제1 구멍의 제1 링; 각각이 지름(2d)을 가지는 제2 구멍의 제2 링으로서, 상기 제2 링은 상기 제1 링의 반경방향 외부에 위치하는, 제2 구멍의 제2 링; 각각이 지름(3d)을 가지는 제3 구멍의 제3 링으로서, 상기 제3 링은 상기 제2 링의 반경방향 외부에 위치하는, 제3 구멍의 제3 링; 각각이 지름(4d)을 가지는 제4 구멍의 제4 링으로서, 상기 제4 링은 상기 제3 링의 반경방향 외부에 위치하는, 제4 구멍의 제4 링;을 포함한다.
상기 가스 분배 플레이트의 일 실시예에서는, 상기 지름(d)은 약 1 내지 약 5 mm이다. 상기 가스 분배 플레이트는 세라믹으로 구성될 수 있으며 산화 알루미늄이나 산화 규소를 포함할 수도 있다.
기판 가열 받침대와 면하는 가스 분배 플레이트를 유지하는 챔버 리드를 가지는 기판 세정 챔버용 프로세스 키트가 제공된다. 상기 프로세스 키트는 (a) 상기 챔버 리드와 접촉하는 상부 플레이트로서, 상기 석영 상부 플레이트가 프로세스 가스를 통과시키기 위한 오리피스를 가지며, 둘레 에지를 가지는, 상부 플레이트; (b) 상기 석영 상부 플레이트의 둘레 에지와 접촉하며 상기 가스 분배 플레이트 위에 위치하는 상부 라이너; (c) 상가 가스 분배 플레이트 아래의 하부 라이너; 및 (d) 상기 기판 가열 받침대의 둘레 에지 상에 지지되는 포커싱 링;을 포함한다.
상기 프로세스 키트의 일 실시예에서는, 상부 플레이트, 상부 라이너, 하부 라이너, 및 포커싱 링 모두가 석영을 포함한다. 상기 프로세스 키트의 상부 플레이트는 프로세스 가스를 통과시키기 위한 오리피스 및 외부 둘레 에지를 가지는 환형 디스크를 포함할 수 있다. 상부 플레이트는 약 1mm 내지 약 5mm의 두께를 가질 수 있다. 일 실시예에서 상기 프로세스 키트의 가스 분배 플레이트는 세라믹으로 구성되며 산화 알루미늄이나 산화 규소를 포함할 수도 있다. 상기 프로세스 키트의 상부 및 하부 라이너는 실린더를 포함할 수 있다. 상기 포커싱 링은 상기 기판 가열 받침대의 둘레 에지 상에 지지되는 내부 플랜지를 구비할 수 있고, 여기서 상기 내부 플랜지는 기판 둘레에 있는 수직 표면에 연결되는 경사진 상부 표면을 포함한다. 추가의 실시예에서는 상기 프로세스 키트의 경사진 상부 표면이 약 85도 내지 약 100도의 각도를 가진다.
이러한 본 발명의 특징, 태양, 및 장점은 본 발명의 실시예를 설명하는 아래의 상세한 설명, 첨부된 청구범위, 및 첨부된 도면을 참조하여 더욱 잘 이해될 수 있다. 그러나 각각의 특징들은 특정한 도면과 관련하여서만 사용될 수 있는 것이 아니라 본 발명 전체적으로 사용될 수 있으며, 본 발명이 이러한 특징들의 어떠한 조합도 포함하는 것은 물론이다.
도 1은 기판 세정 챔버를 포함하는 기판 프로세싱 장치의 일 실시예의 측단면도이다.
도 2A는 라이너 잠금 실린더 및 라이너 유지 공구를 이용하여 세정 챔버의 상부 플레이트에 설치되는 소모성 세라믹 라이너의 분해 사시도이다.
도 2B는 세정 챔버의 상부 플레이트에 설치되는 라이너 잠금 실린더 및 세라믹 라이너의 개략적인 측면도이다.
도 3A는 기판 수용 표면 내에 매설되는 세라믹 볼을 가지는 기판 가열 받침대의 사시도이다.
도 3B는 브레이징 접합부를 구비하는 제1 및 제2 디스크와 매설된 가열 부재를 가지는 도 3A의 기판 가열 받침대의 개략적인 단면도이다.
도 4A는 프로세스 키트 및 가스 분배 플레이트의 분해 사시도이다.
도 4B는 세정 챔버 내의 기판 가열 받침대 및 가스 분배 플레이트, 프로세스 키트의 개략적인 부분 단면도이다.
도 4C는 가스 분배 플레이트의 평면도이다
도 5는 기판 세정 챔버를 포함하는 기판 처리 장치의 개략적인 도면이다.
기판(22)을 세정하기에 적합한 세정 챔버(24)를 포함하는 기판 장치(20)의 일 실시예가 도 1에 도시되어 있다. 세정 챔버(24)는 도시된 바와 같이 반도체 웨이퍼와 같은 기판(22)을 세정하기에 적합하다; 그러나 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 평판 디스플레이, 폴리머 패널이나 기타 전기 회로 수용 구조체와 같이, 다른 기판(22)을 세정하도록 세정 챔버(24)를 조정할 수 있다. 따라서, 본 발명의 범위가 본 명세서의 예시적 세정 챔버 실시예에 한정되어서는 안 된다. 일반적으로 세정 챔버(24)는, 프로세스 영역(38)을 둘러싸며 상부 벽(32), 측벽(34), 및 하부 벽(26)을 구비할 수 있는, 하나 이상의 인클로져 벽(enclosure walls)(30)을 포함한다. 활성화 세정 가스는 원격 챔버(42)로부터 세정 챔버(24)의 가스 유입 채널(40)로 공급된다. 세정 가스는 기판(22) 및 챔버(24) 내의 다른 표면과 반응한다. 사용된 가스 및 부산물은 배기 시스템(44)을 통해서 챔버(24)로부터 배출되며, 이러한 배기 시스템은 프로세스 영역(38)으로부터 가스를 수용하는 배기 포트(46)를 구비할 수 있으며 또한 챔버(24) 내의 가스의 압력을 제어하기 위한 스로틀 밸브(48) 및 터보-분자 배기 펌프와 같은 하나 이상의 배기 펌프(50)를 구비할 수도 있다. 배기 시스템(44)은 챔버(24) 내에 대기압보다 낮은 압력(sub-atmospheric pressure)을 유지시킬 수 있다.
원격으로 세적 가스에 에너지를 가하기에 적합한 원격 챔버(42)는 가스 에너자이저 영역(54)에 에너지를 가하는 원격 가스 에너자이저(52)를 포함한다. 세정 가스 공급원(56)은 가스 에너자이저 영역(54)에 세정 가스를 공급한다. 원격 챔버(42)로의 세정 가스의 유량을 제어하기 위하여 유동 밸브(58)가 제공될 수 있다. 가스 에너자이저(52)는 가스 에너자이저 영역(54) 내의 세정 가스에 에너지를 가하여 이온 및 래디컬 종을 포함하는 활성화 세정 가스를 형성한다. 가스 에너자이저(52)는 예를 들어 RF 또는 마이크로파 에너지를 세정 가스에 가할 수 있다. 일 태양에서, 원격 가스 에너자이저(52)는 인덕터 안테나(57)를 포함하는데, 이는 예를 들어 약 100와트 내지 약 10킬로와트의 수준의 전력에서 가스 에너자이저 영역(54) 내의 세정 가스에 RF 에너지를 유도적으로 가한다. 가스 에너자이저(52)는, 예를 들어, 본 명세서에 전체가 참조로서 병합되는, 스미스 등에게 허여된 미국 특허 US 6,150,628호에 개시된 것과 같이, 원격 영역(54) 내의 세정 가스에 에너지를 가하는 환상면체 가스 에너자이저(toroidal gas energizer)일 수도 있다. 환상면체 가스 에너자이저에 의해 인가되는 적절한 RF 전력 수준은 약 1000와트 내지 약 10,000와트일 수 있다. 약 300와트 내지 약 5킬로와트의 마이크로파 전력 수준을 제공하는 마이크로파 가스 활성기(activator)를 포함하는 원격 가스 에너자이저(52)가 사용될 수도 있다.
소모성 세라믹 라이너(60)는, 도 2A 및 2B에 도시된 바와 같이, 원격 가스 에너자이저(52)의 가스 배출 채널(62)을 챔버(24)의 가스 유입 채널(40)에 연결시킨다. 라이너(60)는, 라이너(60)의 내부 표면(61)이 활성화 가스 종에 노출되도록, 라이너(60)의 적어도 일부 표면으로 채널(40, 62)의 내부 표면을 덮음으로써 채널(40, 62)을 보호하는 역할을 한다. 라이너(60)는 원격 가스 에너자이저의 가 스 배출 채널(62) 내에 알맞은 크기의 외부 지름을 가지는 유입 실린더(64)를 포함한다. 일 태양에서는, 유입 실린더(64)가 원격 챔버(42)로부터 약 50mm 이상의 거리만큼 연장하기에 충분히 긴 길이(L)를 가진다. 길이(L)는 챔버(24)의 가스 유입구(40)의 단부 약 1mm 이상 앞에서 종료되기에 충분하게 짧다. 일 태양에서는, 유입 실린더(64)가 약 100 내지 약 110mm의 길이(L)를 가지며, 약 1cm 내지 약 4cm 사이의 지름을 가진다.
원뿔형 플레어(conical flare)(66)는 유입 실린더(64)를 배출 실린더(64)에 연결한다. 원뿔형 플레어(66)는 플레어(66)의 길이에 걸쳐 원뿔형 표면을 따라 증가하는 직경을 가지는 튜브를 포함한다. 원뿔형 플레어(66)는 상부 단부(70) 및 하부 단부(72)를 가진다. 원뿔형 플레어(66)의 상부 단부(70)의 외부 지름은 원뿔형 플레어(66)와 유입 실린더(64) 사이의 접합부에서 유입 실린더(64)의 외부 지름에 상응하는 크기를 가진다. 원뿔형 플레어(66)의 하부 단부(72)의 외부 지름은 원뿔형 플레어(66)와 배출 실린더(68) 사이의 접합부에서 외부 실린더(68)의 외부 지름에 상응하는 크기를 가진다. 원뿔형 플레어(66)의 하부 단부(72)의 지름은 원뿔형 플레어(66)의 상부 단부(70)의 지름보다 적어도 1.5배 이상 크다. 일 태양에서, 유입 실린더(64), 원뿔형 플레어(66) 및 배출 실린더(68)는 일체적으로 연결된다.
원뿔형 플레어(66)는 상부 및 하부 단부(70, 72) 사이에서 라이너(60)의 내부 체적의 지름을 점차로 증가시켜 프로세스 챔버로 들어가는 활성화 가스 종이 더욱 균일하게 분배되도록 하는 기능을 한다. 지름의 급격한 변화는 라이너의 배출 부로부터의 비 균일한 가스 분배를 초래하는 것으로 보인다. 원뿔형 플레어(66)는 유입 실린더(64)의 제1 지름으로부터 배출 실린더(68)의 제2 지름으로 지름을 점차로 변화시켜 해리된 가스 종의 유동 경로를 따라 체적을 점차 증가시킨다. 일 태양에서는, 원뿔형 플레어(66)가 원뿔형 플레어의 중심선을 통과하는 수직 축에 대하여, 약 10도 내지 약 60도의 각도로 기울어진다. 또한, 배출 실린더(68)의 길이에 대한 원뿔형 플레어(66)의 길이의 비는 약 1:2 내지 약 1:8이다. 원뿔형 플레어(66)의 길이에 걸쳐 체적을 증가시킴으로써 원뿔형 플레어(66)의 배출 단부(72)에서 가스 종을 양호하게 분포시킬 수 있다.
라이너(60)는 또한 배출 실린더(68)도 구비하는데, 이는 기판 세정 챔버(24)의 가스 유입 채널(40)에 연결된다. 일 태양에서는, 배출 실린더(68)가 기판 세정 챔버(24)의 가스 배출 채널(40)에 맞는 크기를 가지는 외부 지름을 가진다. 배출 실린더(68)는 챔버 환경 내에서의 부식을 방지하기 위해 세정 챔버(24)의 프로세스 영역 앞에서 종결되기에 충분히 짧은 길이(L)를 가진다. 유입 실린더(64)가 제1 지름을 가질 때, 배출 실린더(68)는 제1 지름보다 1.5배 이상 더 큰 제2 지름을 포함한다. 일 태양에서는, 배출 실린더(68)가 약 2cm 내지 약 8cm의 지름을, 또는 보다 일반적으로는 약 4cm의 지름을 가진다. 배출 실린더(68)는, 원격 영역(54)에 형성된 활성화 가스 종 사이의 충돌을 방지하기 위하여 라이너의 지름을 증가시키면서, 챔버의 가스 유입구(40)의 내부 표면이 활성화 가스 종에 의해 부식되는 것을 방지한다.
소모성 라이너(60)는 원격 가스 에너자이저에서 생성된 활성화 가스로부터 이온 종을 제거시킬 수 있는 세라믹 재료를 포함한다. 예를 들어, 라이너(60)는 석영, 산화 알루미늄 또는 질화 알루미늄을 포함할 수 있다. 일 태양에서는, 라이너(60)가 석영을 포함하여 그 내부 표면(74) 상에 수소 이온의 일부를 흡착시킴으로써 활성화 가스로부터 수소 이온을 제거시킬 수 있다. 석영 내부 표면(74)은 수소 함유 종이 흡착될 수 있는 표면을 제공함으로써 래디컬의 재결합을 감소시키기 위한 이온 필터(76)로서 작용하는 것으로 보인다. 또한, 석영 표면(74) 상에 충돌하는 수소 함유 종은 흡착된 수소 함유 래디컬을 활성화 가스로 방출시켜 자유 수소 래디컬을 재생시키는 것으로도 보인다. 그러나 수소 이온은 석영 표면(74)에 의하여 재생되지 않고, 따라서 석영 표면상에 충돌하는 수소 이온은 전기적으로 중성인 비-이온 종을 형성하도록 재결합한다. 따라서, 활성화된 또는 에너지가 가해진 세정 가스를 석영 표면(74) 위로 보내면, 수소 래디컬이 보존되면서도 활성화 세정 가스로부터 이온 종이 필터링된다.
소모성 라이너(60)의 두께는 라이너가 교체되기 전에 사용되어야 하는 프로세스 사이클 횟수에 따라서 선택된다. 활성화 가스는 라이너(60)를 식각시키고 부식시킬 수 있으므로, 라이너(60)는 소정의 프로세스 사이클 횟수 이후에는 반드시 교체되어야 한다. 또한, 라이너(60)의 흡착 특성은 점점 많은 이온이 세라믹 라이너 표면상에 흡착될수록 감퇴한다. 라이너(60)가 사용될 수 있는 사이클 횟수는 라이너(60)의 두께와 관계가 있다. 일 태양에서는, 라이너(60)가 약 30,000 프로세스 사이클 이상 동안 이온 종을 제거시킬 수 있기에 충분히 두꺼우며, 약 2mm 내지 약 6 mm의 두께를 가진다.
라이너(60)는 예를 들어 냉간 정수압 성형(cold isostatic pressing)에 의해서 세라믹 분말을 원하는 형태로 성형함으로써 제조될 수 있다. 예를 들어, 세라믹 분말은 유기 결합제 폴리비닐 알콜(organic binding agent polyvinyl alcohol)과 같은 액체 결합제와 결합할 수 있다. 혼합물은 정수압 성형 장치의 고무 백(bag) 내에 배치되고 백의 벽 상에 압력이 균일하게 가해져서 원하는 관 형상을 가지는 세라믹 구조체를 형성하도록 혼합물을 압축시키게 된다. 예를 들어 가요성 용기를 물에 담그거나 또는 다른 가압 방법에 의하여 압력이 가해질 수 있다. 성형된 세라믹 예비성형물(preform)은 중공 관 주형을 이용하여 원통형이나 링 형상으로 제조될 수 있으며, 이에 따라 성형된 세라믹 예비성형물은 기계가공에 의하여 추가로 성형될 수 있다. 성형된 세라믹 예비성형물은 이후 소결되어 소결 세라믹을 형성한다. 예를 들어, 산화 알루미늄은, 통상적으로 약 1 atm의 압력에서, 약 48 내지 약 96 시간 동안 약 1300℃ 내지 약 1800℃의 온도에서 소결될 수 있다. 소결된 세라믹 재료는, 예를 들어 기계가공, 연마, 레이저 드릴링(laser drilling), 또는 다른 방법을 사용하여 추가로 성형되어 원하는 세라믹 구조체를 제공할 수 있다.
라이너(60)는 라이너 잠금 실린더(71)에 의하여 챔버 내의 위치에 유지된다. 라이너 잠금 실린더(71)는 라이너(60)의 배출 실린더(68)의 외부 지름 위에서 슬라이딩하도록 크기가 정해지며, 도 2A 및 2B에 도시된 바와 같이, 배출 실린더(68)의 환형 립(lip)(69)에 대해 지지된다. 라이너 잠금 실린더(71)는 라이너(60)의 외부 실린더(68)와 구멍 벽(73) 사이에 설치되어 도 1에 도시된 바와 같이 기밀(gas tight) 시일(seal)을 형성하며, 또한 금속이나 세라믹 재료로 제조될 수 있다.
바람직하게, 라이너 잠금 실린더(71)는 상부 챔버 벽(32)으로 배치를 용이하게 하며, 설정된 프로세스 사이클 횟수 동안 플라스마에 노출된 이후에, 보수나 교체를 위해 라이너(60)를 제거하는 것을 용이하게 한다. 라이너 잠금 실린더(71)는 라이너 잠금 실린더(71)의 일 단부로부터 연장하여 나가는 원형 플랜지(71)를 포함한다. 원형 플랜지(73)는 평평한 키(key)(75)를 구비하는데, 이는 도 2B에 도시된 바와 같이 상부 챔버 벽(32)으로부터 연장하여 나가는 환형 립(79) 상의 대응하는 평평한 키 부분(77)으로 삽입된다. 라이너 잠금 실린더(71)를 비틀면 원형 플랜지(73)가 회전하여 상부 챔버 벽(32)의 환형 립(79) 뒤로 슬라이딩되어 원형 플랜지를 환형 립 뒤에 잠그게 된다. 플랜지가 더 회전하는 것을 차단시키고 멈추기 위하여 회전하는 원형 플랜지(73)의 경로에 잠금 핀과 같은 잠금 차단부(locking blocker)(도시되지 않음)가 삽입될 수 있다.
원격 챔버(42)의 가스 방출 채널(62)을 세정 챔버(24)의 가스 유입 채널(40)에 연결하기 위하여 챔버 리드(lid)로 라이너(60)를 삽입하는 방법도 도 2B에서 설명된다. 이러한 방법에서는, 라이너 잠금 실린더(71)가 먼저 세라믹 라이너(60)의 배출 실린더(68) 위에 배치된다. 이후, 라이너 유지 공구(81)가 세라믹 라이너(60)의 배출 실린더(68)로 들어가서 라이너 유지 공구(81)의 외부 지름이 배출 실린더(68)의 내부 지름과 맞닿게(grip) 된다. 사용자는 라이너 유지 공구(81)를 파지하여 세라믹 라이너(60)의 유입 실린더(64)를 원격 챔버(42)의 가스 배출 채널(62)로 삽입한다. 이후 라이너 유지 공구(81)를 비틀어서 라이너 잠금 실린 더(71)의 원형 플랜지(73)를 상기한 바와 같이 상부 챔버 벽(32)의 상응하는 환형 립(79) 안으로 고정시킨다.
챔버(24)는 챔버(24)의 프로세스 영역(38) 내의 가스에 에너지를 가하는 챔버 가스 에너자이저(도시되지 않음)를 선택적으로 포함할 수도 있다. 예를 들어, 챔버 가스 에너자이저는 RF 에너지를 가하기 위하여 하나 이상의 전극 및 인덕터 안테나를 포함할 수 있다.
기판 가열 받침대(80)는 도 1, 3A, 3B, 및 4B에 도시된 바와 같이 기판 세정 챔버(24)의 프로세스 영역(38) 내에 기판(22)을 유지시키기 위하여 제공된다. 받침대(80)는 환형 플레이트(82)를 포함하며, 환형 플레이트는 요부(recesses)(88) 세트를 가지는 기판 수용 표면(84) 및 환형 플레이트(82) 내에 매설되는 가열 부재(92)를 구비한다. 가열 부재(92)는 제어기(78)에 의하여 제어된다. 제어기(78)는 세정 챔버(24)나 원격 챔버(42) 내의 상태를 모니터하는 하나 이상의 검출기(106)로부터의 입력이나 장치(20)의 사용자로부터의 입력 중 하나 이상에 따라서 가열 부재(92)에 가변적인 전력 수준을 공급할 수 있다. 받침대(80)는 기판(22)의 이온 가격도(degree of ion bombardment)와 같은 프로세스의 특성에 영향을 미치거나 기판(22)을 받침대(80)에 고정시키기 위하여 전기적으로 바이어스될 수 있는 전극(도시되지 않음)을 선택적으로 포함할 수 있다. 전극에 가해진 바이어스도 제어기(78)에 의해 제어될 수 있다.
도 3A에 도시된 바와 같이, 기판 수용 표면(84) 상의 요부(88)에 다수의 세라믹 볼(90)이 각각 위치할 수 있다. 세라믹 볼(90)은, 각각의 볼(90)의 표면 일 부가 받침대 표면(84) 평면의 위에 위치하도록, 받침대(80)의 표면(84) 내에 매설된다. 이와 같이 하여, 볼(90)의 상부 영역(144)은 N 개의 분리된 영역으로 이루어진, 융기된 기판 수용 표면(86)을 구성하게 되며, 여기서 N은 받침대(80)의 표면(84) 내에 매설된 볼(90)의 개수이다. 융기된 기판 수용 표면(86)은 받침대 표면(84)으로부터 수직으로 분리된다. 즉, 융기된 기판 수용 표면(86)은 환형 플레이트(82)의 표면보다 약 0.01mm 내지 약 0.5mm 만큼 더 높다. 가열 받침대(80)의 환형 플레이트(82)의 표면으로부터 수직으로 떨어져서 일련의 분리된 지점들에 의해 기판(22)을 지지함으로써 챔버(24) 내의 가스는 가열 과정 동안에 환형 플레이트(82)의 표면과 기판(22) 사이에서 열을 전달할 수 있게 된다. 환형 플레이트(82)의 표면 위에 기판(22)을 걸침으로써 환형 플레이트(82)의 표면에 기판(22)을 접촉시키는 경우와 비교하여 더욱 균일하게 기판(22)을 가열할 수 있게 되는데, 이는 열적 접촉(thermal contact)은 열 전도성에서의 국부적인 변동 및 플레이트(82)의 표면 접촉 특성에 의해 직접적으로 영향받지 않기 때문이다.
일 태양에서는, 세라믹 볼(90)이 구 형상이며, 볼(90)의 지름은 기판 수용 표면을 환형 플레이트의 상부 표면보다 약 0.01mm 내지 약 0.5mm 더 높게 유지하기에 충분한 크기이다. 통상적으로, 볼(90)은 약 1mm 내지 약 3mm의 지름을 가진다. 일 태양에서는, 구형 몸체가 약 2mm의 지름을 가지며 환형 플레이트(82)의 상부 표면으로부터 약 0.04mm 돌출한다. 세라믹 볼(90)은 질화 규소, 산화 지르코늄, 사파이어, 합성 강옥(synthetic corundum), 및 산화 알루미나 중 하나 이상을 포함하며, 일 태양에서는 산화 알루미늄을 포함한다.
환형 플레이트(82)는 브레이징 접합부(brazed bond)에 의해 서로 접합되는 2개의 디스크(94, 96)로서 구성된다. 일 태양에서는, 도 3A 및 도 3B에 도시된 바와 같이, 환형 플레이트(82)가 융기된 기판 수용 표면(86)을 가지는 제1 디스크(94)를 포함한다. 제1 디스크(94)는 약 10mm 내지 약 30mm의 두께 및 약 10cm 내지 약 70cm의 지름을 가진다. 디스크(94)의 지름은 처리될 기판의 치수에 따른다. 디스크(94)의 수용 표면은 다수의 요부(88)를 포함하는데, 이들 각각은 세라믹 볼(90)을 수용하기에 충분한 깊이와 지름을 가진다. 요부(88)는 기계 가공에 의해 형성될 수 있으며, 제1 디스크 표면에서 요부(88)의 지름이 세라믹 볼(90)의 지름보다 약간 더 작도록 바람직하게는 약 2 내지 약 20도의 각도로 안쪽으로 기울어진 측면을 포함한다. 이러한 방식으로 기계가공된 요부(88)는 환형 플레이트(82)의 표면으로 삽입된 세라믹 볼(90)을 삽입 이후에 가둘 수 있다.
제1 디스크(94)의 지름과 상응하는 지름 및 약 6mm 내지 약 15mm의 두께를 가지는 제2 디스크(96)가 제공된다. 제2 디스크(96)는 가열 부재(92)를 수용하도록 형성된 채널(98)을 포함하며, 알루미늄, 구리, 티타늄, 몰리브덴이나 스테인리스 스틸, 또는 이들의 조합 중 하나 이상으로 제조된다. 일 태양에서는, 제2 디스크가 알루미늄을 포함하며, 브레이징 접합 재료는 알루미늄 브레이징 재료를 포함한다. 가열 부재(92)는 환형 플레이트(82)의 표면(84)을 대략 상온으로부터 약 400℃까지의 온도에서 유지하기에 충분한 전기 저항을 가지는 저항 조립체를 포함한다. 가열 부재(92)에는 디스크의 중심(102) 주위에서 제2 디스크(96)를 통해 연장하는 단말 포트(terminal port)(100)에 의해서 전력이 인가된다.
가열 부재(92)가 매설된 환형 플레이트(82)는 약 5mm의 두께를 가지는 알루미늄 시트로부터 제1 디스크(94)를 기계 가공함으로써 형성될 수 있다. 제1 디스크(94)의 표면(84)으로부터 약 2mm의 깊이를 가지는 요부(88)는 박히는 세라믹 볼(90)의 원하는 위치에 상응하여 디스크(94)의 표면(84) 내부로 천공(drilling)된다. 제2 디스크(96)는 약 11.5mm 내지 약 12.5mm의 두께를 가지는 알루미늄 시트를 제1 디스크(94)와 동일한 지름을 가지도록 기계 가공한다. 구불구불한 채널(98)이 디스크(96) 내에 기계가공되는데, 이러한 채널(98)은 가열 부재(92)의 치수에 상응하는 폭과 깊이를 가진다. 제2 디스크(96)의 중심(102) 주변에는 적어도 한 쌍의 구멍(도시되지 않음)이 천공된다. 천공된 구멍은 가열 부재(92)의 단말(100)의 지름보다 10% 이상 더 큰 지름을 가진다. 가열 부재(92)는 이를 채널(98)로 밀어넣고 천공된 구멍을 통해 단말(100)을 꼽음으로써 제2 디스크(96)의 홈이 형성된 측면에 장착된다. 브레이징 포일(brazing foil)이나 브레이징 혼합물이 제2 디스크(96)의 홈이 형성된 표면상에 배치되어 제2 디스크(96)의 표면을 덮는다. 제1 디스크(94)의 구멍이 형성되지 않은 측면이 브레이징 표면상에 유지되고, 이러한 조립체는 제1 및 제2 디스크(94, 96)의 둘레가 서로 중첩되도록 정렬된다. 상기 조립체는, 고온 프레싱(hot press)에서와 같이, 조립체를 노(furnace)에 배치하고, 브레이징 재료의 용융점보다 높은 온도로 상기 조립체를 가열하며 압력을 가함으로써 서로 접합된다. 이후 상기 조립체는 브레이징 접합부(104)를 형성하도록 냉각된다.
환형 플레이트(82)의 후면은 환형 플레이트(82)를 지지하는데 사용되는 지지 포스트(110)에 설치된다. 지지 포스트(110)는 환형 플레이트(82)의 후면을 수용하도록 구성된 수용 표면을 가지는 로드(rod)를 포함한다. 로드는 스테인리스 스틸이나 알루미늄과 같은 금속을 포함할 수 있으며, 중실형(solid) 또는 중공형 구조체일 수 있다. 일 태양에서는, 지지 포스트(110)가 기판(22)을 수용하고 기판(22)을 처리하고 챔버(24)로부터 기판(22)을 제거하기 위한 위치로 받침대(80)를 상승 및 하강시키도록 구성된 벨로우즈(bellows) 및 승강 메커니즘(도시되지 않음)도 포함할 수 있다. 환형 플레이트(82)를 지지 포스트(110)에 체결하는 방법은 지지 포스트(110)를 환형 플레이트(82)의 하부 표면에 용접하고, 환형 플레이트(82)의 하부 표면에 나사형 어댑터(threaded adapter)를 용접시키고, 이후 환형 플레이트(82)를 지지 포스트(110)에 나사결합시키거나 또는 중공 튜브를 환형 플레이트(82)의 하부 표면에 용접하고 이후 중공 튜브를 지지 포스트(110) 클램핑(clamping) 시킴으로써 체결하는 방식을 포함한다.
도 4A 및 4B에 도시된 바와 같이, 활성화 가스를 세정 챔버(24) 내부에 포함시키고 기판 표면에 걸쳐 가스를 분배하기 위해, 몇 개의 부품(112)을 포함하는 프로세스 키트(114)가 제공된다. 프로세스 키트(114)의 부품(112)은 예를 들어, 상부 플레이트(116), 상부 라이너(118), 가스 분배 플레이트(120), 하부 라이너(122), 및 포커싱 링(focus ring)(124)을 구비할 수 있다. 프로세스 키트(11)의 부품(112)은, 예를 들어 부식된 부품을 교체하거나 수리하기 위해, 또는 다른 크기의 기판(22)을 처리하도록 세정 챔버(24)를 조정하기 위해 챔버(24)로부터 쉽게 제거될 수 있다. 프로세스 키트(114) 부품은 석영으로 제조될 수 있는데, 이는 석영 이 수소 래디컬과 같은 프로세스 가스 래디컬의 재결합율을 감소시키는데 효과적이기 때문이다.
상부 플레이트(116)는 도 4A에 도시된 바와 같이 프로세스 가스를 통과시키기 위한 오리피스(130) 및 외부 둘레 에지(128)를 가지는 환형 디스크(126)를 포함한다. 상부 플레이트(116)는 기판 세정 챔버(24)에 맞는 크기를 가지며, 오리피스(130)는, 상부 챔버 벽(32)의 가스 유입 채널(40)과 실질적으로 중첩되도록, 약 40mm 내지 약 45mm 사이의 지름을 가지고 상부 플레이트(116)의 중심 주변에 위치된다. 상부 플레이트(116)는 챔버(24)의 상부 벽(32)과 접촉한다. 상부 플레이트(116)는 상부 라이너(118)와 접촉하여 이에 의해 지지된다. 상부 플레이트(116)는 약 1mm 내지 약 10mm의 두께를 가진다.
상부 라이너(118)는 상부 플레이트(116)의 외부 둘레 에지(128)와 접촉한다. 상부 라이너(118)는 활성화 프로세스 가스를 가두고 세정 챔버(24)의 벽(30)을 활성화 프로세스 가스로부터 보호하는 기능을 하는 실린더를 포함한다. 라이너(118)는 약 0.60cm 내지 약 0.70cm의 두께를 가진다. 일 태양에서는, 상부 플레이트(116)의 외부 둘레 에지(128)가 상부 라이너(118)의 상부 에지(132) 상에 지지된다.
가스 분배 플레이트(120)는 상부 라이너(118)와 접촉하는 상부 표면(134), 하부 표면(136), 및 챔버(24)로 프로세스 가스를 분배하기 위해 관통하는 다수의 구멍(140)을 가진다. 구멍(140)은 기판(22)의 표면으로 프로세스 가스의 균일한 전달을 촉진시키기 위하여 플레이트(120)의 표면에 걸쳐 이격된 관계로 분포되고, 크기가 정해지며, 형성된다. 일 태양에서는, 다수의 구멍(140)이 도 4C에 도시된 바와 같이 각각이 상이한 지름을 구비하는 크기를 가지는 4개 링(139a-d)의 구멍(140a-d)을 포함한다. 일 태양에서는, 가장 안쪽의 제1 구멍(140a)의 제1 링(139a)이 지름(d)을 가지는 크기이다. 각각이 지름(2d)을 가지는 제2 구멍(140b)의 제2 링(139b)은 제1 링(139a)의 반경방향 외부에 위치한다. 각각이 지름(3d)을 가지는 제3 구멍(140c)의 제3 링(139c)은 제2 링(139b)의 반경방향 외부에 위치한다. 각각이 지름(4d)을 가지는 제4 구멍(140d)의 제4 링(139d)은 제3 링(139c)의 반경방향 외부에 위치한다. 구멍(140a-d)의 이러한 배치로 인해서 기판(22)의 표면으로 프로세스 가스를 더욱 균일하게 분배할 수 있다. 일 태양에서는, 제1 구멍(140a)이 약 1 내지 약 5mm인 지름(d)을 가지며 다른 구멍들(140b-d)은 상응하게 크기가 정해진다. 일 예로서, 제1 링의 구멍(140a) 각각은 약 1 내지 약 5mm의 지름을 가지며; 제2 링의 구멍(140b) 각각은 약 2 내지 약 10mm의 지름을 가지며; 제3 링의 구멍(140c) 각각은 약 3 내지 약 15mm의 지름을 가지며; 제4 링의 구멍(140d) 각각은 약 4 내지 약 20mm의 지름을 가진다. 일 태양에서는, 제4 링(139d) 상에 더 많은 개수의 구멍을 구비하고 점진적으로 제3 링(139c), 제2 링(139b), 제1 링(139a)에 대해 점진적으로 더 작은 구멍을 구비하기 위하여 상이한 지름의 구멍(140a-d)도 이격되어 배치된다. 가스 분배 플레이트(120)는 예를 들어 산화 알루미늄이나 산화 규소와 같은 세라믹으로 구성될 수 있으며, 상기 산화 규소는 석영일 수 있다.
하부 라이너(122)는 도 4A 및 4B에 도시된 바와 같이 가스 분배 플레이 트(120)의 하부 표면(136)과 접촉한다. 하부 라이너(122)는 또한 실린더를 포함하는데, 이러한 실린더는 실린더로부터 외부로 연장하는 환형 둘레 에지(142)를 구비한다. 둘레 에지(142)는 가스 분배 플레이트(120)의 하부 표면(136) 및 세정 챔버(24)의 측벽(34)과 접촉한다.
포커싱 링(124)은 활성화 프로세스 가스를 기판(22) 상에 포커싱하기 위하여 제공된다. 도 3B 및 4B에 도시된 바와 같이, 포커싱 링(124)은 내부 플랜지(148)를 포함하는데, 이는 지지 받침대(80)의 둘레 에지 상에 지지되며 기판 둘레에 있는 수직 표면(151)과 결합하는 경사진 상부 표면(150)을 구비한다. 경사진 상부 표면(150)은 약 85도 내지 약 100도, 예를 들어 약 95도의 각도를 가진다. 포커싱 링(124)은 또한 다리(152)를 가지는데, 이는 기판 가열 받침대(80)의 외부 턱(ledge)(154) 주위로 상승된다.
위에서 설명한 프로세스 키트(114) 부품은 활성화 가스로부터 이온 종을 필터링하도록 활성화 가스로부터 이온 종을 흡착하기 위하여, 예를 들어 석영과 같은 필터링 재료를 포함할 수 있다. 일 태양에서는, 상부 플레이트(116), 상부 라이너(118), 가스 분배 플레이트(120), 하부 라이너(122) 및 포커싱 링(124)의 표면들 중 적어도 일부가, 예를 들어 석영 코팅과 같이 석영을 포함한다. 석영은 물리 기상 증착이나 열수 증착(hydrothermal deposition)에 의하여 이러한 프로세스 키트(114) 부품의 표면상에 증착될 수 있다. 이러한 표면들 상의 석영층에 대한 적절한 두께는 약 0.01mm 내지 약 4mm이다. 일 태양에서는, 프로세스 키트(114) 부품(112)이 석영으로 이루어진다.
석영 표면(74)은 활성화 세정 가스로부터 수소 이온 종을 최적으로 필터링하도록 배치될 수 있다. 일 태양에서는, 석영 표면(74)이 가스 에너자이저 영역(54) 및 세정 챔버(24)를 연결하는 세라믹 라이너(60)의 일부의 내부 표면을 포함할 수 있다. 예를 들어, 세라믹 라이너(60)는 석영 튜브를 포함할 수 있다. 또 다른 태양에서는, 석영 표면(74)이 예를 들어 가스 분배 플레이트(120)의 상부 표면과 같이 가스 분배기의 하나 이상의 표면을 포함한다. 석영 표면은 또한, 활성화된 세정 가스를 추가로 필터링하기 위하여, 예를 들어 프로세스 영역 위와 같이 기판과 원격 영역 사이에 위치되는 와어어 그리드(wire grid)도 포함할 수 있다.
장치(20)의 세정 챔버(24) 내에서 실행되는 한 가지 세정 프로세스에서, 기판(22)의 온도는 증착물 내의 산화물의 환원을 위한 최적의 조건을 제공하도록 설정될 수 있으며, 수소 함유 래디컬과 증착물 사이의 화학 반응을 가속시키도록 설정될 수도 있다. 예를 들어, 기판(22)의 온도는 약 150℃ 내지 약 450℃와 같이 약 0도부터 500℃에서 유지되거나, 약 150℃ 내지 약 350℃와 같이 약 25℃ 내지 약 350℃까지도 유지될 수 있다. 일 태양에서는, 높은 바이어스 전력 수준은 활성화 세정 가스에서 기판(22)의 이온 가격을 증가시킬 수 있으므로, 세정 프로세스 동안에 기판(22)에 가해지는 바이어스 전력 수준이 바람직하게 낮을 수 있다. 적절한 바이어스 전력 수준은 약 0 내지 약 10와트, 약 1 내지 약 10와트와 같이 약 100와트보다 작을 수 있으며, 실질적으로 0이 될 수도 있다. 다른 태양에서는, 세정률을 증가시키도록, 100와트보다 더 큰, 나아가서는 약 100와트 내지 약 200와트 사이와 같이 더 높은 바이어스 전력 수준이 가해질 수 있다.
기판(22)의 세정은 기판(22)으로부터 증착물을 제거하기 위해 열 처리나 어닐링 과정을 실행함으로써 향상될 수 있다는 것이 추가로 밝혀졌다. 열 처리 과정에서 기판(22)은 기판(22)으로부터 재료를 기화시키기에 충분히 높은 온도로 가열된다. 또한, 기판(22) 상에 산화물이 형성되는 것을 방지하기 위하여 열 처리 과정 동안 환원가스 흐름이 제공될 수 있다. 적절한 환원 가스는 예를 들어 H2 와 같은 수소 함유 가스를 포함할 수 있다. 열 처리 과정은 활성화 수소 래디컬 세정 과정에 앞서 기판(22)의 비교적 완만한 초기 세정을 제공하기 위하여, 예를 들어 환원가스에 RF 나 마이크로파 에너지를 실질적으로 가하지 않는 것과 같이, 환원 가스에 실질적으로 에너지를 가하지 않고 실행될 수 있다.
적절한 세정 프로세스의 일 태양에서는, 300 sccm의 H2 와 같이 약 50 내지 약 1000 sccm의 H2 및 3 sccm의 H2O와 같이 약 0 내지 약 10 sccm의 H2O를 포함하는 세정가스가 1050와트와 같이 약 300와트 내지 약 3000와트의 전력 수준을 가함으로써 원격 가스 에너자이저(52)의 챔버(42) 내에서 활성화된다. 원격 챔버(42) 압력은 약 1 Torr와 같이 약 10 Torr 미만에서 유지된다. 50와트와 같이 약 0 내지 약 100와트의 바이어스 전력 수준이 기판(22)을 바이어스시키기 위해 가해지며, 기판(22)의 온도는 250℃와 같이 약 150℃ 내지 약 450℃에서 유지된다. 세정 프로세스는 세정된 표면을 제공하도록 증착물을 실질적으로 제거한다.
세정 프로세스가 종료된 이후에, 챔버(24) 내의 압력은 약 10 mTorr 보다 낮은 압력으로 감소하여, 사용된 세정 가스 및 세정 부산물을 배출시키고 세정 챔 버(24)에 의한 다중 챔버 장치(26)의 오염 가능성을 감소시킨다. 기판(22)은 이후 진공하에서 이송 로봇(19)을 가지는 기판 이송 챔버를 통해 증착 챔버로 전달되어 구리, 알루미늄, 탄탈, 텅스텐, 질화 탄탈 및 질화 텅스텐 중 하나 이상과 같은 제2 금속 함유 전도체(21)를 새롭게 세정된 금속 함유 전도체 표면상에 증착할 수 있다.
기판(22)을 처리하기에 적합한 다중 챔버 장치(20)는 도 5에 도시된 바와 같이, 세정 챔버(24)를 구비할 수 있는 하나 이상의 프로세스 챔버(28a-d)를 포함한다. 챔버는 전기, 배관(plumbing), 및 기타 지원 기능(support function)을 제공하는 플랫폼상에 장착된다. 통상적으로 플랫폼은 처리할 기판(22) 카세트(158)를 수용하기 위한 로드 록(load lock)(156)과 프로세싱을 위하여 기판(22)을 카세트(158)로부터 여러 챔버(28a-d)로 이송시키고 프로세싱 이후에 다시 회수시키는 로봇(162)을 포함하는 기판 이송 챔버(154)를 지지한다. 여러 챔버(28a-d)는 예를 들어 세정 챔버(24), 웨이퍼 상에 물질을 증착하기 위한 증착 챔버(28b), 선택적으로 열 처리 챔버(28c), 및 기타 프로세싱 챔버를 구비할 수 있다. 예를 들어, 일 태양에서는, 챔버 중 하나가 기판(22) 상의 금속 함유 전도체 상에 형성된 증착물을 제거하기 위한 세정 챔버(24)를 포함한다. 세정 프로세스가 종료된 이후에, 기판(22)은 금속 함유 전도체와 같은 물질을 세정된 기판(22) 상에 증착시키기 위하여 로봇(162)에 의해 증착 챔버(28d)로 이송될 수 있다. 또한, 기판(22)은 제1 챔버(28b)에서 증착된 제1 물질 위에 또 다른 금속 함유 전도체와 같은 또 다른 물질을 증착할 수 있는 제2 증착 챔버(28c)로 로봇(162)에 의하여 이송될 수 있다. 챔 버(28a-d)는 기판 이송 챔버(154)의 벽(164) 내에 연속적인 진공 환경을 형성하도록 서로 연결되어 기판(22)의 오염을 감소시키며 중단 없이 진행할 수 있는 프로세스를 제공한다. 이송 챔버(154)는 배기 포트(164)를 가지는 벽(160)을 포함하여 가스를 배출시키고 약 10 mTorr 미만의 압력과 같이 낮은 압력 환경을 유지시킴으로써 챔버의 오염을 감소시킨다.
다중 챔버 장치(26)는 하드웨어 인터페이스를 통해 제어기(170)에 의하여 작동될 수 있다. 제어기(170)는 메모리 및 주변 컴퓨터 부품에 연결되는 중앙 처리 장치(CPU)를 가지는 컴퓨터(도시되지 않음)를 포함한다. 바람직하게는, 메모리가 예를 들어 CD 나 플로피 디스크와 같은 이동형 저장 매체와 하드 드라이버와 같은 비이동형 저장 매체, 및 램(RAM)을 포함할 수 있다. 제어기(170)는 또한 예를 들어 아날로그와 디지털 입력 및 출력 보드, 인터페이스 보드, 및 모터 제어기 보드를 포함하는 다수의 인터페이스 카드를 포함할 수 있다. 일 태양에서는, 제어기(170)가 메모리, 예를 들어 비이동형 저장 매체나 이동형 저장 매체 상에 저장도리 수 있는 컴퓨터 판독 가능 프로그램을 포함한다. 일반적으로 컴퓨터 판독 가능 프로그램은, 예를 들어, 챔버(28a-d) 및 그 부품, 이송 챔버(154) 및 로봇(162)을 작동시키기 위한 프로그램 코드를 포함하는 프로세스 제어 소프트웨어, 챔버 내에서 실행되는 프로세스를 모니터하기 위한 프로세스 모니터링 소프트웨어, 안전 시스템 소프트웨어, 및 기타 소프트웨어를 포함한다. 컴퓨터 판독 가능 프로그램은 어떠한 종래의 컴퓨터 판독 가능 프로그램 언어로도 기록될 수 있다.
본 발명의 예시적인 실시예가 도시되고 설명되었으나, 본 발명이 속하는 기 술분야에서 통상의 지식을 가진 자라면 본 발명의 범위 내에서 본 발명을 구체화하는 다른 실시예를 고안해낼 수도 있을 것이다. 예를 들어, 챔버(24)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게는 명백할 수 있는, 구체적으로 기술된 부품들 이외의 부품을 포함할 수도 있을 것이다. 또한, 용어, "아래(below)", "하부(bottom)", "상부(top)", "위(up)", "아래(down)", "제1(first)", "제2(second)" 및 기타 상대적이거나 위치에 관계된 용어들은 도면의 예시적인 실시예와 관련하여 사용된 것이며 따라서 교체하여 사용할 수도 있다. 그러므로 첨부된 청구범위는 본 발명을 설명하기 위해 본 명세서에서 기술되는 바람직한 태양, 재료, 또는 공간적 배치에 관한 설명에 한정되어서는 안 된다.

Claims (15)

  1. 원격 챔버의 가스 배출 채널을 기판 세정 챔버의 가스 유입 채널에 연결하기 위한 소모성 세라믹 라이너로서,
    (a) 상기 원격 챔버의 가스 배출 채널에 맞는 크기의 외부 지름을 가지는 유입 실린더;
    (b) 기판 세정 챔버의 가스 유입 채널에 연결되는 배출 실린더; 및
    (c) 상기 유입 실린더를 상기 배출 실린더에 연결하는 원뿔형 플레어;를 포함하는,
    소모성 세라믹 라이너.
  2. 제1항에 있어서,
    상기 배출 실린더의 길이에 대한 상기 원뿔형 플레어의 길이의 비가 약 1:2 내지 약 1:8인,
    소모성 세라믹 라이너.
  3. 제1항에 있어서,
    원격 가스 에너자이저에서 발생된 활성화 가스로부터 이온 종을 제거할 수 있는 세라믹 재료를 포함하는,
    소모성 세라믹 라이너.
  4. 원격 챔버의 가스 배출 채널을 기판 세정 챔버의 가스 유입 채널에 연결하기 위해 상부 챔버 벽으로 세라믹 라이너를 삽입하기 위한 방법으로서,
    상기 세라믹 라이너가 상기 원격 챔버의 가스 배출 채널에 맞는 크기의 유입 실린더, 기판 세정 챔버의 가스 유입 채널에 연결되는 배출 실린더, 및 상기 유입 실린더를 상기 배출 실린더에 연결하는 원뿔형 플레어를 포함하고,
    상기 방법이,
    (a) 상기 세라믹 라이너의 배출 실린더 위로 라이너 잠금 실린더를 배치시키는 단계;
    (b) 상기 세라믹 라이너의 배출 실린더로 라이너 유지 공구를 슬라이딩시키는 단계로서, 상기 라이너 유지 공구의 외부 지름이 상기 배출 실린더의 내부 지름과 맞닿도록 크기가 정해지는, 슬라이딩 단계;
    (c) 상기 라이너 유지 공구를 파지하여 상기 세라믹 라이너의 유입 실린더를 상기 원격 챔버의 가스 배출 채널로 삽입하는 단계;를 포함하는,
    세라믹 라이너 삽입 방법.
  5. 제4항에 있어서,
    (d) 상기 잠금 실린더의 환형 플랜지를 상기 상부 챔버 벽의 상응하는 환형 립 안으로 고정시키기 위하여 상기 라이너 유지 공구를 비트는 단계;를 더 포함하는,
    세라믹 라이너 삽입 방법.
  6. 기판 세정 챔버용 기판 가열 받침대로서,
    (a) 요부 세트를 구비하는 기판 수용 표면을 가지는 제1 디스크, 가열 부재를 수용하도록 형성된 채널을 가지는 제2 디스크, 및 상기 제1 및 제2 디스크를 연결하는 브레이징 접합부를 포함하는 환형 플레이트;
    (b) 상기 기판 수용 표면상의 요부에 각각 배치되는 다수의 세라믹 볼; 및
    (c) 상기 환형 플레이트에 매설되는 가열 부재;를 포함하는,
    기판 가열 받침대.
  7. 제6항에 있어서,
    상기 세라믹 볼은 산화 알루미나, 석영, 사파이어, 질화 규소, 합성 강옥, 산화 지르코늄, Al2O3, 또는 이들은 혼합물로 이루어지는,
    기판 가열 받침대.
  8. 제6항에 있어서,
    상기 세라믹 볼은 약 1 내지 약 3mm의 지름을 가지는,
    기판 가열 받침대.
  9. 제8항에 있어서,
    상기 세라믹 볼의 지름은 상기 기판 수용 표면을 상기 환형 플레이트의 상부 표면보다 약 0.01mm 내지 약 0.5mm 만큼 더 높게 유지할 수 있는 크기인,
    기판 가열 받침대.
  10. 기판 처리 챔버용 가스 분배 플레이트로서,
    (a) 각각이 지름(d)을 가지는 제1 구멍의 제1 링;
    (b) 각각이 지름(2d)을 가지는 제2 구멍의 제2 링으로서, 상기 제2 링은 상기 제1 링의 반경방향 외부에 위치하는, 제2 구멍의 제2 링;
    (c) 각각이 지름(3d)을 가지는 제3 구멍의 제3 링으로서, 상기 제3 링은 상기 제2 링의 반경방향 외부에 위치하는, 제3 구멍의 제3 링;
    (d) 각각이 지름(4d)을 가지는 제4 구멍의 제4 링으로서, 상기 제4 링은 상기 제3 링의 반경방향 외부에 위치하는, 제4 구멍의 제4 링;을 포함하는,
    가스 분배 플레이트.
  11. 제10항에 있어서,
    상기 지름(d)은 약 1 내지 약 5mm인,
    가스 분배 플레이트.
  12. 제10항에 있어서,
    세라믹으로 이루어지는,
    가스 분배 플레이트.
  13. 기판 가열 받침대와 면하는 가스 분배 플레이트를 유지하는 챔버 리드를 가지는 기판 세정 챔버용 프로세스 키트로서,
    (a) 상기 챔버 리드와 접촉하는 상부 플레이트로서, 상기 석영 상부 플레이트가 프로세스 가스를 통과시키기 위한 오리피스를 가지며, 둘레 에지를 가지는, 상부 플레이트;
    (b) 상기 석영 상부 플레이트의 둘레 에지와 접촉하며 상기 가스 분배 플레이트 위에 위치하는 상부 라이너;
    (c) 상가 가스 분배 플레이트 아래의 하부 라이너; 및
    (d) 상기 기판 가열 받침대의 둘레 에지 상에 지지되는 포커싱 링;을 포함하는,
    프로세스 키트.
  14. 제13항에 있어서,
    상부 플레이트, 상부 라이너, 하부 라이너, 및 포커싱 링 모두가 석영을 포함하는,
    프로세스 키트.
  15. 제13항에 있어서,
    상기 포커싱 링이 상기 기판 가열 받침대의 둘레 에지 상에 지지되는 내부 플랜지를 포함하고, 상기 내부 플랜지는 기판 둘레에 있는 수직 표면에 연결되는 경사진 상부 표면을 포함하는,
    프로세스 키트.
KR1020097027534A 2007-05-30 2008-05-27 기판 세정 챔버 및 부품들 KR101550579B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94095907P 2007-05-30 2007-05-30
US60/940,959 2007-05-30
US11/857,975 2007-09-19
US11/857,975 US7942969B2 (en) 2007-05-30 2007-09-19 Substrate cleaning chamber and components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020157004544A Division KR101593461B1 (ko) 2007-05-30 2008-05-27 기판 프로세싱 장치 및 부품들

Publications (2)

Publication Number Publication Date
KR20100037060A true KR20100037060A (ko) 2010-04-08
KR101550579B1 KR101550579B1 (ko) 2015-09-07

Family

ID=40086776

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157004544A KR101593461B1 (ko) 2007-05-30 2008-05-27 기판 프로세싱 장치 및 부품들
KR1020097027534A KR101550579B1 (ko) 2007-05-30 2008-05-27 기판 세정 챔버 및 부품들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157004544A KR101593461B1 (ko) 2007-05-30 2008-05-27 기판 프로세싱 장치 및 부품들

Country Status (6)

Country Link
US (3) US7942969B2 (ko)
JP (4) JP5726521B2 (ko)
KR (2) KR101593461B1 (ko)
CN (1) CN101730921B (ko)
TW (2) TWI359450B (ko)
WO (1) WO2008153785A2 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130025146A (ko) 2011-09-01 2013-03-11 세메스 주식회사 기판 처리 장치 및 방법
WO2013062748A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Gas dispersion apparatus
KR20140077192A (ko) * 2011-10-05 2014-06-23 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 수산화 장치
WO2014129765A1 (ko) * 2013-02-20 2014-08-28 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20160026264A (ko) * 2014-08-29 2016-03-09 세메스 주식회사 기판 처리 장치
KR20190000371A (ko) * 2016-05-19 2019-01-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 반도체 식각 및 구성요소 보호를 위한 시스템들 및 방법들
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly

Families Citing this family (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
JP4527670B2 (ja) 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
JP2013532387A (ja) * 2010-06-25 2013-08-15 アプライド マテリアルズ インコーポレイテッド イオン電流を低減したプレクリーンチャンバ
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5647336B2 (ja) * 2011-04-04 2014-12-24 キヤノンアネルバ株式会社 プラズマ処理装置
DE102011007632B3 (de) * 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
US10883950B2 (en) 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103140011A (zh) * 2011-11-30 2013-06-05 亚树科技股份有限公司 直立式电浆产生装置
US20130160794A1 (en) * 2011-12-23 2013-06-27 Applied Materials, Inc. Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
KR20130090287A (ko) * 2012-02-03 2013-08-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8822313B2 (en) 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR20160003831A (ko) * 2013-04-30 2016-01-11 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분포된 가스 통로들을 갖는 유동 제어 라이너
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015106318A1 (en) * 2014-01-15 2015-07-23 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
SG10201810178TA (en) 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105405801B (zh) * 2014-09-11 2018-02-06 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102110267B1 (ko) * 2014-10-31 2020-05-14 와틀로 일렉트릭 매뉴팩츄어링 컴파니 히터를 위한 열적 동적 응답 감지 시스템
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10546733B2 (en) * 2014-12-31 2020-01-28 Applied Materials, Inc. One-piece process kit shield
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR102481432B1 (ko) * 2015-08-10 2022-12-27 삼성전자주식회사 커버 플레이트 및 그를 포함하는 플라즈마 처리 장치
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP7190905B2 (ja) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
JP2020514237A (ja) * 2017-03-21 2020-05-21 コンポーネント リ−エンジニアリング カンパニー インコーポレイテッド 高い腐食性又は浸食性産業用途に使用するためのセラミック材料アセンブリ
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN108284637B (zh) * 2018-04-11 2023-10-20 洛阳红奇机械科技有限公司 一种大板热压机的上模板表面清理装置的操作方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
CN110468377B (zh) * 2018-05-11 2022-04-22 北京北方华创微电子装备有限公司 腔室及半导体加工设备
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11251075B2 (en) * 2018-08-06 2022-02-15 Mattson Technology, Inc. Systems and methods for workpiece processing using neutral atom beams
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7079718B2 (ja) * 2018-11-27 2022-06-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11521834B2 (en) * 2020-08-26 2022-12-06 Tokyo Electron Limited Plasma processing systems and methods for chemical processing a substrate
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022100570A (ja) * 2020-12-24 2022-07-06 新光電気工業株式会社 静電チャック及びその製造方法、基板固定装置
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (451)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
JPS5827652Y2 (ja) 1978-05-02 1983-06-15 日本軽金属株式会社 海苔養殖用アルミニウム合金製ポ−ル
JPS54162969U (ko) 1978-05-04 1979-11-14
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS60185786U (ja) 1984-05-22 1985-12-09 日立金属株式会社 防食継手
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (ko) 1986-09-18 1989-07-31 Grob Ernst Fa
JPS63235435A (ja) 1987-03-24 1988-09-30 Nishimura Watanabe Chiyuushiyutsu Kenkyusho:Kk 金属タンタルの製造方法
JPS63149396U (ko) 1987-03-24 1988-09-30
JPH0521876Y2 (ko) * 1987-05-30 1993-06-04
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
EP0339903B1 (en) 1988-04-26 1993-10-06 Toto Ltd. Method of making dielectric ceramics for electrostatic chucks
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
DE69030140T2 (de) 1989-06-28 1997-09-04 Canon Kk Verfahren und Anordnung zur kontinuierlichen Bildung einer durch Mikrowellen-Plasma-CVD niedergeschlagenen grossflächigen Dünnschicht
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5052331A (en) 1989-10-18 1991-10-01 The United States Of America As Represented By The United Sates Department Of Energy Apparatus for gas-metal arc deposition
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JPH03138354A (ja) 1989-10-24 1991-06-12 Pioneer Electron Corp 防着板を備えた薄膜形成装置
EP0439000B1 (en) 1990-01-25 1994-09-14 Applied Materials, Inc. Electrostatic clamp and method
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH03256327A (ja) * 1990-03-06 1991-11-15 Sumitomo Electric Ind Ltd 半導体製造装置
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5855687A (en) 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
EP0493089B1 (en) 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
EP0547609B1 (en) 1991-12-18 1997-09-10 Sumitomo Metal Industries, Ltd. Automobile body panel made of multilayer plated aluminum sheet
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JP3566740B2 (ja) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3323924B2 (ja) 1993-01-29 2002-09-09 東京エレクトロン株式会社 静電チャック
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3201071B2 (ja) * 1993-05-18 2001-08-20 富士電機株式会社 爆薬発電機
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
DE59406576D1 (de) 1993-12-27 1998-09-03 Hoechst Ag Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
JPH07197272A (ja) 1993-12-29 1995-08-01 Kobe Steel Ltd フィルム密着性に優れた表面処理アルミニウム及びアルミニウム合金板
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
EP0692156A1 (en) 1994-01-31 1996-01-17 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
EP0746874A1 (en) 1994-02-23 1996-12-11 Applied Materials, Inc. Chemical vapor deposition chamber
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5690795A (en) 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH0917850A (ja) 1995-06-30 1997-01-17 Tokyo Electron Ltd プラズマ処理装置
WO1997003221A1 (en) 1995-07-10 1997-01-30 Cvc Products, Inc. Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5658442A (en) 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5901751A (en) 1996-03-08 1999-05-11 Applied Materials, Inc. Restrictor shield having a variable effective throughout area
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
JPH09272965A (ja) 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JPH09289152A (ja) * 1996-04-23 1997-11-04 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
JP3175835B2 (ja) 1996-05-09 2001-06-11 アプライド マテリアルズ, インコーポレイテッド プラズマ発生用埋込み形コイル
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5988187A (en) * 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5810931A (en) 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
JP3363040B2 (ja) * 1996-09-30 2003-01-07 株式会社荏原製作所 高速原子線源
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6036587A (en) 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
EP0946965B1 (de) 1996-12-21 2006-05-17 Singulus Technologies AG Vorrichtung und verfahren zur kathodenzerstäubung
KR20000069523A (ko) 1997-01-16 2000-11-25 보텀필드 레인, 에프. 기상 증착 요소 및 기상 증착 방법
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
JPH10242255A (ja) * 1997-02-28 1998-09-11 Kyocera Corp 真空吸着装置
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5893643A (en) 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
US6103069A (en) 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6000415A (en) 1997-05-12 1999-12-14 Applied Materials, Inc. Method and apparatus for positioning a restrictor shield of a pump in response to an electric signal
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6589407B1 (en) 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield
JP3449459B2 (ja) 1997-06-02 2003-09-22 株式会社ジャパンエナジー 薄膜形成装置用部材の製造方法および該装置用部材
JP4023893B2 (ja) 1997-06-06 2007-12-19 沖電気工業株式会社 発光素子アレイ及び発光素子
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
JP3269993B2 (ja) 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
FR2768158B1 (fr) 1997-09-10 2001-06-01 Seb Sa Revetement de couche antiadherent a durete amelioree pour support en aluminium, articles et ustensiles culinaires comportant ce revetement
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5922133A (en) 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
JP4602545B2 (ja) 1997-09-16 2010-12-22 アプライド マテリアルズ インコーポレイテッド プラズマチャンバの半導体ワークピース用シュラウド
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6068685A (en) 1997-10-15 2000-05-30 Saes Pure Gas, Inc. Semiconductor manufacturing system with getter safety device
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
ATE329070T1 (de) 1997-12-22 2006-06-15 Asahi Chemical Ind Fasern für elektrische beflockung und elektrisch beflockte artikeln
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP3540936B2 (ja) 1998-03-31 2004-07-07 京セラ株式会社 真空容器
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
KR100290781B1 (ko) 1998-06-30 2001-06-01 박종섭 반도체 소자 및 그 제조방법
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
JP2002529594A (ja) 1998-10-29 2002-09-10 アプライド マテリアルズ インコーポレイテッド 半導体ウエハ処理システムにおいて加工物を貫通して電力を結合する装置
JP2000144399A (ja) 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
WO2000028104A1 (en) 1998-11-06 2000-05-18 Scivac Sputtering apparatus and process for high rate coatings
JP3937004B2 (ja) * 1998-11-18 2007-06-27 日本発条株式会社 ヒータユニット
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6583364B1 (en) * 1999-08-26 2003-06-24 Sony Chemicals Corp. Ultrasonic manufacturing apparatuses, multilayer flexible wiring boards and processes for manufacturing multilayer flexible wiring boards
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
KR100331555B1 (ko) * 1999-09-30 2002-04-06 윤종용 복수의 통공이 형성된 배플 및 그를 구비한 반도체소자 제조장비
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW503442B (en) 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
WO2001084624A2 (en) 2000-04-28 2001-11-08 Applied Materials, Inc. Semiconductor lift pin for dechucking substrates
AU2001255373A1 (en) 2000-05-04 2001-11-12 Etec Systems, Inc. Method and apparatus for imaging a specimen using indirect in-column detection of secondary electrons in a microcolumn
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US20010035403A1 (en) * 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
WO2001097270A2 (en) 2000-06-14 2001-12-20 Applied Materials, Inc. Substrate cleaning apparatus and method
US6358376B1 (en) 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6810887B2 (en) 2000-08-11 2004-11-02 Chemtrace Corporation Method for cleaning semiconductor fabrication equipment parts
KR20030024868A (ko) 2000-08-17 2003-03-26 토소우 에스엠디, 인크 수명-종료-표시를 갖는 고순도 스퍼터 타켓과 이의 제조방법
US6497797B1 (en) 2000-08-21 2002-12-24 Honeywell International Inc. Methods of forming sputtering targets, and sputtering targets formed thereby
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
KR20030064398A (ko) 2000-09-11 2003-07-31 토소우 에스엠디, 인크 내부 냉각 채널을 갖는 스퍼터 타겟의 제조 방법
US6503331B1 (en) 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3964177B2 (ja) * 2000-10-30 2007-08-22 大日本スクリーン製造株式会社 基板処理方法
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6858116B2 (en) 2000-11-17 2005-02-22 Nikko Materials Company, Limited Sputtering target producing few particles, backing plate or sputtering apparatus and sputtering method producing few particles
EP1341948A1 (de) 2000-11-27 2003-09-10 Unaxis Trading AG Target mit dickenprofilierung für rf magnetron
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
WO2002049785A1 (en) 2000-12-18 2002-06-27 Tosoh Smd, Inc. Low temperature sputter target/backing plate joining technique and assemblies made thereby
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6872284B2 (en) 2001-04-24 2005-03-29 Tosoh Smd, Inc. Target and method of optimizing target profile
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
WO2003025244A2 (en) 2001-09-17 2003-03-27 Heraeus, Inc. Refurbishing spent sputtering targets
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6667577B2 (en) 2001-12-18 2003-12-23 Applied Materials, Inc Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
AU2003236328A1 (en) 2002-04-03 2003-10-13 Toho Engineering Kabushiki Kaisha Polishing pad and semiconductor substrate manufacturing method using the polishing pad
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US20030194510A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20030221702A1 (en) 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040194818A1 (en) 2002-07-26 2004-10-07 Fronsdahl James W. Hydrophilic components for a spin-rinse-dryer
US6846396B2 (en) 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040069223A1 (en) 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wall liner and slot liner for process chamber
DE60326621D1 (de) 2002-10-21 2009-04-23 Cabot Corp Verfahren zur herstellung eines sputtertargets und sputtertarget
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
EP1694885A4 (en) 2003-04-18 2007-12-19 Applied Materials Inc GALVANIZATION SYSTEM WITH MULTIPLE CHEMISTRY
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7297247B2 (en) 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US7097744B2 (en) 2003-06-12 2006-08-29 Applied Materials, Inc. Method and apparatus for controlling darkspace gap in a chamber
JP2007523993A (ja) 2003-06-20 2007-08-23 キャボット コーポレイション スパッタターゲットをバッキングプレートに結合させるための方法及び設計
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7294224B2 (en) 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
JP2007520634A (ja) 2004-02-03 2007-07-26 ハネウェル・インターナショナル・インコーポレーテッド 物理蒸着用ターゲット構造物
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7049612B2 (en) 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060021870A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
EP1659193A1 (de) 2004-11-19 2006-05-24 Applied Films GmbH & Co. KG Gekühlte Rückenplatte für ein Sputtertarget und Sputtertarget bestehend aus mehreren Rückenplatten
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
JP4762064B2 (ja) * 2005-07-04 2011-08-31 京セラ株式会社 接合体とこれを用いたウェハ支持部材及びウェハ処理方法
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
JP4695145B2 (ja) * 2005-09-28 2011-06-08 京セラ株式会社 試料保持具とこれを用いた試料吸着装置、試料処理方法および試料保持具の製造方法
US20070084408A1 (en) 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20070215463A1 (en) 2006-03-14 2007-09-20 Applied Materials, Inc. Pre-conditioning a sputtering target prior to sputtering
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US8221602B2 (en) 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
CN101563560B (zh) 2006-12-19 2012-07-18 应用材料公司 非接触式处理套件
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
CN101971287A (zh) 2007-11-08 2011-02-09 应用材料公司 具有可移动遮蔽的电极设置结构

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
KR20130025146A (ko) 2011-09-01 2013-03-11 세메스 주식회사 기판 처리 장치 및 방법
KR20140077192A (ko) * 2011-10-05 2014-06-23 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 수산화 장치
WO2013062748A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Gas dispersion apparatus
KR20140084308A (ko) * 2011-10-28 2014-07-04 어플라이드 머티어리얼스, 인코포레이티드 가스 분산 장치
WO2014129765A1 (ko) * 2013-02-20 2014-08-28 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR101443792B1 (ko) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20160026264A (ko) * 2014-08-29 2016-03-09 세메스 주식회사 기판 처리 장치
KR20190000371A (ko) * 2016-05-19 2019-01-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 반도체 식각 및 구성요소 보호를 위한 시스템들 및 방법들
KR20210013369A (ko) * 2016-05-19 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 개선된 반도체 식각 및 구성요소 보호를 위한 시스템들 및 방법들
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection

Also Published As

Publication number Publication date
US20150144263A1 (en) 2015-05-28
TW200908109A (en) 2009-02-16
JP2016076716A (ja) 2016-05-12
JP2018050059A (ja) 2018-03-29
TWI359450B (en) 2012-03-01
JP2013080940A (ja) 2013-05-02
CN101730921B (zh) 2011-12-21
KR101550579B1 (ko) 2015-09-07
US20110232845A1 (en) 2011-09-29
JP5844722B2 (ja) 2016-01-20
TW201203332A (en) 2012-01-16
US7942969B2 (en) 2011-05-17
KR20150027848A (ko) 2015-03-12
WO2008153785A2 (en) 2008-12-18
KR101593461B1 (ko) 2016-02-12
TWI474387B (zh) 2015-02-21
JP2010528488A (ja) 2010-08-19
JP5726521B2 (ja) 2015-06-03
WO2008153785A3 (en) 2009-03-19
US8980045B2 (en) 2015-03-17
US20080295872A1 (en) 2008-12-04
CN101730921A (zh) 2010-06-09

Similar Documents

Publication Publication Date Title
KR101593461B1 (ko) 기판 프로세싱 장치 및 부품들
TWI427684B (zh) 用於現場基底處理之方法及裝置
US8217513B2 (en) Remote plasma processing of interface surfaces
US9171714B2 (en) Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
US5423918A (en) Method for reducing particulate contamination during plasma processing of semiconductor devices
CN101448580B (zh) 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
JP2006128485A (ja) 半導体処理装置
KR102591909B1 (ko) 플라즈마 에칭 장치
TWI419259B (zh) 半導體裝置之製造方法
TWI398914B (zh) 傾斜電漿處理以強化潮濕緣部之清理
CN1379439A (zh) 等离子体处理
JP3887123B2 (ja) ドライエッチング方法
TW201736642A (zh) 用於蝕刻硬體之基於氫電漿清洗處理
US20230160061A1 (en) Substrate processing apparatus and substrate processing method using same
JP2006019626A (ja) プラズマ処理装置及びその洗浄方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant