CN1379439A - 等离子体处理 - Google Patents

等离子体处理 Download PDF

Info

Publication number
CN1379439A
CN1379439A CN02108712A CN02108712A CN1379439A CN 1379439 A CN1379439 A CN 1379439A CN 02108712 A CN02108712 A CN 02108712A CN 02108712 A CN02108712 A CN 02108712A CN 1379439 A CN1379439 A CN 1379439A
Authority
CN
China
Prior art keywords
gas
vacuum chamber
plasma processing
temperature
inwall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN02108712A
Other languages
English (en)
Inventor
成田雅贵
奥村胜弥
大岩德久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of CN1379439A publication Critical patent/CN1379439A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)

Abstract

一种等离子体处理方法,包括把要处理的基底放置在一个有内壁的真空室中;当内壁设置为第一种温度时,对基底进行等离子体处理;当内壁设置为高于第一种温度的第二种温度时,采用等离子体清洁内壁。

Description

等离子体处理
相关申请的交叉引用
本申请是基于2001年3月29日提交的现有日本专利申请No.2001-095307,这里全文引用作为参考,并要求用该日本专利申请作为本申请的优先权基础。
技术领域
本发明涉及半导体领域中的一种等离子体处理方法,更确切地说,对基底进行等离子体处理时,在真空处理室的内壁上会形成不需要的薄膜,本发明涉及一种清除这种薄膜的等离子体处理方法。
背景技术
作为半导体基底的等离子体处理装置,活性离子蚀刻(RIE)装置是公知的。在RIE装置中,当施加负电位时,采用一种高频电源,对一种活性气体(蚀刻气体)放电,从而产生等离子体,等离子体中的离子垂直撞击晶片的表面,以物理方式和化学方式蚀刻晶片。
要在绝缘膜中形成一个通孔时,一种含碳氟化合物的气体用作蚀刻气体。更确切地说,使用一种具有良好选择比例的蚀刻气体,防止暴露在通孔底部的金属布线层受到蚀刻。通常使用含CHF3或C4F8的气体。
使用这样一种蚀刻气体进行绝缘膜的RIE处理时,蚀刻气体在等离子体内部分解,产生碳氟化合物和碳,沉积在真空室的内壁上。用RIE处理绝缘膜时产生的反应产物的一部分,也会沉积在真空室的内壁上。
这些碳氟化合物、碳和反应产物沉积在真空室的内壁上,逐渐变厚,形成含碳氟化合物的薄膜(下文称为“沉积膜”)。
当沉积膜的厚度达到一个预定厚度时,就会从内壁上剥落下来,从而造成微粒问题。当前,为了事先预防这种微粒问题的产生,通常在沉积膜达到预定厚度之前,就清洁真空室。更确切地说,真空室要打开并暴露在空气中,进行液体清洗。
绝缘膜的RIE处理有多种类型。所以,根据需要选择不同的气体。例如,在金属镶嵌过程中,为形成布线槽而进行RIE处理时,使用的气体就不同于为提供通孔而进行RIE处理时所用的气体。
金属镶嵌过程是近期才投入使用的一种过程。金属镶嵌过程为,在绝缘膜表面由RIE形成布线槽,在整个表面上沉积一层金属膜以掩埋布线槽,再采用CMP(化学机械抛光)消除布线槽以外不需要的金属膜。
在金属镶嵌过程中,布线槽的图案务必精确,因为布线槽的图案决定了布线层的图案。所以,与形成通孔的RIE处理不同,形成布线槽的RIE处理中选择的气体,在分解时只产生少量的碳氟化合物和碳。
如果选择的气体不同,在真空室内壁上形成的沉积膜成分自然也不同。在同一个真空室中采用气体进行不同的RIE处理时,会沉积若干沉积膜组成的重叠膜,每一层沉积膜的成分与其它层有很大的差异,按照热胀特性的差异,每一层沉积膜都有一种剥离条件,在这种条件下,重叠膜在短期内就会剥落,从而造成有害的微粒问题。所以,并非只有超过预定厚度时,才会发生沉积膜剥落。
为了避免上述问题,RIE装置的处理目的受到了限制,必须考虑到所用气体的成分和沉积膜的品质。
更进一步,当每一步所用的气体成分差异很大时,前一步形成的沉积膜释放的有害气体可能影响下一步处理。所以,同实际处理所需处理步骤的数目相比,有必要准备更多的RIE装置。
为了克服上述的多种问题,在一个RIE处理步骤结束之后另一个RIE处理步骤开始之前,采用等离子体消除真空室内壁上的沉积膜(等离子体清洁)。然而,采用这种方式消除沉积膜需要很长时间。因此这种等离子体清洁被视为不切实际的方法。
发明内容
根据本发明的一个实施例,提供一种等离子体处理方法,包括:
在一个有内壁的真空室中放置要处理的基底;
对基底进行等离子体处理时,在内壁设置为第一种温度;以及
采用等离子体清洁内壁时,内壁设置为高于第一种温度的第二种温度。
根据本发明的另一个实施例,提供一种等离子体处理方法,包括:
在一个真空室中放置要进行等离子体处理的基底;
向真空室中引入一种气体,增加这种气体的压力;以及
从真空室中排出气体以降低真空室中气体的压力,从而绝热地冷却真空室。
根据本发明的再一个实施例,提供一种等离子体处理方法,包括:
在一个有内壁的真空室中放置要处理的基底,对基底进行等离子体处理时,在内壁设置为第一种温度;
清洁内壁时,设置内壁的温度为高于第一种温度的第二种温度。
向真空室中引入一种气体,增加这种气体的压力;以及
从真空室中排出气体以降低气体的压力,从而绝热地冷却真空室。
附图简要说明
图1是一条特征曲线,表示当真空室的内壁温度为60℃时,CO释放强度与清洁时间之间的关系;
图2是一条特征曲线,表示当真空室的内壁温度分别为110℃和150℃时,CO释放强度与清洁时间之间的关系;
图3是一条特征曲线,表示当真空室中引入预先加热的气体时,CO释放强度与清洁时间之间的关系;
图4为依据本发明的一个实施例,一个等离子体处理装置的示意图;
图5为要处理之基底的截面图;以及
图6为本发明与现有技术的清洁效果对比图。
具体实施方式
现在参考附图讲解本发明的一个实施例。
发明者完成了下列实验,采用等离子体高效率地消除真空室内壁上的沉积膜。
首先,将一个硅晶片放置在平行板RIE装置的电极上,在下列沉积条件下,通过应用等离子体(第一次等离子体处理)在真空室内壁上人工地沉积一层薄膜:
压力:                 100mTorr,
电极上施加的高频电源: 1500W和13.56MHz,
提供的气体:    C4F8∶CO∶Ar∶O2,流量为15SCCM∶50SCCM∶200SCCM∶5SCCM,
电极温度:             40℃,
真空室内壁温度:       60℃,
放电时间:             2小时
内壁上沉积了薄膜之后,向真空室内引入气体O2。对气体O2放电产生等离子体。在下列消除条件下试验消除沉积膜:
压力:           150mTorr,
电源:           2000W,13.56MHz,
电极温度:       40℃,
真空室内壁温度: 60℃,
在真空室内壁上形成的沉积膜,其主要成分是碳(C)。所以,通过真空室壁上形成的夸脱窗,核实CO释放(CO强度)消失后,停止等离子体清洁过程。在上述条件下消除沉积膜时,在大约12分钟后CO释放消失,如图1所示。
同样沉积条件下形成的沉积膜,在不同的消除条件下进行消除,其消除条件与上述消除条件基本相同,不过内壁温度设置为110℃。在这种情况下,短时间(大约2分钟)内CO释放强度就消失了。在内壁温度设置为150℃的情况下,短时间(大约1分钟)内CO释放强度就消失了,如图2所示。
为了向真空室引入预先加热的气体(气体O2),连接到真空室的一条管线被加热并保持在150℃。从150℃的管线向真空室引入加热后的O2气,再放电以产生等离子体。然后,在下列消除条件下采用等离子体消除沉积膜:
压力:             150mTorr,
电源:             2000W,13.56MHz,
电极温度:         40℃,
真空室内壁温度:   60℃,
这时,在真空室的入口处O2气的温度大约为120℃。进行清洁大约3分钟后,CO释放强度几乎完全消失了,如图3所示。所以发现了等离子体清洁能够实现短时间内消除沉积膜。
如此加热后的真空室,为了高效率地冷却,采用了绝热冷却。更确切地说,向真空室中引入N2气,直到气压达10Torr。引入N2气停止之后,打开一个排放阀,排空N2气。大约2秒钟后,N2气的压力降低至4mTorr,真空室内壁的温度降低大约4℃。
如上所述,通过短时间内降低内壁的温度,可以缩短从等离子体清洁到下一次等离子体处理(第二次等离子体处理)的转换时间,从而提高生产率。
在这种情况下,在排空过程中关闭加热真空室中基底的加热器,并且停止连接到真空室的涡轮分子泵。不过,如果不进行这种操作,而是真空室的内壁自然冷却,使真空室温度降低4℃需要3分钟。
现在更明确地讲解一个实施例。
图4为一个等离子体处理装置的示意图。真空室1包括一个电极3,上面放置要处理的基底2。电极3有一个加热器4,控制基底2的温度。电极3通过隔直流电容器5连接到一个高频电源6。真空室1接地,同时用作相反电极。由高频电源6向真空室1和电极3之间施加13.56MHz的高频。
此外,分别通过气体供应管线7a、7b、阀门8a、8b和流量控制器9a、9b,以预定的流量和压力向真空室1供应处理气体。如上所示,向真空室1分开供应RIE处理气体和清洁气体。
围绕着气体供应管线7b设置了加热器10,为清洁沉积膜的气体加热。加热器10连接到电源11。另外,围绕真空室1也有一个加热器,加热其内壁。
图5展示要处理的基底2。基底2的形成过程如下。首先,采用降压CVD在硅基底(未显示)上沉积厚度为100nm的二氧化硅膜21,形成层间绝缘膜。然后,形成金属布线层(由Ti膜22、TN膜23、Al膜24、TiN膜25和Ti膜26组成),并采用降压CVD方法沉积900nm厚的层间绝缘膜27,覆盖金属布线层的整个表面。然后,进行CMP,磨平层间绝缘膜27的不平坦表面。最后,为了形成到达金属布线层的通孔,在层间绝缘膜27上形成光致抗蚀剂图案28。
随后,在图4所示的等离子体处理装置中,以光致抗蚀剂图案28作为掩模,蚀刻层间绝缘膜27。结果,在层间绝缘膜27中形成了到达金属布线层的通孔。
在下列蚀刻条件下完成蚀刻:
提供的气体:          C4F8∶CO∶Ar∶O2,流量为15SCCM∶50SCCM∶200SCCM∶5SCCM,
压力:             45mTorr,
基底2的温度:      40℃,
电极3上施加的电源:1500W,13.56MHz
通过气体供应管线7a供应C4F8∶CO∶Ar∶O2混合气体。
每处理24个基底2,就向真空室1引入由加热器10预先加热的O2气。对如此引入的O2气放电,产生等离子体,从而消除沉积膜。引入O2气是通过气体供应管线7b。可以采用绝热压缩加热O2气。在这种情况下,最好由加热器10同时加热O2供应管线。
清洁条件如下:
加热器4加热之基底2的温度:120℃,
O2气的流量:    1000SCCM,
压力:           150mTorr,
电源:           2000W,13.56MHz,
真空室1内壁温度:110℃,
由于CO释放强度受到监控,CO释放强度消失需要42秒钟。清洁持续84秒钟,为CO释放强度消失时间的两倍。
使真空室1的内壁温度从60℃提高到110℃需要90秒钟。真空室1的内壁加热到110℃消除沉积膜之后,真空室1又冷却到通常的温度60℃,处理基底。在这种情况下,沉积膜消除之后,真空室1一度排空然后引入N2气,增加压力到10Torr。随后打开阀门8a和8b,排空气体,使压力达到5mTorr。向真空室1引入N2气,增加压力到10Torr或更高(P1),需要大约15秒钟。(打开排空阀之后)排空室内气体到压力5mTorr(P2),需要大约2秒钟。也就是在2秒钟之内P1和P2就满足了P1>100·P2。
在大约2分钟之内,冷却过程重复7次。结果,真空室1内壁的温度从110℃降低到65℃。采用绝热冷却,真空室1内的多种部件冷却的效率更高。
在这个例子中,冷却过程重复了7次。冷却过程的条件(P1、P2、排空时间)可以相应改变,以在一次操作中使真空室足够冷却。
这种绝热冷却需要高真空。所以,当真空室1配备了涡轮分子泵(未显示)时,为了防止向涡轮分子泵持续不断地引入大量气体,最好停止涡轮分子泵或者提供一条旁路管线。
一般说来,连续处理基底大约70小时后,沉积膜会剥落,产生有害的微尘。在这种情况下,如果依照本实施例进行等离子体清洁,有可能在超过400小时的RF放电时间(等离子体处理时间)内防止微尘(微粒尺寸:大于0.2μm)产生,如图6所示。
真空室的液体清洁通常大约每70小时就要进行一次。一旦液体清洁结束而真空室仍然暴露在空气中,使真空室恢复正常条件需要大约7小时。如果采用本发明的等离子体清洁,真空室的清洁周期可以延长6倍。同时,真空室的停机时间也可以减少42小时。
假设本发明的等离子体清洁每90分钟(处理24个基底所需时间)进行一次,清洁操作的次数为
400小时(24000分钟)/90分钟=266.66
如果一次清洁操作需要5分钟,总的清洁时间为
5分钟×266.66次=1333.3分钟(大约22小时)
结果,依照本发明,等离子体处理装置的停机时间为常规装置所需时间的一半。
在等离子体清洁完成之后,进行正常等离子体处理时,真空处理装置1内壁的温度必须降低。内壁温度的降低是采用先增加真空室1的内部压力,再突然降低压力(称为绝热冷却)。不过,降低温度也可以采用冷却水。如果采用液氮作为制冷剂,真空室1的冷却效率会更高。
按照本实施例,采用等离子体处理基底之后,真空室内壁的温度设置为高于等离子体处理时的温度,例如高10℃或更多,从而进行真空室的等离子体清洁。所以,可以缩短真空室内壁上形成的沉积膜的消除时间。
上面讲解了本发明的实施例。然而,本发明将不受该实施例限制。本发明是应用于等离子体蚀刻,尤其是RIE。不过本发明也可以应用于其它等离子体处理,比如等离子体CVD。

Claims (20)

1.一种等离子体处理方法,包括:
在一个有内壁的真空室中放置要处理的基底;
对所述基底进行等离子体处理时,所述内壁设置为第一种温度;以及
采用等离子体清洁所述内壁时,所述内壁设置为高于所述第一种温度的第二种温度。
2.根据权利要求1的等离子体处理方法,其特征在于,所述第二种温度为110℃或更高。
3.根据权利要求1的等离子体处理方法,其特征在于,向所述真空室引入O2气,采用所述O2气的等离子体清洁所述内壁。
4.根据权利要求3的等离子体处理方法,其特征在于,所述O2气被加热并被引入所述真空室。
5.根据权利要求1的等离子体处理方法,进一步包括,对所述基底进行第二次等离子体处理时,所述内壁设置为低于所述第二种温度的温度。
6.根据权利要求5的等离子体处理方法,其特征在于,所述第二种温度为110℃或更高。
7.根据权利要求4的等离子体处理方法,其特征在于,加热所述O2气采用绝热压缩实施。
8.一种等离子体处理方法,包括:
在一个真空室中放置要进行等离子体处理的基底;
向所述真空室中引入一种气体,增加所述气体的压力;以及
从所述真空室中排出所述气体以降低所述真空室中所述气体的压力,从而绝热地冷却所述真空室。
9.根据权利要求8的等离子体处理方法,其特征在于,所述气体为N2气。
10.根据权利要求8的等离子体处理方法,其特征在于,快速排空所述气体,在2秒钟之内满足以下关系:
P1>100·P2
其中P1为所述气体引入时的压力,P2为所述气体排空时的压力。
11.根据权利要求8的等离子体处理方法,其特征在于,在所述气体引入所述真空室之前,所述真空室曾经用真空抽吸法完全排空。
12.根据权利要求8的等离子体处理方法,其特征在于,引入和排空所述气体的操作重复几次。
13.一种等离子体处理方法,包括:
在一个有内壁的真空室中放置要处理的基底;
对所述基底进行等离子体处理时,所述内壁设置为第一种温度;
清洁所述内壁时,设置所述内壁的温度为高于所述第一种温度的第二种温度。
向所述真空室中引入一种气体,增加所述气体的压力;以及
从所述真空室中排出所述气体以降低所述气体的压力,从而绝热地冷却所述真空室。
14.根据权利要求13的等离子体处理方法,其特征在于,所述第二种温度为110℃或更高。
15.根据权利要求13的等离子体处理方法,其特征在于,向所述真空室引入O2气,采用所述O2气的等离子体清洁所述真空室。
16.根据权利要求15的等离子体处理方法,其特征在于,所述O2气被加热并被引入所述真空室。
17.根据权利要求16的等离子体处理方法,其特征在于,加热所述O2气采用绝热压缩实施。
18.根据权利要求13的等离子体处理方法,其特征在于,所述气体为N2气。
19.根据权利要求13的等离子体处理方法,其特征在于,快速排空所述气体,在2秒钟之内满足以下关系:
P1>100·P2
其中P1为所述气体引入时的压力,P2为所述气体排空时的压力。
20.根据权利要求13的等离子体处理方法,其特征在于,在所述气体引入所述真空室之前,所述真空室曾经用真空抽吸法完全排空。
CN02108712A 2001-03-29 2002-03-29 等离子体处理 Pending CN1379439A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP095307/2001 2001-03-29
JP2001095307A JP2002299316A (ja) 2001-03-29 2001-03-29 プラズマ処理方法

Publications (1)

Publication Number Publication Date
CN1379439A true CN1379439A (zh) 2002-11-13

Family

ID=18949376

Family Applications (1)

Application Number Title Priority Date Filing Date
CN02108712A Pending CN1379439A (zh) 2001-03-29 2002-03-29 等离子体处理

Country Status (5)

Country Link
US (1) US20020192972A1 (zh)
JP (1) JP2002299316A (zh)
KR (1) KR20020077166A (zh)
CN (1) CN1379439A (zh)
TW (1) TW558738B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1295757C (zh) * 2003-03-04 2007-01-17 株式会社日立高新技术 半导体处理装置的控制方法
CN1306567C (zh) * 2003-05-16 2007-03-21 东京毅力科创株式会社 等离子体处理装置及其控制方法
CN103290387B (zh) * 2012-02-23 2017-03-01 艾克斯特朗欧洲公司 化学气相沉积反应器过程室清洁方法
CN114540794A (zh) * 2017-04-14 2022-05-27 西安德盟特半导体科技有限公司 一种去除cvd反应腔体内壁沉积膜的方法及装置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10255988A1 (de) * 2002-11-30 2004-06-17 Infineon Technologies Ag Verfahren zum Reinigen einer Prozesskammer
KR100491396B1 (ko) * 2002-12-03 2005-05-25 삼성전자주식회사 반도체 소자의 피이-테오스(pe-teos)막 형성 방법
KR100655588B1 (ko) 2004-12-31 2006-12-11 동부일렉트로닉스 주식회사 건식 식각 장비의 자체 세척 방법
JP4843285B2 (ja) * 2005-02-14 2011-12-21 東京エレクトロン株式会社 電子デバイスの製造方法及びプログラム
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
JPH07225079A (ja) * 1994-02-10 1995-08-22 Sony Corp 加熱方法及び半導体装置の製造方法
JP3676912B2 (ja) * 1997-08-07 2005-07-27 株式会社ルネサステクノロジ 半導体製造装置およびその異物除去方法
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6225240B1 (en) * 1998-11-12 2001-05-01 Advanced Micro Devices, Inc. Rapid acceleration methods for global planarization of spin-on films
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
JP4060526B2 (ja) * 2000-12-13 2008-03-12 株式会社日立国際電気 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1295757C (zh) * 2003-03-04 2007-01-17 株式会社日立高新技术 半导体处理装置的控制方法
CN1306567C (zh) * 2003-05-16 2007-03-21 东京毅力科创株式会社 等离子体处理装置及其控制方法
CN103290387B (zh) * 2012-02-23 2017-03-01 艾克斯特朗欧洲公司 化学气相沉积反应器过程室清洁方法
CN114540794A (zh) * 2017-04-14 2022-05-27 西安德盟特半导体科技有限公司 一种去除cvd反应腔体内壁沉积膜的方法及装置

Also Published As

Publication number Publication date
KR20020077166A (ko) 2002-10-11
TW558738B (en) 2003-10-21
US20020192972A1 (en) 2002-12-19
JP2002299316A (ja) 2002-10-11

Similar Documents

Publication Publication Date Title
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
TWI427684B (zh) 用於現場基底處理之方法及裝置
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7942969B2 (en) Substrate cleaning chamber and components
JP4514336B2 (ja) 基板処理装置及びその洗浄方法
US7226869B2 (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US6902629B2 (en) Method for cleaning a process chamber
KR100891754B1 (ko) 기판 처리실의 세정 방법, 기억 매체 및 기판 처리실
CN1296977C (zh) 绝缘膜刻蚀装置
US20080178906A1 (en) Bare aluminum baffles for resist stripping chambers
US20030185997A1 (en) Method of reducing the chamber particle level
CN1725442A (zh) 氮化钛去除方法
CN1664995A (zh) 等离子体处理方法和等离子体处理装置
TW201618210A (zh) 半導體處理用大氣電漿設備
CN1379439A (zh) 等离子体处理
CN101030527A (zh) 等离子体蚀刻方法和计算机可读取的存储介质
CN1787183A (zh) 等离子体蚀刻方法
CN100352014C (zh) 蚀刻方法
CN1551307A (zh) 半导体器件的制造方法和等离子体蚀刻装置的清洁方法
CN1777977A (zh) 成膜方法
JP2004091825A (ja) 薄膜成長方法
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication