TW558738B - Plasma processing - Google Patents

Plasma processing Download PDF

Info

Publication number
TW558738B
TW558738B TW091105745A TW91105745A TW558738B TW 558738 B TW558738 B TW 558738B TW 091105745 A TW091105745 A TW 091105745A TW 91105745 A TW91105745 A TW 91105745A TW 558738 B TW558738 B TW 558738B
Authority
TW
Taiwan
Prior art keywords
gas
item
chamber
plasma
patent application
Prior art date
Application number
TW091105745A
Other languages
English (en)
Inventor
Masaki Narita
Katsuya Okumura
Tokuhisa Ohiwa
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Application granted granted Critical
Publication of TW558738B publication Critical patent/TW558738B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

558738
相關申請之交互參考 本申請根據並要求2001年3月29日所提出第20〇1_〇953〇7 號之先前曰本專利申請之優先權利益,其全部内容列此做 為參考。 發明之背景 1·發明之領域
本發明與半導體領域中之電漿處理方法有關,特別與在 以電漿處理一基板時用以清除在一真空處理室之内壁上所 形成不欲有之膜之電漿處理方法有關。 2·相關技術之說明 裝 反應離子蝕刻(RIE)裝置為一種以電漿處理半導體基板 之裝置已為人所知。在RIE裝置中,施加一負電位,以一 南頻功率排出反應氣體(餘刻氣體)而產生電裝且電聚中 訂
之離子垂直碰撞在晶圓表面上而對晶圓加以實體及化學姓 刻。 當於一絕緣膜内形成一通孔時,使用含有螢光碳之氣體 做為蝕刻氣體。尤其是要使用具有良知選擇比之蝕刻氣體 來防止在通孔底部曝露出之金屬接線層被蝕刻。一般是使 用含有CHF3或(:4118之氣體。 當使用一種蝕刻氣體進行絕緣膜之RIE處理時,蝕刻氣 體在電漿中分解而產生螢光碟及碳且澱積在真空室之内壁 上。而且在以RIE處理絕緣膜時所產生之反應產物也有一 部分澱積在真空室内壁上。 這些螢光碳、碳及反應產物澱積在真空室内壁上且逐漸
2 五、發明説明( 變厚而形成一含有螢光碳之膜(以下簡稱為澱積膜)。 澱積膜在厚度到達一預定厚度時即會從内壁剝落而造成 粒子問題。目前是事先防止該粒子產生之問題而通常在澱 積膜到達一預定厚度前即清洗真空室。特別是當真空室打 開而曝露於空氣時進行濕清洗。 有各種對絕緣膜之RIE處理。所以按照需求而選擇不同 氣體。例如在金屬鑲嵌處理绛中形成一接線槽之RIE處理 中疋使用與在提供通孔之RIE處理中所用不同之氣體。 金屬鑲嵌處理為近來使用之一種處理。金屬鑲嵌處理之 進行是以RIE在絕緣膜表面形成一接線槽,在整個表面澱 積一金屬膜而埋住接線槽並以化學機械磨光將接線槽外不 需要之金屬膜除去。 在金屬鑲嵌處理中接線槽之圖案必須精確,因接線槽之 圖案決定接線層之圖案。所以不似在用於通孔之RIE處理 中,而在用於接線槽之RIE處理中是選擇當分解時產生小 量螢光碳與碳之氣體。 若選擇之氣體不同,形成於真空内壁上澱積膜之成分自 然不同。當於同一真空室進行使用不同氣體之RIE處理而 澱積含有每個成分均大不目同之一些澱積膜之堆疊膜時, 在熱膨脹不同之每一澱積膜尚薄之狀況下該堆疊膜於短時 間即會剝落而造成不願有之粒子問題。所以澱積膜之剝落 並非僅在超過一預定厚度時發生。 為避免上述問題,一個由RIE裝置來處理之處理標的受 限於對所用氣體成分及澱積品質之考慮。 五、發明説明(3 ) 此外,當在每-步驟所用氣體成分大不相同時 在前步驟中所形成澱積膜釋放之不願有之氣體會州— 處理步驟。所以需要準備多於實料理所需處^數 之RIE裝置。 /外双曰 為克服上述各種問題,在一RIE處理步驟結束後及另一 RIE處理步驟開始前以電聚除去真空室内壁上澱積之膜(電 漿清洗)。但以此一方式除去澱積膜需要一個長時間◊所 以電漿清洗被視為不切實際之方法。 本發明之簡要說明 按照本發明一實例,提供一種電漿處理方法包括: 將一待處理之基板置於一有一内壁之小室内; 將内壁設定至第一溫度而對該基板進行電漿處理;及 將内壁設定室高於第一溫度之第二溫度而以電聚清洗内 壁。 按照本發明另一實例,提供一種電漿處理方法包括: 將一將要加以電漿處理之基板置於一小室内; 將一種氣體注入該小室以增大該氣體之壓力;及 從該小室排出該氣體以減小該小室内氣體之壓力而以斷 熱方式冷卻該小室。 按照本發明又一實例,提供種電漿處理方法包括: 將一待處理之基板置入一有一内壁之小室且將内壁設定 至第一溫度而對該基皮進行電漿處理; 將内壁設定至高於第一溫度之第二溫度並清洗内壁; 將一種氣體注入該小室以增大該氣體之壓力,·及 558738 A7 B7 五、發明説明(4 ) 從該小室排出該氣體以減小氣體之壓力而以斷熱方式冷 卻該小室。 附圖簡介 圖1所示為當真空室内部溫度在6(rc時氧化碳放射強度 與清洗時間間關係之特性曲線; 圖2所示為當真空室内部溫度分別在110 °c及1 5 0 °c時氧 化碳放射強度與清洗時間間關係之特性曲線; 圖3所示為將後立加熱之氣體注入真空室時氧化碳放射 強度與清洗時間間關係之特性曲線; 圖4為按照本發明一實例電漿處理裝置之略圖; 圖5為一待處理基板之斷面圖;及 圖6為本發明與一先前技術間清洗效果差異之曲線。 實例 現參照附圖說明本發明一實例。 本發明已完成以電漿有效除去真空室内壁上所澱積膜之 下述實驗。 首先將一矽晶圓置放在一平行板RIE裝置之一電極上而 在下述殺積條件下藉著施加電漿於真空室内壁上而殿積一 人工膜(第一電漿處理): 壓力:100毫托, 施加至電極之高頻:15〇〇〜及13.56 1^1^ 所供應氣體:C4F8:C0:Ar:02 供應流率為 15 SCCM:50 SCCM:200 SCCM:5 SCCM, 電極溫度:40°C, 本紙張尺度適用中國國家標準(CNS) A4規格(21〇χ297公釐) 558738 A7
發明説明(6
電極溫度:40°C
内壁溫度:60°C 此時在真空室入口處〇2氣體之溫度約為l2〇°c ^如圖3所 示在進行約3分鐘清洗後,氧化碳放射強度幾乎完全消失 。所以發現電漿清洗能在短時間除去澱積膜。 為有效冷卻經加熱之真空室而使用斷熱冷卻。特別是將 N2氣體注入真空室直至1〇托之壓力。停止注入^氣體後, 將一排氣閥打開而清除N2氣體。約2秒鐘後N2氣體之壓力 降至4毫托且真空室内壁之溫度下降約4 °c。 如上所述在一個經時間降低内壁溫度可滅少從電漿清洗 至下一個電漿處理(第二電漿處理)之過渡時間,因而改善 生產力。 在此情形下將用於對真空室内基板加熱之加熱器斷開並 在排氣時停止連接至真空室之渦輪分子泵浦。但若無操作 而使真空室自然冷卻時需要3分鐘才使室之溫度降低4。〇。 現在更明確地說明一實例。 圖4所示為一電漿處理裝置之略圖。一個真空室1包括一 電極3用以處理在其上之待處理基板2。電極3有一加熱器斗 用以控制基板2之溫度。電極3透過一阻斷電容器5連接至 一咼頻功率源6。也被用做反電極之真空室丨則通地。從高 頻功率源6在真空室1與電極· 3間施加一個13·56 MHz之高 頻。 此外,分別透過氣體供應線7a,7b、閥8a,❿及流率控 制器9a,9b以預定之流率與壓力將處理氣體供應至真空室 -9 - 558738 A7
裝 訂 線
9 五、發明説明( 輪八子nl 所以當真空室1備有一渦 ::= )時,最好要停止渴輪分子泵浦或提供 通線俾能防止大量氣體瞬間注入涡輪分子栗浦内。 -般言來當隨後將基板處理約70小時之時,戰積膜合剝 =產^不願有之粉末。在此情形下若按照本實例進行電 漿清洗時,如圖6所示在超過4〇〇小時之射頻放電時間 漿處理時間)能防止粉末(粒子大小:約〇 2 μιη)2產生。 通常是每70小時進行真空室之濕清洗。_旦完成濕清洗 而該室曝露於空氣中時,大約要7小時使室恢復正常狀況 。若用本發明之電漿清洗時,室之清洗擔環週期能加長6 倍。同時室之停用時間可減至42小時。 假定處理24個基板所需之每9〇分鐘進行一次本發明之電 聚清洗,清洗作業之次數為 400小時(24000分鐘)/9〇分鐘=266.66。 若單次清洗作業需要5分鐘,總清洗時間為 5分鐘><266.66次=133.33分鐘(約22小時), 因此按照本發明電漿處理裝置之停用時間為傳統裝置所 需時間之一半。 在完成電漿清洗後進行正常電漿處理時,必須將真空處 理裝置1内壁之溫度降低。降低内壁溫度是藉每當增大真 空室1之内部壓力即突然降抵壓力(稱為斷熱冷卻)。但亦 可用冷卻水來降低溫度。若用液體氮做為冷凍劑可更有效 使室1冷卻。 按照本實例,以電漿處理基板時將室之内壁溫度定在一

Claims (1)

  1. 558738 第091105745號專利申請案 中文申請專利範圍替換本(92年3月) 申請專利範圍 A B CD 年 I 1 · 一種電漿處理方法,包括: 將一待處理之基板置於有一内壁之小室内; 將該内壁設定在第一溫度而對該基板進行電漿處理;及 將該内壁設定在高於該第一溫度之第二溫度而以電漿 清洗該内壁。 2 ·如申請專利範圍第1項之電漿處理方法,其中該第二溫 度為110°c或更高。 3 ·如申請專利範圍第1項之電漿處理方法,其中將〇2氣體 注入該室而以該〇2氣體之電漿清洗該内壁。 裝 4·如申請專利範圍第3項之電漿處理方法,其中該02氣體 被加熱並注入該室。 5·如申請專利範圍第1項之電漿處理方法,更包括將該内 訂 壁没定在低於該第二溫度之溫度而對該基板施加第二電 漿處理。 6·如申請專利範圍第5項之電漿處理方法,其中該第二溫 度為110°c或更高。 7.如申μ專利範圍第4項之電漿處理方法,其中〇2氣體之 加熱是以斷熱壓縮進行。 8 · —種電漿處理方法,包括: 將一將被電漿處理之基板置於一小室中; 將一種氣體注入該小室而增大該氣體之壓力;及 將該氣體從該小室排出而減小該小室内該氣體之壓力 而以斷熱方式冷卻該小室。 9.如申請專利範圍第8項之電漿處理方法,其中該氣體為
    558738
    n2氣體。 i〇.如申凊專利範圍第8項之電漿處理方法,其中該氣體被 陕速排放而在2秒内滿足下述關係: P1> 100 · P2 其中P1為該氣體注入時之壓力而P2為該氣體排放 之壓力。 ' 11·如申請專利範圍第8項之電漿處理方法,其中該小室在 將該氣體注入該小室前一度清除成完全真空。 12·如申請專利範圍第8項之電漿處理方法,其中注入與排 出該氣體之作業重複若干次。 13 · —種電漿處理方法,包括: 將一待處理之基板放入有一内壁之小室内; 將該内壁設定至第一溫度而使該基板接受電漿處理; 將該内壁設定至高於第一溫度之第二溫度而清洗該内 壁; 將一種氣體注入該小室以增大該氣體之壓力;及 將該氣體從該小室排出以減小該氣體之壓力而以斷熱 方式冷卻該小室。 14·如申請專利範圍第π項之電漿處理方法,其中該第二溫 度為110°C或更高。 1 5 ·如申請專利範圍第13項之電漿處理方法,其中是將〇2 氣體注入該小室而以02氣體電漿清洗該小室。 1 6.如申請專利範圍第1 5項之電漿處理方法,其中該〇2氣 體被加熱並注入該小室内。 -2- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) ^ 558738 A B c D 六、申請專利範圍 17. 如申請專利範圍第16項之電漿處理方法,其中是以斷熱 壓縮進行對該〇 2氣體之加熱。 18. 如申請專利範圍第13項之電漿處理方法,其中該氣體為 N2氣體。 19. 如申請專利範圍第13項之電漿處理方法,其中該氣體被 快速排放而在2秒内滿足下述關係: P1> 100 · P2 其中P 1為該氣體被注入時之壓力而P2為該氣體被排 出時之壓力。 裝 20. 如申請專利範圍第13項之電漿處理方法,其中該小室在 該氣體注入其中前一度清除成完全真空。 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
TW091105745A 2001-03-29 2002-03-25 Plasma processing TW558738B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001095307A JP2002299316A (ja) 2001-03-29 2001-03-29 プラズマ処理方法

Publications (1)

Publication Number Publication Date
TW558738B true TW558738B (en) 2003-10-21

Family

ID=18949376

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091105745A TW558738B (en) 2001-03-29 2002-03-25 Plasma processing

Country Status (5)

Country Link
US (1) US20020192972A1 (zh)
JP (1) JP2002299316A (zh)
KR (1) KR20020077166A (zh)
CN (1) CN1379439A (zh)
TW (1) TW558738B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI385722B (zh) * 2005-02-14 2013-02-11 Tokyo Electron Ltd Substrate processing method, cleaning method after chemical mechanical polishing, the method and program for producing electronic device

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10255988A1 (de) * 2002-11-30 2004-06-17 Infineon Technologies Ag Verfahren zum Reinigen einer Prozesskammer
KR100491396B1 (ko) * 2002-12-03 2005-05-25 삼성전자주식회사 반도체 소자의 피이-테오스(pe-teos)막 형성 방법
CN1295757C (zh) * 2003-03-04 2007-01-17 株式会社日立高新技术 半导体处理装置的控制方法
JP4846190B2 (ja) * 2003-05-16 2011-12-28 東京エレクトロン株式会社 プラズマ処理装置およびその制御方法
KR100655588B1 (ko) 2004-12-31 2006-12-11 동부일렉트로닉스 주식회사 건식 식각 장비의 자체 세척 방법
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
DE102012101438B4 (de) * 2012-02-23 2023-07-13 Aixtron Se Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
CN114540794A (zh) * 2017-04-14 2022-05-27 西安德盟特半导体科技有限公司 一种去除cvd反应腔体内壁沉积膜的方法及装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP3502096B2 (ja) * 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
JPH07225079A (ja) * 1994-02-10 1995-08-22 Sony Corp 加熱方法及び半導体装置の製造方法
JP3676912B2 (ja) * 1997-08-07 2005-07-27 株式会社ルネサステクノロジ 半導体製造装置およびその異物除去方法
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6225240B1 (en) * 1998-11-12 2001-05-01 Advanced Micro Devices, Inc. Rapid acceleration methods for global planarization of spin-on films
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
JP4060526B2 (ja) * 2000-12-13 2008-03-12 株式会社日立国際電気 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI385722B (zh) * 2005-02-14 2013-02-11 Tokyo Electron Ltd Substrate processing method, cleaning method after chemical mechanical polishing, the method and program for producing electronic device

Also Published As

Publication number Publication date
JP2002299316A (ja) 2002-10-11
US20020192972A1 (en) 2002-12-19
KR20020077166A (ko) 2002-10-11
CN1379439A (zh) 2002-11-13

Similar Documents

Publication Publication Date Title
KR101153330B1 (ko) 플라즈마 처리 장치의 클리닝 방법, 그 클리닝 방법을 실행하는 플라즈마 처리 장치 및 그 클리닝 방법을 실행하는 프로그램을 기억하는 기억 매체
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
TW569344B (en) Insulation-film etching system
TWI285403B (en) Low-pressure removal of photoresist and etch residue
JP4963842B2 (ja) 基板処理室の洗浄方法、記憶媒体及び基板処理装置
JP2019515505A (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
TW201841252A (zh) 包含電漿處理裝置之腔室本體內部的清理之電漿處理方法
JP2006128485A (ja) 半導体処理装置
TW201631656A (zh) 蝕刻方法
TW558738B (en) Plasma processing
JP2003174012A5 (zh)
TWI716378B (zh) 蝕刻方法
TW201635408A (zh) 真空吸引方法及真空處理裝置
TW201806026A (zh) 電漿處理方法
JP2010199475A (ja) プラズマ処理装置のクリーニング方法及び記憶媒体
TWI756424B (zh) 電漿處理裝置之洗淨方法
TW201011805A (en) Chamber plasma-cleaning process scheme
TW201703098A (zh) 上部電極之表面處理方法、電漿處理裝置及上部電極
US6130169A (en) Efficient in-situ resist strip process for heavy polymer metal etch
TWI831956B (zh) 清潔處理方法及電漿處理裝置
JPWO2008032627A1 (ja) ドライエッチング方法
CN108496246A (zh) 狭缝阀门涂层及用于清洁狭缝阀门的方法
JP2001085411A (ja) 真空処理方法
JP2006019626A (ja) プラズマ処理装置及びその洗浄方法
JP4570186B2 (ja) プラズマクリーニング方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees