JP2018050059A - 基板洗浄チャンバ及び構成部品 - Google Patents

基板洗浄チャンバ及び構成部品 Download PDF

Info

Publication number
JP2018050059A
JP2018050059A JP2017206061A JP2017206061A JP2018050059A JP 2018050059 A JP2018050059 A JP 2018050059A JP 2017206061 A JP2017206061 A JP 2017206061A JP 2017206061 A JP2017206061 A JP 2017206061A JP 2018050059 A JP2018050059 A JP 2018050059A
Authority
JP
Japan
Prior art keywords
ring
gas
substrate
diameter
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017206061A
Other languages
English (en)
Inventor
マーティン リケール
Martin Rikeal
マーティン リケール
ウェイ ダブリュー ワング
Wei W Wang
ウェイ ダブリュー ワング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018050059A publication Critical patent/JP2018050059A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0268Liner tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning In General (AREA)

Abstract

【課題】基板の洗浄に適した洗浄チャンバを備える基板洗浄装置を提供する。
【解決手段】基板洗浄チャンバ24は、消耗セラミックライナ60、基板加熱台座部80及び処理キット等の様々な構成部品を備える。消耗セラミックライナは、遠隔ガスエナジャイザ52のガス出口チャネル62を基板洗浄チャンバのガス入口チャネル40に接続するために設置される。基板加熱台座部は、配列された凹部内に複数のセラミックボール90が位置決めされた基板受け面を有する環状プレート82を備える。処理キットは、トッププレート116、トップライナ118、ガス分散プレート120、ボトムライナ122及びフォーカスリング124を備える。
【選択図】図1

Description

半導体及びディスプレイ等の基板の処理においては、層を基板上に形成し、次にエッチ
ングにより導電性の相互接続部、コンタクトホール、ビアホール、ゲート及びバリア等の
特徴部(feature)を形成する。例えば、電気的相互接続線のパターンは、金属を
含有する導体を基板上に堆積し、導体上にパターン形成された耐エッチング性の材料を形
成し、導体をエッチングすることにより相互接続線を形成し、不要なレジストを除去し、
エッチングされた特徴部に誘電体を堆積することにより形成することができる。誘導体層
を更にエッチングすることにより、その下の金属含有導体材料又はその他の基板層を露出
させるコンタクトホール又はビアホールを形成することができる。次に、導電性の材料を
エッチングされたホール又はトレンチに堆積することによりその下の導体と電気的に接触
させる。例えば、銅含有相互接続部を形成する場合、誘電体層をエッチングすることによ
り、その下の銅導体材料を露出させるコンタクトホールを形成することができる。銅の薄
いシード層を、露出した導体及びコンタクトホール上に堆積することにより、コンタクト
ホールを充填するための後続の銅の電気めっき処理を促進することができる。
しかしながら、後続の処理工程を実行するに先立って、金属含有導体上の汚染物質及び
望ましくない表面材料を、露出した導体表面から洗浄する必要がある。例えば、中間処理
工程(例えば、酸素含有ガスプラズマを用いてレジストを剥離するレジスト剥離処理又は
異なるチャンバ間での基板搬送時)の間に、酸素種に曝露された導体上には天然の酸化物
膜が形成されることが多い。酸化物膜は、導体表面間の接触界面における電気抵抗を増大
させる。表面材料には、先行の処理からの残留処理堆積物(例えば、炭素含有、ケイ素含
有、フッ素含有及び窒素含有処理残留物等)が含まれることもある。これらの処理堆積物
により、露出部と堆積させた材料との界面に空隙又はその他の凸凹が形成されることがあ
る。
プレ洗浄チャンバとしても知られる基板洗浄チャンバを使用することにより、処理前及
び処理工程間に、基板表面から酸化物膜及びその他の望ましくない処理堆積物を洗浄する
。洗浄処理中、基板を洗浄チャンバ内で支持し、遠隔ガスチャンバ内でエネルギー印加さ
れた洗浄ガスを生成し、エネルギー印加された洗浄ガスをチャンバに導入する。洗浄ガス
は表面残留物と反応し、残留物を除去する。一部の方法において、基板加熱台座部は、洗
浄中に基板の温度を制御するための加熱素子を含む。
しかしながら、このような洗浄過程におけるエネルギー印加された洗浄ガスの使用には
、励起させた洗浄ガスのラジカル種及びイオン種のエネルギーの制御が困難であるとの問
題がある。洗浄ガスと基板表面との間での高エネルギーの衝突が、その下の基板に損傷を
引き起こす場合がある。洗浄ガス中の軽量のイオン(例えば、H等)もまた、これらが
基板表面に浸透してその下の誘電体層を損傷する場合、有害となる可能性がある。従って
、処理チャンバに導入されるエネルギー印加種のエネルギー及びタイプを制御することが
望ましい。
ガスエナジャイザ(gas energizer)内の遠隔チャンバの励起領域を取り
囲む遠隔チャンバ壁を洗浄ガスがエッチングにより削って侵食することが多く、また洗浄
チャンバ内の構成部品をエッチングにより削って侵食する場合さえあることも問題である
。このような侵食によりこれらの構成部品は損傷を受け、構成部品がチャンバと一体化し
た部品の場合は、既定の処理サイクル数の後にその構成部品を再調整する又は交換するた
めにチャンバを停止させなくてはならず、望ましくない。従来のステンレススチール壁及
びライナは特に侵食され易く、頻繁な交換又は再調整を必要とする。
基板と接触する洗浄チャンバ内の基板加熱台座部から基板の背面に汚染物質及び処理残
留堆積物が移ってしまう又は基板搬送過程において台座部が基板に傷をつけてしまいさえ
する場合、更に別の問題が生じる。加熱素子を備えた基板加熱台座部では、基板表面全体
を均等に加熱できないこともある。隆起部及び溝から成る基板受け面を有する基板加熱台
座部では、基板の裏側を流れる熱伝達ガスにより温度の均一性を改善することができるも
のの、依然として望ましくない量の処理残留物及び堆積物が基板に移ってしまう。
従って、エネルギー印加されたガス種を選別し、例えば、特定のイオン種を洗浄ガスか
ら除外することができる洗浄チャンバ及びガスエナジャイザを有することが望ましい。ま
た、簡単に交換又は再調整することができるチャンバ構成部品を有することが望ましい。
処理堆積物が基板背面に移ることによる基板汚染を最小限に抑える基板加熱台座部を有す
ることが更に望ましい。基板のより均等な加熱を可能にする基板加熱台座部を有すること
も望ましい。
遠隔チャンバのガス出口チャネルを基板洗浄チャンバのガス入口チャネルに接続するた
めの消耗セラミックライナが提供される。ライナは、遠隔チャンバのガス出口チャネルに
適合した外径を有する入口シリンダと、基板洗浄チャンバのガス入口チャネルに接続され
た出口シリンダと、入口シリンダを出口シリンダに接合する円錐フレア部とを備える。
消耗セラミックライナの幾つかの実施形態が提供される。一実施形態において、ライナ
の円錐フレア部は、約10〜約60°の角度で垂直軸から傾斜した円錐面を備える。一実
施形態において、円錐フレア部の長さと出口シリンダの長さとの比は、約1:2〜約1:
8である。一実施形態において、ライナの入口シリンダは第1直径を有し、ライナの出口
シリンダは、第1直径の少なくとも1.5倍大きい第2直径を有する。更なる実施形態に
おいて、第1直径は約1〜約4cmであり、第2直径は約2〜約8cmである。一実施形
態において、ライナは、遠隔ガスエナジャイザ内で発生させたエネルギー印加ガスからイ
オン種を捕捉可能なセラミック材料を含む。一実施形態において、ライナは石英、酸化ア
ルミニウム又は窒化アルミニウムから構成され、約2mm〜約6mmの厚ささえ有するこ
とができる。ライナは、出口シリンダの外径に適合するように寸法設計されたライナ固定
シリンダを更に備えることができる。
セラミックライナを上部チャンバ壁に挿入することにより遠隔チャンバのガス出口チャ
ネルを基板洗浄チャンバのガス入口チャネルに接続する方法が提供され、セラミックライ
ナは、遠隔チャンバのガス出口チャネルに適合するように寸法設計された入口シリンダと
、基板洗浄チャンバのガス入口チャネルに接続された出口シリンダと、入口シリンダを出
口シリンダに接合するための円錐フレア部とを備える。本方法は、(a)ライナ固定シリ
ンダをセラミックライナの出口シリンダ上に設置し、(b)セラミックライナの出口シリ
ンダにライナ保持ツールを摺入し、ここでライナ保持ツールの外径は、出口シリンダの内
径を支持するように寸法設計されており、(c)ライナ保持ツールを把持し、セラミック
ライナの入口シリンダを遠隔チャンバのガス出口チャネルに挿入する工程を有する。
一実施形態において、本方法は、(d)ライナ保持ツールを捻ることにより固定シリン
ダの環状フランジを上部チャンバ壁の対応する環状リップ部にはめこむことを更に含む。
基板洗浄チャンバのための基板加熱台座部が提供される。基板加熱台座部は、(a)凹
部の配列を備えた基板受け面を有する第1ディスクと、加熱素子を受容するように成形さ
れたチャネルを有する第2ディスクと、第1ディスクと第2ディスクとを接合するロウ付
け接着部とを備えた環状プレートと、(b)基板受け面の凹部にそれぞれ位置決めされた
複数のセラミックボールと、(c)環状プレートに埋設された加熱素子とを備える。
基板加熱台座部のロウ付け接着部は、アルミニウムロウ付け化合物を含むことができる
。台座部の第1ディスク及び第2ディスクは、アルミニウムを含むことができる。台座部
のセラミックボールは、アルミナ酸化物、石英、サファイア、窒化ケイ素、合成コランダ
ム、酸化ジルコニウム、Al又はこれらの混合物から構成することができる。一実
施形態において、台座部のセラミックボールは、約1〜約3mmの直径を有し、基板受け
面を環状プレートの上面より約0.01mm〜約0.5mm高く維持するに十分な大きさ
の直径を有することさえできる。
基板処理チャンバのためのガス分散プレートが提供される。ガス分散プレートは、直径
dをそれぞれ有する第1穴から構成される第1リングと、直径2dをそれぞれ有する第2
穴から構成され且つ第1リングの半径方向外側に位置する第2リングと、直径3dをそれ
ぞれ有する第3穴から構成され且つ第2リングの半径方向外側に位置する第3リングと、
直径4dをそれぞれ有する第4穴から構成され且つ第3リングの半径方向外側に位置する
第4リングとを有する。
ガス分散プレートの一実施形態において、直径dは約1〜約5mmである。ガス分散プ
レートはセラミックから構成することができ、また酸化アルミニウム又は酸化ケイ素を含
むことさえできる。
基板加熱台座部に面するガス分散プレートを保持するチャンバ蓋部を有する基板洗浄チ
ャンバのための処理キットが提供される。処理キットは、(a)チャンバ蓋部に接触する
、処理ガスを通過させるためのオリフィス及び周縁部を有する石英のトッププレートと、
(b)石英のトッププレートの周縁部と接触し且つガス分散プレートの上方に位置するト
ップライナと、(c)ガス分散プレートの下方のボトムライナと、(d)基板加熱台座部
の周縁部上に載るフォーカスリングとを有する。
処理キットの一実施形態において、トッププレート、トップライナ、ボトムライナ及び
フォーカスリングは全て石英を含む。処理キットのトッププレートは、外周縁部及び処理
ガスを通過させるためのオリフィスを有する環状ディスクを含むことができる。トッププ
レートは、約1mm〜約5mmの厚さを有することができる。一実施形態において、処理
キットのガス分散プレートはセラミックから構成され、酸化アルミニウム又は酸化ケイ素
を含むことさえできる。処理キットのトップライナ及びボトムライナは、シリンダを含む
ことができる。処理キットのフォーカスリングは、基板加熱台座部の周縁部上に載る内方
フランジを有することができ、フランジは、基板の周縁部にて垂直面に接する傾斜上面を
含む。更なる実施形態において、処理キットの傾斜上面は、約85〜約100°の角度を
有する。
本発明のこれらの構成、態様及び利点は、本発明の実施形態について説明している以下
の記載、特許請求の範囲及び添付図面との関連でより良く理解することができる。しかし
ながら、各構成は特定の図面に関連してだけでなく本発明全般について用いることができ
、また本発明がこれらの構成のいずれの組み合わせも含むことを理解すべきである。
基板洗浄チャンバを備えた基板処理装置の実施形態の側部断面図である。 ライナ固定シリンダ及びライナ保持ツールを使用して洗浄チャンバのトッププレートに嵌装された消耗セラミックライナの分解斜視図である。 洗浄チャンバのトッププレートに嵌装されたセラミックライナ及びライナ固定シリンダの概略側面図である。 基板受け面に埋設されたセラミックボールを有する基板加熱台座部の斜視図である。 ロウ付け接着部で接着された第1ディスク及び第2ディスク並びに埋設された加熱素子を有する図3Aの基板加熱台座部の概略断面図である。 処理キット及びガス分散プレートの分解斜視図である。 洗浄チャンバ内の処理キット、ガス分散プレート及び基板加熱台座部の概略部分断面図である。 ガス分散プレートの上面図である。 基板洗浄チャンバを備えた基板処理装置の概略図である。
説明
基板22の洗浄に適した洗浄チャンバ24を備える基板装置20の実施形態が図1に示
される。図示されるように、洗浄チャンバ24は、半導体ウェハ等の基板22の洗浄に適
している。しかしながら、当業者は、洗浄チャンバ24を、その他の基板22(フラット
パネルディスプレイ、ポリマーパネル又はその他の電気回受容構造体等)の洗浄用に改造
することができる。従って、本発明の範囲は、図に示す洗浄チャンバの実施形態例に限定
されるべきではない。一般に、洗浄チャンバ24は、1つ以上の囲壁30を備え、囲壁に
は上壁32、側壁34及び底壁36を含むことができ、これらは処理区域38を取り囲む
。エネルギー印加された洗浄ガスは、遠隔チャンバ42から洗浄チャンバ24のガス入口
チャネル40に供給される。洗浄ガスは基板22及びチャンバ24内のその他の表面と反
応する。使用済みのガス及び副生成物は排気システム44を介してチャンバ24から排出
され、排気システム44はガスを処理区域38から受け取る排気ポート46を含んでいて
よく、またチャンバ24内のガス圧を制御するための絞り弁48及び1つ以上の排気ポン
プ50(ターボ分子排出ポンプ等)を含むこともできる。排気システム44は、チャンバ
24内を大気圧より低い圧力に維持可能である。
洗浄ガスに遠隔的にエネルギー印加するのに適した遠隔チャンバ42は、エネルギーを
ガスエナジャイザ区域54に結合する遠隔ガスエナジャイザ52を備える。洗浄ガス供給
源56は、洗浄ガスをガスエナジャイザ区域54に供給する。流量弁58を設置すること
により、遠隔チャンバ42への洗浄ガスの流量を制御することができる。ガスエナジャイ
ザ52は、ガスエナジャイザ区域54内においてエネルギーを洗浄ガスに結合することに
より、イオン種及びラジカル種を含むエネルギー印加洗浄ガスを生成する。ガスエナジャ
イザ52は、例えば、RF又はマイクロ波エネルギーを洗浄ガスに結合することができる
。ある態様において、遠隔ガスエナジャイザ52は誘導子アンテナ57を備え、アンテナ
57は、ガスエナジャイザ区域54においてRFエネルギーを洗浄ガスに例えば約100
ワット〜約10キロワットの電力レベルで誘導結合する。ガスエナジャイザ52が、例え
ばスミスらの米国特許第6150628号(参照により本願に全て組み込まれる)に記載
されるように、遠隔区域54においてエネルギーを洗浄ガスに結合するための環状ガスエ
ナジャイザの場合もある。環状ガスエナジャイザにより印加する適切なRF電力レベルは
、約1000ワット〜約10000ワットであってよい。約300ワット〜約5キロワッ
トのマイクロ波電力レベルを提供するマイクロ波ガス賦活装置を備えた遠隔ガスエナジャ
イザ52を使用することもできる。
図2A及び2Bに示されるように、消耗セラミックライナ60は、遠隔ガスエナジャザ
イザ52のガス出口チャネル62をチャンバ24のガス入口チャネル40に接続する。ラ
イナ60は、チャネル40、62の内面をライナ60の表面の少なくとも一部でもって被
覆することによりチャネル40、62を保護することため、ライナ60の内面61はエネ
ルギー印加されたガス種に曝露される。ライナ60は、遠隔ガスエナジャイザのガス出口
チャネル62に適合した外径を有する入口シリンダ64を備える。一態様において、入口
シリンダ64は、遠隔チャンバ42から少なくとも約50mm外に延びるに十分な長さの
長さLを有する。長さLは、チャンバ24のガス入口40の端部より少なくとも約1mm
手前で終端するに十分な短さである。一態様において、入口シリンダ64は、約100〜
約110mmの長さL及び約1cm〜約4cmの直径を有する。
円錐フレア部66は、入口シリンダ64を出口シリンダ68に接合する。円錐フレア部
66は、フレア部66の長さに亘って円錐面に沿って増大する直径を有する管を含む。円
錐フレア部66は、上端部70及び下端部72とを有する。円錐フレア部66の上端部7
0の外径は、円錐フレア部66と入口シリンダ64との接合部にて入口シリンダ64の外
径に対応するように寸法設計されている。円錐フレア部66の下端部72の外径は、円錐
フレア部66と出口シリンダ68との接合部にて出口シリンダ68の外径に対応するよう
に寸法設計されている。円錐フレア部66の下端部72の直径は、円錐フレア部66の上
端部70の直径より少なくとも1.5倍大きい。一態様において、入口シリンダ64、円
錐フレア部66及び出口シリンダ68は一体に接続される。
円錐フレア部66は、上端部70と下端部72との間でライナ60の内部容積の直径を
徐々に増大させることにより、処理チャンバに進入するエネルギー印加ガス種をより均等
に分散させる役割を果たす。直径の突然の変化は、ライナの出口からのガス分散を不均等
にすると考えられていた。円錐フレア部66は、入口シリンダ64の第1直径から出口シ
リンダ68の第2直径へと徐々に末広がりとなり、解離したガス種の流路に沿って容積は
徐々に増大する。一態様において、円錐フレア部66は、円錐フレア部の中央線を通る垂
直軸に対して約10°〜約60°の角度のついた円錐面を備える。また、円錐フレア部6
6の長さの出口シリンダ68の長さに対する比は約1:2〜約1.8である。円錐フレア
部66の長さに亘って容積の増大を段階的にすることにより、円錐フレア部66の出口端
部72においてガス種がより良好に分散する。
ライナ60は、基板洗浄チャンバ24のガス入口チャネル40に接続された出口シリン
ダ68も有する。一態様において、出口シリンダ68は、基板洗浄チャンバ24のガス入
口チャネル40に適合する外径を有する。出口シリンダ68は、チャンバ環境における侵
食を回避するために、洗浄チャンバ24の処理区域の手前で終端するに十分な短さである
長さLを有する。入口シリンダ64が第1直径を有する場合、出口シリンダ68は、第1
直径より少なくとも1.5倍大きい第2直径を有する。一態様において、出口シリンダ6
8は、約2cm〜約8cm、より典型的には約4cmの直径を有する。出口シリンダ68
は、チャンバのガス入口40の内面をエネルギー印加されたガス種による侵食から保護し
、またライナの直径を増大させることにより、遠隔区域54内で生成されたエネルギー印
加されたガス種間での衝突が軽減される。
消耗ライナ60は、遠隔ガスエナジャイザ内で発生させたエネルギー印加ガスからイオ
ン種を捕捉可能なセラミック材料を含む。例えば、ライナ60は石英、酸化アルミニウム
又は窒化アルミニウムを含むことができる。一態様において、ライナ60は石英を含み、
水素イオンの一部をその内面74に吸着することによりエネルギー印加ガスから水素イオ
ンを捕捉可能である。石英の内面74は、水素含有種が吸着できる表面を提供することに
よりラジカルの再結合を低減するイオンフィルタ76として作用すると考えられている。
また、石英の表面74に衝突する水素含有種は、吸着された水素含有ラジカルをエネルギ
ー印加ガスに放出することにより遊離の水素ラジカルを再生させると考えられている。し
かしながら、石英の表面74により水素イオンは再生しないことから、石英の表面に衝突
する水素イオンは再結合することにより電気的に中性の、非イオン種を生成する。従って
、石英の表面74上を賦活させた又はエネルギー印加された洗浄ガスを通過させることに
より、エネルギー印加された洗浄ガスからイオン種が除去されて、水素ラジカルが保存さ
れる。
消耗ライナ60の厚さは、交換するまでにライナが耐えなくてはならない処理サイクル
数に応じて選択される。エネルギー印加されたガスは、ライナ60のエッチング及び侵食
が可能なことから、ライナ60を、既定の処理サイクル数を経た後に交換しなくてはなら
ない。また、ライナ60の吸着特性は、セラミックライナの表面にイオンが吸着されれば
されるほど低下する。ライナ60が耐え得る処理サイクル数は、ライナ60の厚さに関係
する。一態様において、ライナ60は、少なくとも約30000回の処理サイクルに亘っ
てイオン種を捕捉するに十分な厚さであり、約2mm〜約6mmの厚さを有する。
ライナ60は、セラミック粉末を所望の形状に例えば冷間静水圧プレスにより成型する
ことにより形成することができる。例えば、セラミック粉末を、液状の結合剤(有機結合
剤ポリビニルアルコール等)と組み合わせる。この混合物を静水圧プレス装置のゴムバッ
グに入れ、圧力をバッグの壁に均等にかけることにより混合物を圧縮し、所望の管形状を
有するセラミック構造体を形成する。圧力は、例えば、水中に可撓性容器を浸漬すること
により又はその他の加圧方法により印加することができる。成型されたセラミック予備成
型物は、中空の管状鋳型を用いて円筒状又はリング状に形成することができ、得られる成
型されたセラミック予備成型物を機械加工により更に成形することができる。次に、成形
されたセラミック予備成型物を焼結することにより焼結セラミックを形成する。例えば、
酸化アルミニウムを約1300℃〜約1800℃で約48時間〜約96時間に亘って、典
型的には約1atmの圧力で焼結することができる。焼結したセラミック材料を、例えば
、機械加工、研磨、レーザー加工又はその他の方法により更に成形して所望のセラミック
構造体を得ることができる。
ライナ60は、ライナ固定シリンダ71によりチャンバ内の所定の位置に保持される。
図2A及び2Bに示されるように、ライナ固定シリンダ71は、ライナ60の出口シリン
ダ68の外径上に摺嵌するように寸法設計されており、出口シリンダ68の環状リップ部
69に突き当たる。図1に示されるように、ライナ固定シリンダ71は、ライナ60の外
側シリンダ68と開口壁73との間に嵌合して気密シールを形成し、また金属又はセラミ
ック材料から形成することができる。
有利には、ライナ固定シリンダ71により、上部チャンバ壁32へのライナ60の設置
が容易になり、また既定の処理サイクル数を経た後にプラズマに曝露されたライナ60の
再調整又は交換を目的とした取り外しが容易になる。ライナ固定シリンダ71は、固定シ
リンダ71の一端から外方向に延びる円形フランジ73を備える。円形フランジ73は、
図2Bに示されるように、上部チャンバ壁32から延びる環状リップ部79上の対応する
フラットキー部77に挿入されるフラットキー75を有する。ライナ固定シリンダ71を
捻ることにより円形フランジ73が回転して上部チャンバ壁32の環状リップ部79の裏
側で摺動し、円形フランジ73は環状リップ部79の裏側で固定される。固定ピン等の固
定ブロッカ(図示せず)を回転する円形フランジ73の経路に挿入することにより、フラ
ンジのそれ以上の回転を阻止して停止させることができる。
遠隔チャンバ42のガス出口チャネル62を洗浄チャンバ24のガス入口チャネル40
に接続するためにライナ60をチャンバ蓋部に挿入する方法も、図2Bに示される。この
方法においては、まずライナ固定シリンダ71をセラミックライナ60の出口シリンダ6
8に嵌める。次に、ライナ保持ツール81をセラミックライナ60の出口シリンダ68に
通すことにより、ライナ保持ツール81の外径で出口シリンダ68の内径を支持する。ユ
ーザはライナ保持ツール81を把持し、次にセラミックライナ60の入口シリンダ64を
遠隔チャンバ42のガス出口チャネル62に挿入する。次に、上述したように、ライナ保
持ツール81を捻ってライナ固定シリンダ71の円形フランジ73を上部チャンバ壁32
の対応する環状リップ部79にはめこむ。
チャンバ24は、任意で、エネルギーをチャンバ24の処理区域38内のガスに結合す
るチャンバガスエナジャイザ(図示せず)を備えていてよい。例えば、チャンバガスエナ
ジャイザは、1つ以上の電極及びRFエネルギーを結合するための誘導子アンテナを備え
ることができる。
図1、3A、3B及び4Bに示されるように、基板加熱台座部80が、基板洗浄チャン
バ24の処理区域38において基板22を保持するために設置される。台座部80は、凹
部88の配列を備えた基板受け面84を有する環状プレート82及び環状プレート82に
埋設された加熱素子92を備える。加熱素子92は、コントローラ78により制御される
。コントローラ78は、洗浄チャンバ24若しくは遠隔チャンバ42内の状態を監視する
1つ以上の検出装置106からの入力又は装置20のユーザからの入力の少なくとも一方
に応答して、様々なレベルの電力を加熱素子92に供給可能である。台座部80は任意で
電極(図示せず)を備えることができ、電極にバイアス電圧を印加することにより基板2
2を台座部80に保持する又は処理の特性(基板22のイオン衝撃の程度等)に影響を与
えることができる。電極に印加されるバイアス電圧も、コントローラ78により制御され
る。
図3Aに示されるように、複数のセラミックボール90が、基板受け面84上の凹部8
8にそれぞれ位置決めされる。セラミックボール90は、各ボール90の表面の一部が台
座部の表面84の面より上にくるように台座部80の表面84に埋設される。このため、
ボール90の上部領域144が、N不連続領域から成る隆起基板受け面86を構成する。
ここでNは、台座部80の表面84に埋設されたボール90の数である。隆起基板受け面
86は、台座部の表面84とは垂直方向に分離している。つまり、隆起基板受け面86は
、環状プレート82の表面より約0.0lmm〜約0.5mm高い。一連の不連続点でも
って基板22を加熱台座部80の環状プレート82の表面から垂直方向に距離を置いて支
持することにより、チャンバ24内のガスは、加熱中、基板22と環状プレート82の表
面との間で熱を伝達することができる。基板22を環状プレート82の表面の上方に載架
することにより、基板22を環状プレート82の表面に接触させる場合と比較して、基板
22の加熱がより均等となるが、これは熱的な接触が、プレート82の熱伝導率及び表面
接触特性における局所的なムラに直接的に影響されないからである。
一態様において、セラミックボール90の形状は球状であり、ボール90の直径は、基
板受け面を環状プレートの上面より約0.01mm〜約0.5mm高く維持するに十分な
大きさである。典型的には、ボール90は、約1mm〜約3mmの直径を有する。一態様
において、球体は約2mmの直径を有し、環状プレート82の上面から約0.04mm突
出する。セラミックボール90は、窒化ケイ素、酸化ジルコニウム、サファイア、合成コ
ランダム及びアルミナ酸化物の少なくとも1つを含み、一態様においてアルミナ酸化物を
含む。
環状プレート82は、2枚のディスク94、96から構成され、これらのディスクは、
ロウ付け接着部により接着される。一態様において、図3A及び3Bに示されるように、
環状プレート82は、隆起基板受け面86を有する第1ディスク94を備える。第1ディ
スク94は、約10mm〜約30mmの厚さ及び約10cm〜約70cmの直径を有する
。ディスク94の直径は、処理対象の基板の寸法に左右される。ディスク94の受け面は
複数の凹部88を備え、各凹部はセラミックボール90を受容するに十分な直径及び深さ
を有する。凹部88は機械加工により形成することができ、好ましくは、約2〜約20°
内側に傾斜した側部を備えているため、第1ディスクの表面における凹部88の直径は、
セラミックボール90の直径より若干小さい。このように機械加工された凹部88は、挿
入されたセラミックボール90を、挿入後、環状プレート82の表面に閉じ込めることが
可能である。
第1ディスク94の直径に対応した直径及び約6mm〜約15mmの厚さを有する第2
ディスク96が設置される。第2ディスク96は、加熱素子92を受容するように成形さ
れたチャネル98を備え、アルミニウム、銅、チタン、モリブデン、ステンレススチール
及びこれらの組み合わせの少なくとも1つから形成される。一態様において、第2ディス
クはアルミニウムを含み、ロウ付け接着材料は、アルミニウムロウ付け材料を含む。加熱
素子92は、環状プレート82の表面84を室温前後〜約400℃に維持するに十分な電
気抵抗を有する抵抗器アセンブリを含む。加熱素子92は、ディスクの中心102付近に
て第2ディスク96を貫通して延びる端子ポスト100を介して給電される。
加熱素子92が埋設された環状プレート82は、厚さ約5mmを有するアルミニウムシ
ートから第1ディスク94を機械加工することにより形成され得る。第1ディスク94の
表面84から約2mmの深さを有する凹部88は、皿穴に埋め込むセラミックボール90
の望ましい配置に対応してディスク94の表面84にドリル加工される。第2ディスク9
6は、厚さ約11.5mm〜約12.5mmを有するアルミニウムシートから、第1ディ
スク94と同じ直径を有するように機械加工される。蛇行チャネル98がディスク96に
機械加工され、チャネル98は、加熱素子92の寸法に対応した幅及び深さを有する。少
なくとも1組の穴(図示せず)が、第2ディスク96の中心102付近にドリル加工され
る。ドリル加工により形成された穴は、加熱素子92の端子100の直径より少なくとも
10%大きい直径を有する。加熱素子92は、第2ディスク96の溝が形成された側で素
子をチャネル98に圧入し、ドリル加工により形成された穴に端子100を通すことによ
り適用される。ロウ付けホイル又はロウ付け化合物は、第2ディスク96の表面を被覆す
るように第2ディスク96の溝が形成された表面に置かれる。第1ディスク94の穴が形
成されていない面はロウ付け表面に面して保持され、アセンブリは、第1ディスク94及
び第2ディスク96の周縁部が重なり合うように揃えられる。アセンブリは、加熱プレス
の場合のように、アセンブリを炉に入れ、ロウ付け材料の融点より高い温度にまでアセン
ブリを加熱し、圧力を印加することにより接着される。次に、アセンブリを冷却してロウ
付け接着部104を形成する。
環状プレート82の背面には、環状プレート82を支持するために使用される支持ポス
ト110が取り付けられる。支持ポスト110は、環状プレート82の背面を受容するよ
うに構成された受け面を有するロッドを含む。ロッドは、ステンレススチール又はアルミ
ニウム等の金属を含んでいてよく、中実又は中空の構造体であってよい。一態様において
、支持ポスト110は、台座部80を基板22を受け取るための位置、基板22を処理す
るための位置、基板22をチャンバ24から取り除くための位置へと昇降させるように構
成された蛇腹部及び昇降機構(図示せず)も備える。環状プレート82を支持ポスト11
0に固締する方法は、支持ポスト110の環状プレート82の底面への溶接や、環状プレ
ート82の底面に螺刻したアダプタを溶接し、次に環状プレート82を支持ポスト110
に螺合させることを含むことができる。或いは、環状プレート82は支持ポスト110に
、中空の管を環状プレート82の底面に溶接し、次に中空管を支持ポスト110に圧締す
ることにより固締される。
図4A及び4Bに示されるように、幾つかの構成部品112を含む処理キット114が
、洗浄チャンバ24にエネルギー印加ガスを収容し、基板表面全体にガスを分散させるた
めに設置される。処理キット114の構成部品112は、例えば、トッププレート116
、トップライナ118、ガス分散プレート120、ボトムライナ122及びフォーカスリ
ング124を含むことができる。処理キット114の構成部品112は、例えば、侵食さ
れた構成部品を交換若しくは修理する又は異なるサイズの基板22の処理を目的として洗
浄チャンバ24を改造する際に、チャンバ24から簡単に取り外すことができる。処理キ
ット114の構成部品は石英から形成することができるが、これは石英が水素ラジカル等
の処理ガスラジカルの再結合速度を低下させるのに効果的だからである。
トッププレート116は、図4Aに示されるように、外周縁部128及び処理ガスを通
過させるためのオリフィス130を有する環状ディスク126を含む。トッププレート1
16は、基板洗浄チャンバ24に納まるように寸法設計されており、オリフィス130は
、約40mm〜約45mmの直径を有し且つトッププレート116のほぼ中心に位置する
ことから、上部チャンバ壁32のガス入口チャネル40と実質的に重なる。トッププレー
ト116は、チャンバ24の上部チャンバ壁32と接触する。トッププレート116はト
ップライナ118と接触し且つトップライナ118により支持される。トッププレート1
16は、約1mm〜約10mmの厚さを有する。
トップライナ118は、トッププレート116の外周縁部128と接触する。トップラ
イナ118は、エネルギー印加された処理ガスを閉じ込め且つ洗浄チャンバ24の壁30
をエネルギー印加された処理ガスから保護する役割を果たすシリンダを含む。ライナ11
8は、約0.60cm〜約0.70cmの厚さを有する。一態様において、トッププレー
ト116の外周縁部128は、トップライナ118の上端部132上に載る。
ガス分散プレート120は、トップライナ118と接触する上面134、底面136及
びプレートに穿孔された、処理ガスをチャンバ24内で分散させるための複数の穴140
を有する。穴140は、基板22の表面への処理ガスの均等な供給を促進するための形状
及びサイズを有し、またプレート120の表面全体に離間関係でもって分散させられる。
一態様において、複数の穴140は、図4Cに示されるように、それぞれ異なる直径を有
する穴140a〜dから構成される4つのリング139a〜dを含む。一態様において、
第1穴140aから構成される最内方第1リング139aは直径dに寸法設計される。そ
れぞれ直径2dを有する第2穴140bから構成される第2リング139bは、第1リン
グ139aの半径方向外側に位置している。それぞれ直径3dを有する第3穴140cか
ら構成される第3リング139cは、第2リング139bの半径方向外側に位置している
。それぞれ直径4dを有する第4穴140dから構成される第4リング139dは、第3
リング139cの半径方向外側に位置している。穴140a〜dのこのような分散により
、基板22の表面へと処理ガスがより均等に供給される。一態様において、第1穴140
aは約1〜約5mmの直径dを有し、その他の穴140b〜dは、それに従った寸法設計
をされている。一例として、第1リングの穴140aはそれぞれ直径約1〜約5mmを有
し、第2リングの穴140bはそれぞれ直径約2〜約10mmを有し、第3リングの穴1
40cはそれぞれ直径3〜約15mmを有し、第4リングの穴140aはそれぞれ直径約
4〜約20mmを有する。一態様において、異なる直径の穴140a〜dは、第4リング
139d上により多数の穴が含まれるようにと離間されており、第3リング139c、第
2リング139b及び第1リング139aに含まれる穴の数は段階的に少なくなる。ガス
分散プレート120は、セラミック(例えば、酸化アルミニウム又は酸化ケイ素等)から
構成することができ、酸化ケイ素は石英であってよい。
図4A及び4Bに示されるように、ボトムライナ122は、ガス分散プレート120の
底面136に接触する。ボトムライナ122もシリンダを含み、シリンダはこのシリンダ
から外方向に延びる環状周縁部142を有する。周縁部142は、ガス分散プレート12
0の底面136及び洗浄チャンバ24の側壁34に接触する。
フォーカスリング124が、エネルギー印加された処理ガスを基板22に集束させるた
めに設置される。図3B及び4Bに示されるように、フォーカスリング124は、支持台
座部80の周縁部上に載り且つ基板周縁部にて垂直面151に接する傾斜上面150を有
する内方フランジ148を備える。傾斜上面150は、約85〜約100°(例えば、約
95°)の角度を有する。フォーカスリング124は、基板加熱台座部80の外方棚部1
54付近で隆起した脚部152も有する。
上記記載の処理キット114の構成部品は、エネルギー印加ガスからイオン種を吸着に
より除外するためのフィルタ材料(例えば、石英等)を含むことができる。一態様におい
て、トッププレート116、トップライナ118、ガス分散プレート120、ボトムライ
ナ122及びフォーカスリング124の表面の少なくとも一部は、石英、例えば石英のコ
ーティングを含む。石英は、処理キット114のこれらの構成部品の表面上に、物理気相
蒸着又は熱水堆積(hydrothermal deposition)により堆積させ
ることができる。これらの表面上の石英層に適した厚さは、約0.01mm〜約4mmで
ある。一態様において、処理キット114の構成部品112は、石英から構成される。
石英の表面74は、エネルギー印加された洗浄ガスから水素イオン種を最適に選別する
ために配置することができる。一態様において、石英の表面74は、ガスエナジャイザ区
域54と洗浄チャンバ24とをつなぐセラミックライナ60の一部の内面を含む。例えば
、セラミックライナ60は、石英の管を含むことができる。別の態様において、石英の表
面74は、ガス分散装置の1つ以上の表面(例えば、ガス分散プレート120の上面等)
を含む。石英の表面は、遠隔区域と基板との間(例えば、処理区域の上方)に設置される
、賦活させた洗浄ガスを更に選別するためのワイヤグリッドも含んでいてよい。
装置20の洗浄チャンバ24において実行するある洗浄処理において、基板22の温度
は、堆積物における酸化物を低減するのに最適な条件をもたらすように設定されており、
温度は、水素含有ラジカルと堆積物との間での化学反応を促進するようにさえ設定するこ
とができる。例えば、基板22の温度は、約0〜約500℃(約150℃〜約450℃等
)に維持され、約25℃〜約350℃(約150℃〜約350℃等)でさえある。一態様
において、洗浄処理中に基板22に印加されるバイアス電力レベルは望ましくは低く、こ
れはバイアス電力レベルが高いと、エネルギー印加された洗浄ガスの中のイオンの基板2
2への衝突が多くなるからである。適切なバイアス電力レベルは約100ワット未満(例
えば、約0〜約10ワット等)であってよく、約1〜約10ワットであってさえよく、ま
た実質的にゼロでさえあってよい。別の態様においては、より高いバイアス電力レベルで
印加することにより洗浄速度を上昇させ、バイアス電力レベルは例えば100ワットより
高く、約100ワット〜約200ワットでさえある。
基板22の洗浄は、熱処理工程又はアニーリング工程を実行して基板22から堆積物を
除去することにより改善することができることが更に発見されている。加熱処理工程にお
いて、基板22を、基板22から材料を気化させるに十分な温度にまで加熱する。加熱処
理工程中、還元ガス流も供給することにより、基板22上での酸化物の生成を阻害しても
よい。適切な還元ガスは水素含有ガス(例えば、H)を含んでいてよい。加熱処理工程
は、エネルギー印加水素ラジカル洗浄工程に先立って基板22を比較的軽く初期洗浄する
ために、実質的に還元ガスにエネルギー印加することなく(例えば、RF又はマイクロ波
エネルギーを還元ガスに実質的に結合することなく)行うことができる。
適切な洗浄処理の一態様において、約50〜約1000sccmのH(300scc
mのH等)及び約0〜約10sccmのHO(3sccmのHO等)を含む洗浄ガ
スは、約300ワット〜約3000ワット(1050ワット等)の電力レベルを印加する
ことにより、遠隔ガスエナジャイザ52のチャンバ42内で賦活させられる。遠隔チャン
バ42の圧力は、約10Torr未満(約1Torr等)に維持される。約0〜約100
ワット(50ワット等)のバイアス電力レベルで印加を行って基板22にバイアスをかけ
、基板22の温度は、約150〜約450℃(250℃等)に維持される。洗浄処理によ
り実質的に堆積物が除去されて、洗浄済みの表面が得られる。
洗浄処理の完了後、チャンバ24内の圧力を約10mTorr未満にまで下げることに
より使用済みの洗浄ガス及び洗浄処理の副生成物を排出し、また洗浄チャンバ24による
マルチチャンバ装置26の汚染の可能性を低下させる。次に、基板22を、搬送ロボット
119を有する基板搬送チャンバを介して真空下で堆積チャンバ24bに搬送し、第2金
属含有導体21(銅、アルミニウム、タンタル、タングステン、窒化タンタル、窒化タン
グステンの少なくとも1つ等)を洗浄したての金属含有導体表面上に堆積することができ
る。
図5に示されるように、基板22の処理に適したマルチチャンバ装置20は1つ以上の
処理チャンバ28a〜dを備え、処理チャンバは洗浄チャンバ24を含むことができる。
チャンバは電気的機能、配管的機能及びその他の支援機能を提供するプラットフォーム上
に取り付けられる。プラットフォームは、典型的には、処理対象である基板22のカセッ
ト158を受け取るためのロードロック156並びに、処理の際に基板22をカセット1
58から異なるチャンバ28a〜dに搬送するため及び処理後に基板を戻すためのロボッ
ト162が納まった基板搬送チャンバ154を支持する。異なるチャンバ28a〜dは、
例えば、洗浄チャンバ24、材料をウェハ上に堆積するための堆積チャンバ28b、任意
の加熱処理チャンバ28c及びその他の処理チャンバを含む。例えば、一態様において、
チャンバの1つは基板22の金属含有導体上に形成された堆積物を除去するための洗浄チ
ャンバ24を含む。洗浄処理の完了後、基板22を、ロボット162により、洗浄済みの
基板22上に材料(金属含有導体等)を堆積するための堆積チャンバ28dに搬送するこ
とができる。基板22は、ロボット162により、第1チャンバ28bにおいて堆積され
た第1材料上に別の材料(別の金属含有導体等)を堆積可能な第2堆積チャンバ28cに
搬送することもできる。チャンバ28a〜dは、基板搬送チャンバ154の壁164内で
連続的な真空環境を形成するために相互接続されており、これにより処理は中断されるこ
となく進行し、また基板22の汚染は軽減される。搬送チャンバ154は、ガスを排気す
る及びチャンバの汚染を軽減するための低圧環境(約10mTorr未満の圧力等)を維
持するための排気ポート164を有する壁160を備える。
マルチチャンバ装置26は、ハードウェアインターフェースを介してコントローラ17
0により操作することができる。コントローラ170は、メモリ及びコンピュータ周辺機
器に連結された中央処理装置(CPU)を有するコンピュータ(図示せず)を含む。好ま
しくは、メモリは、取り外し可能な記録媒体(例えば、CD又はフロッピー(登録商標)
ドライブ等)、取り外し不可能な記録媒体(例えば、ハードドライブ等)及びランダムア
クセスメモリを含んでいてよい。コントローラ170は、例えば、アナログ及びデジタル
入力/出力ボード、インターフェースボード及びモータコントローラボードを含む複数の
インターフェースカードを更に備えていてよい。一態様において、コントローラ170は
、メモリ(例えば、取り外し不可能な記録媒体又は取り外し可能な記録媒体)に保存され
たコンピュータ可読性プログラムを含む。コンピュータ可読性プログラムは、一般に、例
えば、チャンバ28a〜d及びその構成部品並びに搬送チャンバ154及びロボット16
2を操作するためのプログラムコードを含む処理制御ソフトウェア、チャンバ内で行われ
ている処理を監視するための処理監視用ソフトウェア、安全システムソフトウェア、その
他の制御ソフトウェアを含む。コンピュータ可読性プログラムは、いずれの慣用のコンピ
ュータ可読性プラグラム言語で書かれてもよい。
本発明の例示的な実施形態を図示し説明してきたが、当業者は、本発明を取り入れた、
本発明の範囲内でもあるその他の実施形態を考案し得る。例えば、チャンバ24は、具体
的に説明したもの以外の構成部品を備えていてよく、これは当業者に明らかである。更に
、下方、上方、底部、上部、上、下、第1、第2及びその他の相対的又は位置的な用語は
、図の例示的な実施形態に関して使用したものであり入れ替え可能である。従って、特許
請求の範囲は、本発明を説明するための好ましい態様、材料又は本願に記載の空間的な配
置についての記載に限定されるべきではない。

Claims (13)

  1. 基板処理チャンバのためのガス分散プレートであって、
    (a)直径dをそれぞれ有する第1穴から構成される第1リングと、
    (b)直径2dをそれぞれ有する第2穴から構成され且つ第1リングの半径方向外側に位置する第2リングと、
    (c)直径3dをそれぞれ有する第3穴から構成され且つ第2リングの半径方向外側に位置する第3リングと、
    (d)直径4dをそれぞれ有する第4穴から構成され且つ第3リングの半径方向外側に位置する第4リングとを備えるプレート。
  2. 直径dが約1〜約5mmである請求項1記載のガス分散プレート。
  3. (i)セラミック、
    (ii)酸化アルミニウム、
    (iii)酸化ケイ素、及び
    (iv)石英のうちの少なくとも1つを備える請求項1記載のガス分散プレート。
  4. (i)第1リングが第4リングよりも少ない数の穴を含む、
    (ii)第2リングが第4リングよりも少ない数の穴を含む、及び
    (iii)第4リングが第3リングよりも多い数の穴を含む、のうちの少なくとも1つを備える請求項1記載のガス分散プレート。
  5. ガス分散プレートは、基板へ向かう処理ガスの均一な流れを生成するためにセラミックライナと共に使用されるように構成され、セラミックライナは、
    (1)遠隔チャンバのガス出口チャネルに適合した外径を有する入口シリンダと、
    (2)基板洗浄チャンバのガス入口チャネルに接続された出口シリンダと、
    (3)入口シリンダを出口シリンダに接合する円錐フレア部とを備える請求項1記載のガス分散プレート。
  6. 基板処理チャンバのためのガス分散プレートであって、
    (a)約1〜約5mmの直径dをそれぞれ有する第1穴から構成される第1リングと、
    (b)約2〜約10mmの直径2dをそれぞれ有する第2穴から構成され且つ第1リングの半径方向外側に位置する第2リングと、
    (c)約3〜約15mmの直径3dをそれぞれ有する第3穴から構成され且つ第2リングの半径方向外側に位置する第3リングと、
    (d)約4〜約20mmの直径4dをそれぞれ有する第4穴から構成され且つ第3リングの半径方向外側に位置する第4リングとを備えるガス分散プレート。
  7. (i)セラミック、
    (ii)酸化アルミニウム、
    (iii)酸化ケイ素、及び
    (iv)石英のうちの少なくとも1つを備える請求項1記載のガス分散プレート。
  8. (i)第1リングが第4リングよりも少ない数の穴を含む、
    (ii)第2リングが第4リングよりも少ない数の穴を含む、及び
    (iii)第4リングが第3リングよりも多い数の穴を含む、のうちの少なくとも1つを備える請求項1記載のガス分散プレート。
  9. ガス分散プレートは、基板へ向かう処理ガスの均一な流れを生成するためにセラミックライナと共に使用されるように構成され、セラミックライナは、
    (1)遠隔チャンバのガス出口チャネルに適合した外径を有する入口シリンダと、
    (2)基板洗浄チャンバのガス入口チャネルに接続された出口シリンダと、
    (3)入口シリンダを出口シリンダに接合する円錐フレア部とを備える請求項1記載のガス分散プレート。
  10. 基板処理チャンバのためのガス分散プレートであって、
    (a)約1〜約5mmの直径をそれぞれ有する第1穴から構成される第1リングと、
    (b)約2〜約10mmの直径をそれぞれ有する第2穴から構成され且つ第1リングの半径方向外側に位置する第2リングと、
    (c)約3〜約15mmの直径をそれぞれ有する第3穴から構成され且つ第2リングの半径方向外側に位置する第3リングと、
    (d)約4〜約20mmの直径をそれぞれ有する第4穴から構成され且つ第3リングの半径方向外側に位置する第4リングとを備えるプレート。
  11. (i)セラミック、
    (ii)酸化アルミニウム、
    (iii)酸化ケイ素、及び
    (iv)石英のうちの少なくとも1つを備える請求項1記載のガス分散プレート。
  12. (i)第1リングが第4リングよりも少ない数の穴を含む、
    (ii)第2リングが第4リングよりも少ない数の穴を含む、及び
    (iii)第4リングが第3リングよりも多い数の穴を含む、のうちの少なくとも1つを備える請求項1記載のガス分散プレート。
  13. ガス分散プレートは、基板へ向かう処理ガスの均一な流れを生成するためにセラミックライナと共に使用されるように構成され、セラミックライナは、
    (1)遠隔チャンバのガス出口チャネルに適合した外径を有する入口シリンダと、
    (2)基板洗浄チャンバのガス入口チャネルに接続された出口シリンダと、
    (3)入口シリンダを出口シリンダに接合する円錐フレア部とを備える請求項1記載のガス分散プレート。
JP2017206061A 2007-05-30 2017-10-25 基板洗浄チャンバ及び構成部品 Pending JP2018050059A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94095907P 2007-05-30 2007-05-30
US60/940,959 2007-05-30
US11/857,975 US7942969B2 (en) 2007-05-30 2007-09-19 Substrate cleaning chamber and components
US11/857,975 2007-09-19

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015226713A Division JP2016076716A (ja) 2007-05-30 2015-11-19 基板洗浄チャンバ及び構成部品

Publications (1)

Publication Number Publication Date
JP2018050059A true JP2018050059A (ja) 2018-03-29

Family

ID=40086776

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2010510311A Active JP5726521B2 (ja) 2007-05-30 2008-05-27 基板洗浄チャンバ及び構成部品
JP2012261367A Active JP5844722B2 (ja) 2007-05-30 2012-11-29 基板洗浄チャンバ及び構成部品
JP2015226713A Pending JP2016076716A (ja) 2007-05-30 2015-11-19 基板洗浄チャンバ及び構成部品
JP2017206061A Pending JP2018050059A (ja) 2007-05-30 2017-10-25 基板洗浄チャンバ及び構成部品

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2010510311A Active JP5726521B2 (ja) 2007-05-30 2008-05-27 基板洗浄チャンバ及び構成部品
JP2012261367A Active JP5844722B2 (ja) 2007-05-30 2012-11-29 基板洗浄チャンバ及び構成部品
JP2015226713A Pending JP2016076716A (ja) 2007-05-30 2015-11-19 基板洗浄チャンバ及び構成部品

Country Status (6)

Country Link
US (3) US7942969B2 (ja)
JP (4) JP5726521B2 (ja)
KR (2) KR101550579B1 (ja)
CN (1) CN101730921B (ja)
TW (2) TWI474387B (ja)
WO (1) WO2008153785A2 (ja)

Families Citing this family (470)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
JP4527670B2 (ja) 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
KR20130093080A (ko) * 2010-06-25 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 이온 전류가 감소된 예비-세정 챔버
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101446455B1 (ko) * 2011-04-04 2014-10-01 캐논 아네르바 가부시키가이샤 처리 장치
DE102011007632B3 (de) * 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
US10883950B2 (en) 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
KR101885105B1 (ko) 2011-09-01 2018-08-06 세메스 주식회사 기판 처리 장치 및 방법
TWM610611U (zh) * 2011-10-05 2021-04-21 美商應用材料股份有限公司 羥化基材表面的裝置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN103140011A (zh) * 2011-11-30 2013-06-05 亚树科技股份有限公司 直立式电浆产生装置
SG10201605000PA (en) * 2011-12-23 2016-08-30 Applied Materials Inc Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
KR20130090287A (ko) * 2012-02-03 2013-08-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8822313B2 (en) 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101443792B1 (ko) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN105164788B (zh) * 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015106318A1 (en) * 2014-01-15 2015-07-23 Gallium Enterprises Pty Ltd Apparatus and method for the reduction of impurities in films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
SG10201810178TA (en) 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102262107B1 (ko) * 2014-08-29 2021-06-10 세메스 주식회사 기판 처리 장치
CN105405801B (zh) * 2014-09-11 2018-02-06 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6431190B2 (ja) * 2014-10-31 2018-11-28 ワットロー・エレクトリック・マニュファクチャリング・カンパニー ヒーター用熱動的応答感知システム
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10546733B2 (en) 2014-12-31 2020-01-28 Applied Materials, Inc. One-piece process kit shield
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR102481432B1 (ko) * 2015-08-10 2022-12-27 삼성전자주식회사 커버 플레이트 및 그를 포함하는 플라즈마 처리 장치
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102196746B1 (ko) * 2016-06-03 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판들에서 탄소 오염물질들 및 표면 산화물을 제거하기 위한 프로세스 챔버들을 갖는 진공 플랫폼
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
KR20190127863A (ko) * 2017-03-21 2019-11-13 컴포넌트 알이-엔지니어링 컴퍼니, 인코포레이티드 높은 부식성 또는 침식성 반도체 처리 적용들에서의 사용을 위한 세라믹 재료 조립체
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN108284637B (zh) * 2018-04-11 2023-10-20 洛阳红奇机械科技有限公司 一种大板热压机的上模板表面清理装置的操作方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
CN110468377B (zh) * 2018-05-11 2022-04-22 北京北方华创微电子装备有限公司 腔室及半导体加工设备
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11251075B2 (en) * 2018-08-06 2022-02-15 Mattson Technology, Inc. Systems and methods for workpiece processing using neutral atom beams
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7079718B2 (ja) * 2018-11-27 2022-06-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11521834B2 (en) * 2020-08-26 2022-12-06 Tokyo Electron Limited Plasma processing systems and methods for chemical processing a substrate
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022100570A (ja) * 2020-12-24 2022-07-06 新光電気工業株式会社 静電チャック及びその製造方法、基板固定装置
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148376A (ja) * 1999-09-30 2001-05-29 Samsung Electronics Co Ltd 半導体素子製造装置用バッフル及び半導体素子製造装置

Family Cites Families (450)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
JPS5827652Y2 (ja) 1978-05-02 1983-06-15 日本軽金属株式会社 海苔養殖用アルミニウム合金製ポ−ル
JPS54162969U (ja) 1978-05-04 1979-11-14
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS60185786U (ja) 1984-05-22 1985-12-09 日立金属株式会社 防食継手
JPS6131636U (ja) 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
DE3523958A1 (de) 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (ja) 1986-09-18 1989-07-31 Grob Ernst Fa
JPS63235435A (ja) 1987-03-24 1988-09-30 Nishimura Watanabe Chiyuushiyutsu Kenkyusho:Kk 金属タンタルの製造方法
JPS63149396U (ja) 1987-03-24 1988-09-30
JPH0521876Y2 (ja) * 1987-05-30 1993-06-04
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
DE68909665T2 (de) 1988-04-26 1994-02-10 Toto Ltd Verfahren zur Herstellung dielektrischer Keramik für elektrostatische Haltevorrichtungen.
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
DE69030140T2 (de) 1989-06-28 1997-09-04 Canon Kk Verfahren und Anordnung zur kontinuierlichen Bildung einer durch Mikrowellen-Plasma-CVD niedergeschlagenen grossflächigen Dünnschicht
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5052331A (en) 1989-10-18 1991-10-01 The United States Of America As Represented By The United Sates Department Of Energy Apparatus for gas-metal arc deposition
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
JPH03138354A (ja) 1989-10-24 1991-06-12 Pioneer Electron Corp 防着板を備えた薄膜形成装置
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
EP0439000B1 (en) 1990-01-25 1994-09-14 Applied Materials, Inc. Electrostatic clamp and method
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH03256327A (ja) * 1990-03-06 1991-11-15 Sumitomo Electric Ind Ltd 半導体製造装置
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5855687A (en) 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
DE69130205T2 (de) 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5191506A (en) 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
EP0547609B1 (en) 1991-12-18 1997-09-10 Sumitomo Metal Industries, Ltd. Automobile body panel made of multilayer plated aluminum sheet
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JP3566740B2 (ja) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3323924B2 (ja) 1993-01-29 2002-09-09 東京エレクトロン株式会社 静電チャック
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3201071B2 (ja) * 1993-05-18 2001-08-20 富士電機株式会社 爆薬発電機
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
DE69413613T2 (de) 1993-07-16 1999-03-18 Toshiba Kawasaki Kk Metalloxid-Widerstand, Leistungswiderstand und Leistungsschalter
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US6199259B1 (en) 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
EP0737133B1 (de) 1993-12-27 1998-07-29 Hoechst Aktiengesellschaft Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
JPH07197272A (ja) 1993-12-29 1995-08-01 Kobe Steel Ltd フィルム密着性に優れた表面処理アルミニウム及びアルミニウム合金板
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
JPH08507196A (ja) 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
JP4108119B2 (ja) 1994-02-23 2008-06-25 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5690795A (en) 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH0917850A (ja) 1995-06-30 1997-01-17 Tokyo Electron Ltd プラズマ処理装置
GB2318590B (en) 1995-07-10 1999-04-14 Cvc Products Inc Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5879524A (en) 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5658442A (en) 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5901751A (en) 1996-03-08 1999-05-11 Applied Materials, Inc. Restrictor shield having a variable effective throughout area
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
JPH09272965A (ja) 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JPH09289152A (ja) * 1996-04-23 1997-11-04 Dainippon Screen Mfg Co Ltd 基板熱処理装置
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
JP3175835B2 (ja) 1996-05-09 2001-06-11 アプライド マテリアルズ, インコーポレイテッド プラズマ発生用埋込み形コイル
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5988187A (en) 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5810931A (en) 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
JP3363040B2 (ja) * 1996-09-30 2003-01-07 株式会社荏原製作所 高速原子線源
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6036587A (en) 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6338781B1 (en) 1996-12-21 2002-01-15 Singulus Technologies Ag Magnetron sputtering cathode with magnet disposed between two yoke plates
WO1998031845A1 (en) 1997-01-16 1998-07-23 Bottomfield, Layne, F. Vapor deposition components and corresponding methods
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
JPH10242255A (ja) * 1997-02-28 1998-09-11 Kyocera Corp 真空吸着装置
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5893643A (en) 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
US6103069A (en) 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
KR100246858B1 (ko) 1997-05-07 2000-03-15 윤종용 건식 식각 장치
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6000415A (en) 1997-05-12 1999-12-14 Applied Materials, Inc. Method and apparatus for positioning a restrictor shield of a pump in response to an electric signal
US6103070A (en) 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6589407B1 (en) 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield
JP3449459B2 (ja) 1997-06-02 2003-09-22 株式会社ジャパンエナジー 薄膜形成装置用部材の製造方法および該装置用部材
JP4023893B2 (ja) 1997-06-06 2007-12-19 沖電気工業株式会社 発光素子アレイ及び発光素子
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
JP3269993B2 (ja) 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
FR2768158B1 (fr) 1997-09-10 2001-06-01 Seb Sa Revetement de couche antiadherent a durete amelioree pour support en aluminium, articles et ustensiles culinaires comportant ce revetement
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5922133A (en) 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
WO1999014788A1 (en) 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6068685A (en) * 1997-10-15 2000-05-30 Saes Pure Gas, Inc. Semiconductor manufacturing system with getter safety device
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
DE69834838T2 (de) 1997-12-22 2007-05-16 Asahi Kasei Kogyo K.K. Fasern für elektrische beflockung und elektrisch beflockte artikeln
US6340415B1 (en) 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP3540936B2 (ja) 1998-03-31 2004-07-07 京セラ株式会社 真空容器
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
KR100290781B1 (ko) 1998-06-30 2001-06-01 박종섭 반도체 소자 및 그 제조방법
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6183686B1 (en) 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
JP2002529594A (ja) 1998-10-29 2002-09-10 アプライド マテリアルズ インコーポレイテッド 半導体ウエハ処理システムにおいて加工物を貫通して電力を結合する装置
JP2000144399A (ja) 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
US6365010B1 (en) 1998-11-06 2002-04-02 Scivac Sputtering apparatus and process for high rate coatings
JP3937004B2 (ja) * 1998-11-18 2007-06-27 日本発条株式会社 ヒータユニット
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
JP4141560B2 (ja) 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
KR20010014842A (ko) 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6500299B1 (en) 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6689252B1 (en) 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6583364B1 (en) * 1999-08-26 2003-06-24 Sony Chemicals Corp. Ultrasonic manufacturing apparatuses, multilayer flexible wiring boards and processes for manufacturing multilayer flexible wiring boards
US6196532B1 (en) 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
US6190516B1 (en) 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW503442B (en) 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
WO2001084624A2 (en) 2000-04-28 2001-11-08 Applied Materials, Inc. Semiconductor lift pin for dechucking substrates
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
AU2001255373A1 (en) 2000-05-04 2001-11-12 Etec Systems, Inc. Method and apparatus for imaging a specimen using indirect in-column detection of secondary electrons in a microcolumn
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US20010035403A1 (en) * 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
EP1297566A2 (en) 2000-06-14 2003-04-02 Applied Materials, Inc. Substrate cleaning apparatus and method
US6358376B1 (en) 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
TW495863B (en) 2000-08-11 2002-07-21 Chem Trace Inc System and method for cleaning semiconductor fabrication equipment
WO2002014571A2 (en) 2000-08-17 2002-02-21 Tosoh Smd, Inc. High purity sputter targets with target end-of-life indication and method of manufacture
US6497797B1 (en) 2000-08-21 2002-12-24 Honeywell International Inc. Methods of forming sputtering targets, and sputtering targets formed thereby
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
EP1322444A4 (en) 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6503331B1 (en) 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3964177B2 (ja) * 2000-10-30 2007-08-22 大日本スクリーン製造株式会社 基板処理方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1312695B1 (en) 2000-11-17 2009-07-29 Nippon Mining & Metals Co., Ltd. Sputtering target producing few particles, backing plate provided with the target, and a method of producing the target
WO2002042518A1 (de) 2000-11-27 2002-05-30 Unaxis Trading Ag Target mit dickenprofilierung für rf manetron
US6887356B2 (en) 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
WO2002049785A1 (en) 2000-12-18 2002-06-27 Tosoh Smd, Inc. Low temperature sputter target/backing plate joining technique and assemblies made thereby
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6872284B2 (en) 2001-04-24 2005-03-29 Tosoh Smd, Inc. Target and method of optimizing target profile
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
CN1608141A (zh) 2001-09-17 2005-04-20 黑罗伊斯有限公司 废弃溅射靶的修复
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6667577B2 (en) 2001-12-18 2003-12-23 Applied Materials, Inc Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
AU2003236328A1 (en) 2002-04-03 2003-10-13 Toho Engineering Kabushiki Kaisha Polishing pad and semiconductor substrate manufacturing method using the polishing pad
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US20030194510A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6708870B2 (en) 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US20030221702A1 (en) 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
CN1565045A (zh) 2002-07-26 2005-01-12 应用材料公司 旋转-清洗-干燥器用的亲水部件
US6846396B2 (en) 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040069223A1 (en) 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wall liner and slot liner for process chamber
ATE425277T1 (de) 2002-10-21 2009-03-15 Cabot Corp Verfahren zur herstellung eines sputtertargets und sputtertarget
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
WO2004094702A2 (en) 2003-04-18 2004-11-04 Applied Materials, Inc. Multi-chemistry plating system
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7297247B2 (en) 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US7097744B2 (en) 2003-06-12 2006-08-29 Applied Materials, Inc. Method and apparatus for controlling darkspace gap in a chamber
EP1639620A2 (en) 2003-06-20 2006-03-29 Cabot Corporation Method and design for sputter target attachment to a backing plate
US6992261B2 (en) 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7294224B2 (en) 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
EP1711646A4 (en) 2004-02-03 2008-05-28 Honeywell Int Inc TARGET STRUCTURES FOR VAPOR PHYSICAL DEPOSITION
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7049612B2 (en) 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US7504008B2 (en) 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060021870A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
ATE546561T1 (de) 2004-11-19 2012-03-15 Applied Materials Gmbh & Co Kg Trägerplatte mit einer darauf aufgesetzten gekühlten rückenplatte
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
JP4624856B2 (ja) 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
JP4762064B2 (ja) * 2005-07-04 2011-08-31 京セラ株式会社 接合体とこれを用いたウェハ支持部材及びウェハ処理方法
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
WO2007037316A1 (ja) * 2005-09-28 2007-04-05 Kyocera Corporation 試料保持具とこれを用いた試料吸着装置および試料処理方法
US20070084408A1 (en) 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
JP2007247061A (ja) 2006-03-14 2007-09-27 Applied Materials Inc スパッタリング前のスパッタリングターゲットの前調整
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
KR101504085B1 (ko) 2006-12-19 2015-03-19 어플라이드 머티어리얼스, 인코포레이티드 비접촉 프로세스 키트
US8221602B2 (en) 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP2011503349A (ja) 2007-11-08 2011-01-27 アプライド マテリアルズ インコーポレイテッド 可動性シールドを備えた電極構成

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148376A (ja) * 1999-09-30 2001-05-29 Samsung Electronics Co Ltd 半導体素子製造装置用バッフル及び半導体素子製造装置

Also Published As

Publication number Publication date
JP2010528488A (ja) 2010-08-19
JP2016076716A (ja) 2016-05-12
JP5726521B2 (ja) 2015-06-03
TWI474387B (zh) 2015-02-21
TWI359450B (en) 2012-03-01
WO2008153785A2 (en) 2008-12-18
CN101730921B (zh) 2011-12-21
KR20100037060A (ko) 2010-04-08
US7942969B2 (en) 2011-05-17
TW201203332A (en) 2012-01-16
US20150144263A1 (en) 2015-05-28
CN101730921A (zh) 2010-06-09
KR20150027848A (ko) 2015-03-12
US20110232845A1 (en) 2011-09-29
WO2008153785A3 (en) 2009-03-19
US8980045B2 (en) 2015-03-17
JP2013080940A (ja) 2013-05-02
US20080295872A1 (en) 2008-12-04
KR101550579B1 (ko) 2015-09-07
KR101593461B1 (ko) 2016-02-12
TW200908109A (en) 2009-02-16
JP5844722B2 (ja) 2016-01-20

Similar Documents

Publication Publication Date Title
JP5844722B2 (ja) 基板洗浄チャンバ及び構成部品
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
JP5542172B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
US5507874A (en) Method of cleaning of an electrostatic chuck in plasma reactors
JP6982560B2 (ja) プラズマフィルタリングのためのシステム及び処理
US8906471B2 (en) Method of depositing metallic film by plasma CVD and storage medium
JP2012134535A (ja) 遊離炭素の除去方法
US20090301516A1 (en) Substrate transfer device and cleaning method thereof and substrate processing system and cleaning method thereof
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
JP5089871B2 (ja) 半導体装置の製造方法
TWI771977B (zh) 沉積室的清潔方法
KR102647683B1 (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN115161613B (zh) 沉积室的清洁方法
KR20240021917A (ko) 다층 코팅을 갖는 반도체 챔버 구성요소들

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180904

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190507