CN115161613B - 沉积室的清洁方法 - Google Patents

沉积室的清洁方法 Download PDF

Info

Publication number
CN115161613B
CN115161613B CN202110372167.0A CN202110372167A CN115161613B CN 115161613 B CN115161613 B CN 115161613B CN 202110372167 A CN202110372167 A CN 202110372167A CN 115161613 B CN115161613 B CN 115161613B
Authority
CN
China
Prior art keywords
substrate support
processing chamber
semiconductor processing
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110372167.0A
Other languages
English (en)
Other versions
CN115161613A (zh
Inventor
吴宗晟
吴昇颖
林明贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN202110372167.0A priority Critical patent/CN115161613B/zh
Publication of CN115161613A publication Critical patent/CN115161613A/zh
Application granted granted Critical
Publication of CN115161613B publication Critical patent/CN115161613B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process

Abstract

一种沉积室的清洁方法,包含将基板移动至半导体处理腔室的基板支撑件上;执行沉积制程,以在基板上沉积材料层;将基板移出半导体处理腔室;执行第一清洁制程,其中执行第一清洁制程包括经由基板支撑件内的第一导管提供第一气体至半导体处理腔室内,以及开启射频源以产生第一气体的电浆以清洁基板支撑件的表面;以及执行第二清洁制程,其中执行第二清洁制程包括经由配置于半导体处理腔室的侧壁的第二导管提供第二气体至半导体处理腔室内,以及开启射频源以产生第二气体的电浆以清洁基板支撑件的表面。

Description

沉积室的清洁方法
技术领域
本揭露是一种关于沉积室的清洁方法。
背景技术
物理气相沉积(Physical vapor deposition;PVD)或溅射为用于制造电子元件的制程。PVD为在真空腔室中执行的电浆制程,其中负偏压的靶材暴露于具有相对重原子的惰性气体(例如,氩气(Ar))或包含此种惰性气体的气体混合物的电浆。惰性气体的离子对靶的轰击导致靶材的原子的喷射。所喷射的原子作为沉积膜累积在基板上,此基板是放置在设置于腔室内的基板支撑底座上。
发明内容
本揭露的一实施例为一种沉积室的清洁方法,包含将基板移动至半导体处理腔室的基板支撑件上;执行沉积制程,以在基板上沉积材料层;将基板移出半导体处理腔室;执行第一清洁制程,其中执行第一清洁制程包括经由基板支撑件内的第一导管提供第一气体至半导体处理腔室内,以及开启射频源以产生第一气体的电浆以清洁基板支撑件的表面;以及执行第二清洁制程,其中执行第二清洁制程包括经由配置于半导体处理腔室的侧壁的第二导管提供第二气体至半导体处理腔室内,以及开启射频源以产生第二气体的电浆以清洁基板支撑件的表面。
本揭露的一实施例为一种沉积室的清洁方法,包含将一基板移动至一半导体处理腔室的基板支撑件上;执行一沉积制程,以在基板上沉积材料层;将基板移出半导体处理腔室;执行第一清洁制程,其中执行第一清洁制程包括在半导体处理腔室内产生第一电浆以清洁基板支撑件的表面,第一电浆在基板支撑件的表面的中心部分的清洁速率大于在表面的周边部分的清洁速率;以及执行第二清洁制程,其中执行第二清洁制程包括在半导体处理腔室内产生第二电浆以清洁基板支撑件的表面,第二电浆在基板支撑件的表面的周边部分的清洁速率大于在表面的中心部分的清洁速率。
本揭露的一实施例为一种沉积室的清洁方法,包含在一半导体处理腔室内执行沉积制程,以在基板支撑件上方的基板上沉积材料层;确认基板与基板支撑件之间的一偏压是否正常;若偏压不正常,则执行第一清洁制程,其中执行第一清洁制程包括在半导体处理腔室内产生第一电浆以清洁基板支撑件的表面,第一电浆在基板支撑件的表面的一中心部分的浓度大于在表面的一周边部分的浓度;以及执行第二清洁制程,其中执行第二清洁制程包括在半导体处理腔室内产生第二电浆以清洁基板支撑件的表面,第二电浆在基板支撑件的表面的周边部分的浓度大于在表面的中心部分的浓度。
附图说明
当通过附图阅读时,自以下详细描述,最佳地理解本揭露内容的态样。注意,根据该行业中的标准实务,各种特征未按比例绘制。事实上,为了论述的清晰起见,可任意地增大或减小各种特征的尺寸。
图1为本揭露的部分实施例的半导体处理腔室的示意图;
图2为本揭露的部分实施例的基板支撑件的俯视图;
图3A至图3D为本揭露的部分实施例的制造半导体结构在不同阶段的剖面图;
图4为本揭露的部分实施例的操作半导体处理腔室的方法;
图5至图7B为本揭露的部分实施例的操作半导体处理腔室的方法在不同阶段的示意图;
图8A及图8B为本揭露的部分实施例的计算机系统的示意图。
【符号说明】
100:半导体处理腔室
101:腔室主体
102:配接器
103:群集工具
104:侧壁
105:基板
106:底壁
108:上部处理组件
110:处理区域
120:底座组件
122:升举机构
123:升举销
124:波纹管
126:基板支撑件
126A:电极
126C:中心部分
126P:周边部分
127:基板接收表面
128:平台外壳
129:周边边缘
130:盖组件
132:靶材
133:溅射表面
134:背面区域
136:陶瓷靶材隔离件
137:导管
138:气源
140:功率源
141:阻抗控制器
142:气源
143:静电吸盘电源
144:导管
146:排气端口
147:闸阀
148:排气导管
149:排气泵
151:内环
152:外环
154:座
156:锥形部分
157:内圆周端部
159:外圆周表面
160:接地屏蔽
162:内部表面
170:交错覆盖环
171:唇部
173:涂层
180:沉积环
181:RF源
181A:RF功率源
181B:RF匹配器
182:DC源
182A:DC电源
184:中心馈电
185:导电壁
186:屏蔽罩
189:磁控系统
190:系统控制器
191:盖壳
193:马达
202:偏压感测器
302:基板
304:蚀刻停止层
306:绝缘层
308:硬遮罩
310:光阻层
320:间隔物层
325:沟槽
600:计算机系统
601:计算机
602:键盘
603:鼠标
604:显示器
605:光盘机
606:磁盘机
611:MPU
612:ROM
613:RAM
614:硬盘
615:总线
621:光盘
622:磁盘
M1:方法
S101,S102,S103,S104,S105,S106:操作
具体实施方式
以下揭露内容提供许多不同实施例或实例,用于实施提供的标的的不同特征。以下描述组件及配置的具体实例以简化本揭露内容。当然,这些仅为实例,且并不意欲为限制性。举例而言,在接下来的描述中,第一特征在第二特征上方或上的形成可包括第一与第二特征直接接触地形成的实施例,且亦可包括额外特征可形成于第一与第二特征之间使得第一与第二特征可不直接接触的实施例。此外,在各种实例中,本揭露内容可重复参考数字及/或字母。此重复是为了简单且清晰的目的,且自身并不规定论述的各种实施例及/或组态之间的关系。
另外,为了易于描述,诸如“在……之下(beneath)”、“在……下方(below)”、“下部(lower)”、“在……上方(above)”及“上部(upper)”及类似者的空间相对术语可在本文中用以描述如在图中图示的一个元件或特征与另一元件或特征的关系。除了图中描绘的定向之外,这些空间相对术语意欲亦涵盖在使用或操作中的元件的不同定向。可将设备以其他方式定向(旋转90度或以其他定向),且同样地可将本文中使用的空间相对描述词相应地作出解释。
本揭露的实施方式大致提供一种处理腔室。在部分实施例中,本揭露的实施例为一种用于执行物理气相沉积(PVD)制程的基板处理方法。处理腔室为真空腔室,其包含静电吸盘(electrostatic chuck,E-chuck)以支撑并保持基板,通过靶材的轰击而喷射的原子在PVD处理期间沉积在此些基板上。静电吸盘包含陶瓷球,此陶瓷球在其中具有一或更多个电极。向电极施加夹持电压以便以静电方式将基板保持至静电吸盘。
覆盖环、沉积环及接地屏蔽是设置在真空腔室中,以在真空腔室内相对于基板来界定处理区域。接地屏蔽与覆盖环交错以限制电浆。限制至处理区域的电浆及喷射原子限制了靶材在腔室中的其他部件上的沉积,并促成更高效地使用靶材,因为相对较高百分比的喷射原子被沉积在基板上。
静电吸盘(ESC)支撑沉积环且通过升举机构耦接至真空腔室的底部,此升举机构用以使静电吸盘(ESC)及沉积环在上部与下部位置之间移动。在操作期间,覆盖环亦被升高及降低。当升高时,覆盖环与接地屏蔽垂直分离开。当降低时,覆盖环的部分被接收在接地屏蔽的部分内。当静电吸盘处于上升位置时,覆盖环及接地屏蔽彼此垂直地分离。在处理操作期间,来自靶材的沉积材料亦沉积在沉积环上。
图1绘示半导体处理腔室100,其包含一片式接地屏蔽160及覆盖环170。接地屏蔽160及覆盖环170包含用以处理设置在处理区域110或电浆区域中的基板105的处理套组,其亦包含支撑在底座组件120上的沉积环180。在一些实施方式中,半导体处理腔室100包含用于在基板105上沉积来自靶材132的单一成分或多成分材料的溅射腔室,亦称为物理气相沉积或PVD腔室。半导体处理腔室100亦可用以沉积铝、铜、镍、铂、铪、银、铬、金、钼、硅、钌、钽、氮化钽、碳化钽、氮化钛、钨、氮化钨、镧、氧化铝、氧化镧、镍铂合金,及钛,及/或其组合。预期其他处理腔室亦可适于受益于所揭露实施方式。沉积环180具有环绕基板支撑件126的环形形状,并将在后续作更深入讨论。在部分实施例中,沉积环180可由陶瓷或金属材料制成,像是,石英、氧化铝、不锈钢、钛或其他适当材料。覆盖环170由抗溅射电浆的侵蚀的材料制成,例如,金属材料或陶瓷材料。
半导体处理腔室100包含腔室主体101,其具有封闭处理区域110的侧壁104、底壁106及上部处理组件108。将处理区域110定义为在处理期间在基板支撑件126上方的区域(例如,当处于处理位置时在靶材132与基板支撑件126之间)。通过机械加工及焊接不锈钢板或通过机械加工单个铝块来制造腔室主体101。在一个实施方式中,侧壁104包含铝或电镀有铝,且底壁106包含或电镀有不锈钢。侧壁104通常含有狭缝阀,以提供基板105自半导体处理腔室100进出。与接地屏蔽160、底座组件120及覆盖环170协作的在半导体处理腔室100的上部处理组件108中的部件将在处理区域110中形成的电浆限制在基板105上方的区域中。
自半导体处理腔室100的底壁106支撑底座组件120。底座组件120在处理期间支撑沉积环180连同基板105。底座组件120通过升举机构122耦接至半导体处理腔室100的底壁106,此升举机构122用以在基板105上的靶材在沉积期间的上部处理位置与基板105被传送至底座组件120上的下部传送位置之间升高及降低底座组件120。另外,在下部传送位置处,升举销123移动经过底座组件120,以使基板105与底座组件120分隔开,以便于通过设置在半导体处理腔室100外部的基板传送机构(像是,单片机器人)来交换基板105。波纹管124通常设置在底座组件120与底壁106之间,以将腔室主体101的处理区域110与底座组件120的内部及腔室的外部隔离开。
底座组件120包含密封地耦接至平台外壳128的基板支撑件126。平台外壳128通常由金属材料制成,像是,不锈钢或铝。冷却板通常设置在平台外壳128内以热调节基板支撑件126。基板支撑件126由铝或陶瓷制成。基板支撑件126具有基板接收表面127,其在处理期间接收并支撑基板105,此基板接收表面127大体上平行于靶材132的溅射表面133。基板支撑件126亦具有周边边缘129,此周边边缘129在基板105的伸出边缘之前终止。
在一些实施方式中,基板支撑件126为静电吸盘、陶瓷主体、加热器或其组合。在一个实施方式中,基板支撑件126为包含介电主体的静电吸盘,此介电主体具有内嵌于其中的电极126A或导电层。介电主体由高热导率的介电材料制成,像是,热解氮化硼、氮化铝、氮化硅、氧化铝或均等材料。在一些实施方式中,电极126A经配置以使得当通过静电吸盘电源143将DC电压施加至电极126A时,设置在基板接收表面127上的基板105将以静电方式夹持至此些电极126A,以提高基板105与基板支撑件126之间的传热。在其他实施方式中,阻抗控制器141亦耦接至电极(导电层)126A,以使得可在处理期间维持基板上的电压以影响与基板105的表面的电浆相互作用。
在部分实施例中,基板支撑件126中具有导管137,导管137的一端经由基板支撑件126的表面曝露,而导管137的另一端连接至气源138。在部分实施例中,导管137上配置有阀V1,阀V1配置于限制气体自气源138经由导管137流入至处理区域110中。举例而言,当阀V1为“打开”状态,则允许气体自气源138经由导管137流入至处理区域110中。若阀V1为“关闭”状态,则气体将被阀V1限制而无法进入处理区域110中。
在一些实施方式中,平台外壳128包含具有适当地与上覆基板支撑件126的热性质匹配的材料。举例来说,平台外壳128包含陶瓷与金属的复合物(像是,铝硅碳化物),其与陶瓷相比提供了改良的强度及耐久性,且亦具有改良的传热性质。复合材料具有与基板支撑件126的材料匹配的热膨胀系数,以减少热膨胀失配。在一些实施方式中,复合材料包含具有被金属渗透的孔的陶瓷,此金属至少部分地填充此些孔以形成复合材料。陶瓷包含例如碳化硅、氮化铝、氧化铝或堇青石中的至少一者。陶瓷包含为总体积的约20体积%至约80体积%的孔体积,其余体积属于渗透金属。渗透金属包含添加有硅的铝,且亦含有铜。在一些实施方式中,复合物包含陶瓷及金属的不同成分,像是,具有分散的陶瓷颗粒的金属,或平台外壳128可仅由金属制成,像是,不锈钢或铝。冷却板设置在平台外壳128内以热调节基板支撑件126。
半导体处理腔室100受系统控制器190控制,此系统控制器190促进半导体处理腔室100的控制及自动化,且通常包含中央处理单元(CPU)、记忆体及支持电路(或I/O)。CPU可为用于工业设置中的任何形式的计算机处理器中的一者,用于控制各种系统功能、基板移动、腔室处理及支持软件(例如,感测器、机器人、马达等)并监控制程(例如,基板支持温度、电源变量、腔室制程时间、I/O信号,等等)。记忆体连接至CPU,且可为易获记忆体中的一或更多者,像是,随机存取记忆体(RAM)、主动记忆体(ROM)、软盘、硬盘,或任何其他形式的数字储存器,本端的或远端的。软件指令及数据可被编码并储存在记忆体内,用于指示CPU。支持电路亦连接至CPU,用于以已知方式支持处理器。支持电路包含快取记忆体、电源、时钟电路、输入/输出电路系统、子系统,及其类似者。可由系统控制器190读取的程序(或计算机指令)决定在基板上执行哪些任务。此程序为可由系统控制器190读取的软件,其包含用以执行与运动的监控、执行及控制有关的任务的代码,及要在半导体处理腔室100中执行的各种制程配方任务及配方步骤。举例来说,系统控制器190包含程序码,其包含:基板定位指令集,用以操作底座组件120;气体流量控制指令集,用以操作气体流量控制阀以设定至半导体处理腔室100的溅射气体的流量;气体压力控制指令集,用以操作节流阀或闸阀以维持半导体处理腔室100中的压力;温度控制指令集,用以控制在底座组件120或侧壁104中的温度控制系统以分别设定基板或侧壁104的温度;及制程监控指令集,用以监控半导体处理腔室100中的制程。
上部处理组件108包含射频(Radio frequency;RF)源181、直流(DC)源182、配接器102、马达193及盖组件130。盖组件130包含靶材132、磁控系统189及盖壳191。如图1中所示,当处于关闭位置时,上部处理组件108由侧壁104支撑。陶瓷靶材隔离件136设置在靶材132与盖组件130的配接器102之间,以限制其间的真空泄漏。配接器102密封地耦接至侧壁104,且用以帮助移除上部处理组件108。
靶材132被设置成与配接器相邻,且暴露于半导体处理腔室100的处理区域110。靶材132提供了在PVD制程期间沉积于基板上的材料。
在处理期间,通过设置在RF源181及/或DC源182中的功率源140相对于地(例如,腔室主体101)用RF及/或DC功率将靶材132偏压。在一个实施方式中,RF源181包含RF功率源181A及RF匹配器181B,其用以高效地将RF能量传递至靶材132。
在处理期间,自气源142经由导管144将气体(例如,氩气)供应至处理区域110。在部分实施例中,气源142包含像是氩气或氙气的非反应性气体,其能够以能量的方式撞击靶材132并自靶材132溅射材料。气源142亦包含反应性气体(例如,含氧气体、含氮气体、含甲烷气体中的一或更多者),其与溅射材料反应以在基板上形成层。废制程气体及副产物经由排气端口146自半导体处理腔室100排出,此些排气端口146接收废制程气体并将废制程气体导向至排气导管148,此些排气导管148具有可调整位置的闸阀147以控制半导体处理腔室100中的处理区域110中的压力。排气导管148连接至一或更多个排气泵149。通常,将半导体处理腔室100中的溅射气体的压力设定为次大气压水平(像是,真空环境),例如,约0.6毫托至约400毫托的压力。由基板105与靶材132之间的气体形成电浆。电浆内的离子朝向靶材132加速,并导致材料自靶材132脱落。脱落的靶材料沉积在基板105上。在部分实施例中,导管144上配置有阀V2,阀V2配置于限制气体自气源142经由导管144流入至处理区域110中。举例而言,当阀V2为“打开”状态,则允许气体自气源142经由导管144流入至处理区域110中。若阀V2为“关闭”状态,则气体将被阀V2限制而无法进入处理区域110中。
盖壳191包含导电壁185、中心馈电184及屏蔽罩186。在此配置中,导电壁185、中心馈电184、靶材132及马达193的一部分封闭并形成背面区域134。背面区域134是设置在靶材132的背侧上的密封区域,且在处理期间通常填充有流动液体以移除处理期间在靶材132处所产生的热。在一个实施方式中,导电壁185及中心馈电184用以支持马达193及磁控系统189,以使得马达193可在处理期间使磁控系统189旋转。在一些实施方式中,马达193与自电源传递的RF或DC功率电隔离。屏蔽罩186包含一或更多种介电材料,其被定位成封闭并限制传递至靶材132的RF能量以免干扰并影响设置在群集工具103中的其他处理腔室。
接地屏蔽160由腔室主体101支撑,并围绕面向基板支撑件126的溅射靶材132的溅射表面133。接地屏蔽160亦环绕基板支撑件126的周边边缘129。接地屏蔽160覆盖半导体处理腔室100的侧壁104,以减少源自溅射靶材132的溅射表面133的溅射沉积物至接地屏蔽160背后的部件及表面上的沉积。
当基板支撑件126处于下部的装载位置(如图1中所绘示)时,覆盖环170靠在接地屏蔽160上。当基板支撑件126处于上部(升高的)沉积位置时,覆盖环170紧邻沉积环180并与其分离。在沉积位置处,覆盖环170保护基板支撑件126免于溅射沉积。
图2为本揭露的部分实施例的基板支撑件的俯视图。详细来说,图2为图1的基板支撑件126的俯视图,其中图2中的部分元件并未在图1中绘制。在图2的实施例中,基板支撑件126为可为静电吸盘(E-chuck)。
如图所示,导管137的一端经由基板支撑件126的表面曝露。更详细来说,导管137实质上曝露于基板支撑件126的表面的圆心部分。另一方面,基板支撑件126可具有开口以容许升举销123通过。当升举销123抬升时,升举销123可以穿越基板支撑件126的开口并向上延伸并接收基板105(请参照图1)。而升举销123可进一步下降以将基板105移动至基板支撑件126的表面。电极126A亦曝露于基板支撑件126的表面。在部分实施例中,电极126A为均匀散布在基板支撑件126的表面的点状结构,以均匀地提供静电将基板105夹持至此些电极126A。
基板支撑件126还包含偏压感测器202。偏压感测器202是用于在沉积制程期间感应基板支撑件126的表面和基板105的背面之间的电压。在部分实施例中,偏压感测器202是嵌入在基板支撑件126中。在部分实施例中,偏压感测器202的形状为“C”形,且围绕着导管137。然应了解,偏压感测器202亦可有其他形状以及配置方式。
图3A至图3D为本揭露的部分实施例的制造半导体结构在不同阶段的剖面图。参照图3A,图3A图示了基板302。此处,基板302可以类似于图1所示的基板105。然而,基板302并不限于常规的上意指的基板。在部分实施例中,基板302可包括诸如硅的单一半导体材料,并用于支撑半导体元件,例如晶体管。在其他实施例中,基板302可以包括大范围的结构。举例来说,基板302可包括一个或多个分隔互连层的层间介电层。或者,基板302可以包括几层先前形成的内连接线和/或金属制成的通孔/触点,用于连接到下面的其他层或半导体器件的内连接线。
接着,在基板302上形成蚀刻停止层304。蚀刻停止层304可用于防止将在其上形成的铜互连线扩散到下面的基板的介电材料中,或是用于停止蚀刻。蚀刻停止层304可以是用于那些目的的任何非导电材料,例如氮化钛(TiN)、氮化钽(TaN)、氮化钨(WN)、氮化钛硅(TiSiN)或氮化钽硅(TaSiN)、碳化硅(SiC)、氮化硅(SiN)、NDC(氮掺杂碳化物)或ODC(氧掺杂碳化物)。
接着,在蚀刻停止层304上方形成绝缘层306。在部分实施例中,绝缘层306可为介电材料。在部分实施例中,介电材料可以是k值小于3.9的低k(介电常数)材料,例如氟化石英玻璃(FSG,k=2.8)、氢倍半硅氧烷(HSQ,k=2.9)、碳掺杂硅、氧化物,等等。在其它实施例中,电介质材料可以是典型的未掺杂和掺杂的二氧化硅(SiO2),氮氧化硅(SiON)和氮化硅(Si3N4)。可以通过旋涂或旋涂电介质制程、CVD或任何其他合适的沉积工艺来沉积低k电介质材料。在沉积之后,在一个实施例中,可以通过CMP工艺去除沉积的介电层的上部。在一些实施例中,绝缘层可以包括多于一层的不同介电材料。
接着,在绝缘层306上方形成硬遮罩308。在部分实施例中,硬遮罩308的材料可为氮化钛(TiN),或者其他适合的材料。在部分实施例中,氮化钛可以应用图1所示的沉积室来沉积。请一并参照图1,举例来说,在制程操作期间,靶材132的材料可为钛(Ti)。于此同时,气源142包含像是氩气或氙气的非反应性气体,其能够以能量的方式撞击靶材132并自靶材132溅射材料。气源142亦包含反应性气体,例如氮气,借以提供氮源。而溅射的钛可与氮气反应,形成氮化钛并落在基板302上以形成硬遮罩308。
接着,在硬遮罩308上方形成光阻层310。光阻可以是本领域中使用的合适的材料,例如聚(甲基丙烯酸甲酯)(PMMA)、聚(戊二酸甲酯)(PMGI)、苯酚甲醛树脂,且可以是正光阻或是负光阻。光阻层310可以通过沉积制程形成,并通过光微影制程图案化。
参照图3B,在光阻层310上方沉积间隔物层320。在部分实施例中,间隔物层320可为SiO2、SiC或Si3N4,或其他适合的介电材料。间隔物层320可通过适当的沉积制程,例如化学气相沉积(CVD),物理气相沉积(PVD)或分子束外磊晶MBE)形成。
参照图3C,执行蚀刻制程以将间隔物层320的水平部分移除,使得间隔物层320的垂直部分遗留在光阻层310的侧表面。接着,在蚀刻制程之后,移除光阻层310,使得的间隔物层320的垂直部分遗留在硬遮罩308的上表面。在部分实施例中,可以使用已知的蚀刻制程移除间隔物层320的水平部分,例如湿蚀刻或干蚀刻。在部分实施例中,可使用适当的方法移除光阻层310,例如剥离或是灰化。
参照图3D,将间隔物层320作为蚀刻遮罩,对绝缘层306进行蚀刻,以在绝缘层306内形成沟槽325。同样地,可以使用适合的蚀刻制程,例如湿蚀刻或干蚀刻。可以使用的蚀刻剂可以包括但不限于湿蚀刻剂,例如氢氧化钾(KOH)、乙二胺和邻苯二酚(EDP),或四甲基氢氧化铵(TMAH),或等离子蚀刻剂,例如Cl2、CCl4、SiCl2、BCl3、CCl2F2、CF4、SF6或NF3。在蚀刻完绝缘层306之后,可通过另一蚀刻制程移除间隔物层320。
图4为本揭露的部分实施例的操作半导体处理腔室的方法M1。尽管将方法M1示出及/或描述为一系列动作或事件,将了解方法不限于所示出的次序或动作。因此,在一些实施例中,动作可以与所示出者不同的次序执行、及/或可同时执行。另外,在一些实施例中,所示出的动作或事件可分为多个动作或事件,这些动作或事件可分多次执行或与其他动作或子动作同时。在一些实施例中,一些示出的动作或事件可省去,并且其他未示出的动作或事件可包括在内。
请参照图4及图5。方法M1起始于操作S101,执行一沉积制程。如图5所示,将基板105移动至半导体处理腔室100的基板支撑件126上方,并在基板105上方沉积一材料层。在部分实施例中,基板105可以如图3A所示的基板302。此外,材料层可以例如为图3A所示的硬遮罩308。
请继续参照图4及图5。方法M1进行至操作S102,确认基板支撑件与基板之间的偏压是否正常。在部分实施例中,可以通过如图2所示的偏压感测器202量测基板支撑件126与基板105之间的偏压,并通过系统控制器190判断此偏压是否正常。偏压不正常的原因为基板支撑件126表面有脏污或是有机物质附着,就会发生偏压过高且不稳定的情况。在部分实施例中,操作S102是在操作S101的沉积制程期间进行。
若基板支撑件与基板之间的偏压为正常,则方法M1进行至操作S103,继续执行沉积制程。在部分实施例中,若基板支撑件与基板之间的偏压为正常,则判断沉积制程的条件为稳定,因此沉积制程将继续执行至材料层达到所希望的厚度即停止。接着,可将基板105移出半导体处理腔室100外,以进行其他制程,或者可在半导体处理腔室100外另外沉积一材料层。
若基板支撑件与基板之间的偏压为异常,则方法M1进行至操作S104,发出警报并停止沉积制程。在部分实施例中,若基板支撑件与基板之间的偏压为异常,则代表基板支撑件126的表面可能有过多的脏污,使得基板支撑件与基板之间的偏压异常,进而造成沉积的品质不佳。在部分实施例中,发出警报并停止沉积制程可通过系统控制器190执行。
请参照图4、图6A,及图6B。方法M1进行至操作S105,执行第一清洁制程。详细而言,第一清洁制程是用于清洁基板支撑件126的上表面。在部分实施例中,在执行第一清洁制程之前,先将基板105移出半导体处理腔室100外,借以曝露基板支撑件126的上表面。
执行第一清洁制程包括,打开连接气源138的阀V1,使得气体,例如氩气(Ar),经由导管137,通过基板支撑件126的上表面进入半导体处理腔室100的处理区域110内。接着,开启RF源181,以在半导体处理腔室100内产生电浆。当RF源181应用时,盖壳191包含导电壁185可以作为阳极,而基板支撑件126可以作为阴极。正电荷的气体离子(例如氩离子)被吸引至负电荷的基板支撑件126,使得离子可以轰击基板支撑件126的表面,并藉此清除、或是蚀刻掉基板支撑件126表面上的脏污。
在部分实施例中,第一清洁制程是在以下条件下执行:RF能量为约为90W至约110W;气体流量为约16sccm至约20sccm;气体压力为约6.5mtorr至约8.5mtorr;清洁时间为约8min至约12min(例如约10min)。在部分实施例中,清洁时间可以视为第一清洁制程期间打开RF源181和关闭RF源181之间的时间间隔。
请参照图6B,在第一清洁制程中,由于用于清洁的气体经由导管137,通过基板支撑件126的中心进入至半导体处理腔室100内(如图6A的虚线箭头所示)。因此,气体在基板支撑件126的中心部分126C的浓度将会大于在基板支撑件126的周边部分126P的浓度。由于在中心部分126C的浓度较高,所产生的气体离子也较多,因此在第一清洁制程期间,基板支撑件126的中心部分126C的脏污的被清洁速率(或者被蚀刻的速率)将会高于基板支撑件126的周边部分126P的脏污的被清洁速率(或者被蚀刻的速率)。此处,基板支撑件126的“中心部分”可以视为以基板支撑件126的圆心至约半径的1/2所涵盖的范围。另一方面,基板支撑件126的“周边部分”可以视为以基板支撑件126的半径的1/2延伸至基板支撑件126的圆周所涵盖的范围。在部分实施例中,周边部分126P环绕中心部分126C。
请参照图4、图7A,及图7B。方法M1进行至操作S106,执行第二清洁制程。执行第二清洁制程包括,打开连接气源142的阀V2,使得气体,例如氩气(Ar),进入半导体处理腔室100的处理区域110内。接着,开启RF源181,以在半导体处理腔室100内产生电浆。当RF源181应用时,盖壳191包含导电壁185可以作为阳极,而基板支撑件126可以作为阴极。正电荷的气体离子(例如氩离子)被吸引至负电荷的基板支撑件126,使得离子可以轰击基板支撑件126的表面,并藉此清除、或是蚀刻掉基板支撑件126表面上的脏污。
在部分实施例中,第二清洁制程是在以下条件下执行:RF能量为约为90W至约110W;气体流量为约7.5sccm至约9.5sccm;气体压力为约3.5mtorr至约4.5mtorr;清洁时间约为55min至约65min(例如约60min)。在部分实施例中,清洁时间可以视为第二清洁制程期间打开RF源181和关闭RF源181之间的时间间隔。
请参照图7B,在第一清洁制程中,由于用于清洁的气体经由导管144,通过半导体处理腔室100的侧壁104进入至半导体处理腔室100内。接着,气体将会自基板支撑件126和接地屏蔽160及/或覆盖环170之间的间隙逐渐扩散至基板支撑件126的上方(如图7A的虚线箭头所示)。因此,气体在基板支撑件126的周边部分126P的浓度将会大于在基板支撑件126的中心部分126C的浓度。由于在周边部分126P的浓度较高,所产生的气体离子也较多,因此在第二清洁制程期间,基板支撑件126的周边部分126P的脏污的被清洁速率(或者被蚀刻的速率)将会高于基板支撑件126的中心部分126C的脏污的被清洁速率(或者被蚀刻的速率)。
在部分实施例中,第一清洁制程的气体流量可大于第二清洁制程的气体流量。举例来说,在第一清洁制程期间,经由导管137流入的气体的流量可以大于在第二清洁制程期间,经由导管144流入的气体的流量。另一方面,在第一清洁制程的气体的压力可以大于第二清洁制程的气体压力。举例来说,在第一清洁制程期间,经由导管137流入的气体的压力可以大于在第二清洁制程期间,经由导管144流入的气体的压力。这是由于脏污较容易堆积在基板支撑件126的中心部分126C,因此在第一清洁制程期间通过导管137流入较高流量的气体将有助于快速的清洁基板支撑件126的中心部分126C。在部分实施例中,第一清洁制程的持续时间可以短于第二清洁制程的持续时间。如前述所提及,由于第一清洁制程期间的气体流量较高,所第一清洁制程的持续时间太长(例如长于第二清洁制程的时间),则可能会对半导体处理腔室100的其他组件造成不必要的蚀刻或破坏。
在部分实施例中,在第一清洁制程进行时,可先关闭阀V2,以避免气体自导管144流入至半导体处理腔室100内。也就是说,在第一清洁制程进行时,气体仅经由基板支撑件126内的导管137流入至半导体处理腔室100。另一方面,在第二清洁制程进行时,可先关闭阀V1,以避免气体自导管137流入至半导体处理腔室100内。也就是说,在第二清洁制程进行时,气体仅经由半导体处理腔室100的侧壁104的导管144流入至半导体处理腔室100。
在图4的实施例中,操作S105是在操作106前执行,即第一清洁制程是在第二清洁制程之前执行。在其他实施例中,操作S106可在操作105前执行,即第二清洁制程是在第一清洁制程之前执行。
图8A为用作控制器(例如,系统控制器190)的计算机系统的示意图,此控制器用于执行与运动的监控、执行及控制有关的任务,以及在半导体处理腔室100中执行的各种制程配方任务及配方步骤。可使用计算机软件及在其上执行的计算机程序实现前述实施方式。在图8A中,计算机系统600具备计算机601,此计算机601包含光盘主动记忆体(例如,CD-ROM或DVD-ROM)驱动器(光盘机)605及磁盘驱动器(磁盘机)606、键盘602、鼠标603及显示器604。
图8B为绘示计算机系统600的内部配置的附图。在图8B中,除了光盘机605及磁盘机606以外,计算机601还具备一或更多个处理器611,像是,微处理单元(micro processingunit;MPU);ROM 612,其中储存有像是启动程序的程序;随机存取记忆体(random accessmemory;RAM)613,其连接至MPU 611且其中临时储存应用程序的命令并提供临时储存区域;硬盘614,其中储存有应用程序、系统程序及数据;及总线615,其连接MPU 611、ROM 612等。应注意,计算机601可包含用于提供与LAN的连接的网络卡(未绘示)。
用于使计算机系统600执行前述实施方式中所论述的操作/任务的程序码可被储存在光盘621或磁盘622中,其被插入至光盘机605或磁盘机606中并被传输至硬盘614。或者,可经由网络(未绘示)将程序传输至计算机601并将其储存在硬盘614中。在执行时,程序被加载至RAM 613中。可自光盘621或磁盘622或直接自网络加载程序。
在程序中,程序所实现的功能不包含在一些实施方式中可仅通过软件实现的功能。举例来说,在通过上述程序所实现的功能中不包含在获取信息的获取单元或输出信息的输出单元中可仅通过软件(像是,网络接口)实现的功能。另外,执行程序的计算机可为单个计算机或可为多个计算机。
本揭露的一实施例为一种沉积室的清洁方法,包含将基板移动至半导体处理腔室的基板支撑件上;执行沉积制程,以在基板上沉积材料层;将基板移出半导体处理腔室;执行第一清洁制程,其中执行第一清洁制程包括经由基板支撑件内的第一导管提供第一气体至半导体处理腔室内,以及开启射频源以产生第一气体的电浆以清洁基板支撑件的表面;以及执行第二清洁制程,其中执行第二清洁制程包括经由配置于半导体处理腔室的侧壁的第二导管提供第二气体至半导体处理腔室内,以及开启射频源以产生第二气体的电浆以清洁基板支撑件的表面。
根据部分实施例,方法还包含在执行第二清洁制程前,停止提供第一气体至半导体处理腔室内。
根据部分实施例,其中第一气体的流量大于第二气体的流量。
根据部分实施例,其中第二清洁制程在第一清洁制程之前执行。
本揭露的一实施例为一种沉积室的清洁方法,包含将一基板移动至一半导体处理腔室的基板支撑件上;执行一沉积制程,以在基板上沉积材料层;将基板移出半导体处理腔室;执行第一清洁制程,其中执行第一清洁制程包括在半导体处理腔室内产生第一电浆以清洁基板支撑件的表面,第一电浆在基板支撑件的表面的中心部分的清洁速率大于在表面的周边部分的清洁速率;以及执行第二清洁制程,其中执行第二清洁制程包括在半导体处理腔室内产生第二电浆以清洁基板支撑件的表面,第二电浆在基板支撑件的表面的周边部分的清洁速率大于在表面的中心部分的清洁速率。
根据部分实施例,其中产生第一电浆包括自基板支撑件的第一导管输入第一气体至半导体处理腔室内,而产生第二电浆包括自半导体处理腔室的侧壁一第二导管输入第二气体至半导体处理腔室内。
根据部分实施例,其中第一气体的压力大于第二气体的压力。
本揭露的一实施例为一种沉积室的清洁方法,包含在一半导体处理腔室内执行沉积制程,以在基板支撑件上方的基板上沉积材料层;确认基板与基板支撑件之间的一偏压是否正常;若偏压不正常,则执行第一清洁制程,其中执行第一清洁制程包括在半导体处理腔室内产生第一电浆以清洁基板支撑件的表面,第一电浆在基板支撑件的表面的一中心部分的浓度大于在表面的一周边部分的浓度;以及执行第二清洁制程,其中执行第二清洁制程包括在半导体处理腔室内产生第二电浆以清洁基板支撑件的表面,第二电浆在基板支撑件的表面的周边部分的浓度大于在表面的中心部分的浓度。
根据部分实施例,其中产生第一电浆包括自基板支撑件的第一导管输入第一气体至半导体处理腔室内,而产生第二电浆包括自半导体处理腔室的侧壁第二导管输入第二气体至半导体处理腔室内。
根据部分实施例,其中在执行第一清洁制程期间,关闭第二导管的阀以停止输入第二气体至半导体处理腔室内,而在执行第二制程期间,关闭第一导管的阀以停止输入第一气体至半导体处理腔室内。
前文概括了若干实施例的特征,使得熟悉此项技术者可更好地理解本揭露内容的态样。熟悉此项技术者应了解,其可易于将本揭露内容用作用于设计或修改其他处理程序及结构以用于实行相同目的及/或达成本文中介绍的实施例的相同优势的基础。熟悉此项技术者亦应认识到,这些等效构造不脱离本揭露内容的精神及范畴,且在不脱离本揭露内容的精神及范畴的情况下,其可进行各种改变、取代及更改。

Claims (10)

1.一种沉积室的清洁方法,其特征在于,包含:
将一基板移动至一半导体处理腔室的一基板支撑件上,该基板支撑件被一覆盖环环绕;
执行一沉积制程,以在该基板上沉积一材料层;
将该基板移出该半导体处理腔室;
执行一第一清洁制程,其中执行该第一清洁制程包括经由该基板支撑件内的一第一导管提供一第一气体至该半导体处理腔室内,以及开启一射频源以产生该第一气体的一电浆以清洁该基板支撑件的一表面;以及
执行一第二清洁制程,其中执行该第二清洁制程包括经由配置于该半导体处理腔室的一侧壁的一第二导管提供一第二气体,该第二气体经由该基板支撑件和该覆盖环的一间隙扩散至该半导体处理腔室内,以及开启该射频源以产生该第二气体的一电浆以清洁该基板支撑件的该表面。
2.根据权利要求1所述的方法,其特征在于,还包含在执行该第二清洁制程前,停止提供该第一气体至该半导体处理腔室内。
3.根据权利要求1所述的方法,其特征在于,该第一气体的流量大于该第二气体的流量。
4.根据权利要求1所述的方法,其特征在于,该第二清洁制程在该第一清洁制程之前执行。
5.一种沉积室的清洁方法,其特征在于,包含:
将一基板移动至一半导体处理腔室的一基板支撑件上,该基板支撑件被一覆盖环环绕;
执行一沉积制程,以在该基板上沉积一材料层;
将该基板移出该半导体处理腔室;
执行一第一清洁制程,其中执行该第一清洁制程包括在该半导体处理腔室内产生一第一电浆以清洁该基板支撑件的一表面,该第一电浆在该基板支撑件的该表面的一中心部分的清洁速率大于在该表面的一周边部分的清洁速率;以及
执行一第二清洁制程,其中执行该第二清洁制程包括经由配置于该半导体处理腔室的一侧壁的一第二导管提供一第二气体,该第二气体经由该基板支撑件和该覆盖环的一间隙扩散至该半导体处理腔室内,以及开启一射频源以在该半导体处理腔室内产生一第二电浆以清洁该基板支撑件的该表面,该第二电浆在该基板支撑件的该表面的该周边部分的清洁速率大于在该表面的该中心部分的清洁速率。
6.根据权利要求5所述的方法,其特征在于,产生该第一电浆包括自该基板支撑件的一第一导管输入一第一气体至该半导体处理腔室内。
7.根据权利要求6所述的方法,其特征在于,该第一气体的压力大于该第二气体的压力。
8.一种沉积室的清洁方法,其特征在于,包含:
在一半导体处理腔室内执行一沉积制程,以在基板支撑件上方的一基板上沉积一材料层,该基板支撑件被一覆盖环环绕;
确认该基板与该基板支撑件之间的一偏压是否正常;
若该偏压不正常,则执行一第一清洁制程,其中执行该第一清洁制程包括在该半导体处理腔室内产生一第一电浆以清洁该基板支撑件的一表面,该第一电浆在该基板支撑件的该表面的一中心部分的浓度大于在该表面的一周边部分的浓度;以及
执行一第二清洁制程,其中执行该第二清洁制程包括经由配置于该半导体处理腔室的一侧壁的一第二导管提供一第二气体,该第二气体经由该基板支撑件和该覆盖环的一间隙扩散至该半导体处理腔室内,以及开启一射频源以在该半导体处理腔室内产生一第二电浆以清洁该基板支撑件的该表面,该第二电浆在该基板支撑件的该表面的该周边部分的浓度大于在该表面的该中心部分的浓度。
9.根据权利要求8所述的方法,其特征在于,产生该第一电浆包括自该基板支撑件的一第一导管输入一第一气体至该半导体处理腔室内。
10.根据权利要求9所述的方法,其特征在于,在执行该第一清洁制程期间,关闭该第二导管的一阀以停止输入该第二气体至该半导体处理腔室内,而在执行该第二制程期间,关闭该第一导管的一阀以停止输入该第一气体至该半导体处理腔室内。
CN202110372167.0A 2021-04-07 2021-04-07 沉积室的清洁方法 Active CN115161613B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110372167.0A CN115161613B (zh) 2021-04-07 2021-04-07 沉积室的清洁方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110372167.0A CN115161613B (zh) 2021-04-07 2021-04-07 沉积室的清洁方法

Publications (2)

Publication Number Publication Date
CN115161613A CN115161613A (zh) 2022-10-11
CN115161613B true CN115161613B (zh) 2024-04-26

Family

ID=83476094

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110372167.0A Active CN115161613B (zh) 2021-04-07 2021-04-07 沉积室的清洁方法

Country Status (1)

Country Link
CN (1) CN115161613B (zh)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5861086A (en) * 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
JP2008283217A (ja) * 2008-08-11 2008-11-20 Tokyo Electron Ltd 処理装置およびそのクリーニング方法
CN101960567A (zh) * 2008-02-29 2011-01-26 应用材料公司 用于从衬底移除聚合物的方法和设备
CN104979190A (zh) * 2015-06-29 2015-10-14 上海华力微电子有限公司 改善磷硅玻璃中磷分布均匀性的方法
KR20150138469A (ko) * 2014-05-29 2015-12-10 참엔지니어링(주) 기판 처리장치 및 이의 세정방법
CN108866505A (zh) * 2018-08-02 2018-11-23 武汉华星光电半导体显示技术有限公司 一种化学气相沉积设备
JP2020202243A (ja) * 2019-06-07 2020-12-17 株式会社アルバック プラズマ処理装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US9443714B2 (en) * 2013-03-05 2016-09-13 Applied Materials, Inc. Methods and apparatus for substrate edge cleaning

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5861086A (en) * 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
CN101960567A (zh) * 2008-02-29 2011-01-26 应用材料公司 用于从衬底移除聚合物的方法和设备
JP2008283217A (ja) * 2008-08-11 2008-11-20 Tokyo Electron Ltd 処理装置およびそのクリーニング方法
KR20150138469A (ko) * 2014-05-29 2015-12-10 참엔지니어링(주) 기판 처리장치 및 이의 세정방법
CN104979190A (zh) * 2015-06-29 2015-10-14 上海华力微电子有限公司 改善磷硅玻璃中磷分布均匀性的方法
CN108866505A (zh) * 2018-08-02 2018-11-23 武汉华星光电半导体显示技术有限公司 一种化学气相沉积设备
JP2020202243A (ja) * 2019-06-07 2020-12-17 株式会社アルバック プラズマ処理装置

Also Published As

Publication number Publication date
CN115161613A (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
JP5844722B2 (ja) 基板洗浄チャンバ及び構成部品
CN104882360B (zh) 等离子体处理装置的清洁方法
US20150079798A1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
WO2015026506A1 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JPH09129612A (ja) エッチングガス及びエッチング方法
WO2009079285A1 (en) Silicon carbide focus ring for plasma etching system
US9150969B2 (en) Method of etching metal layer
CN111180305A (zh) 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
TW201626434A (zh) 被處理體之處理方法
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
KR102435643B1 (ko) 마이크로전자 워크피스의 처리를 위한 금속 하드 마스크 층
US7488689B2 (en) Plasma etching method
WO2016130787A1 (en) Interconnect structures and methods of formation
CN115161613B (zh) 沉积室的清洁方法
KR20160014543A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI771977B (zh) 沉積室的清潔方法
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US11244804B2 (en) Etching method, plasma processing apparatus, and processing system
US11404282B2 (en) Method of etching film and plasma processing apparatus
EP1016116A2 (en) Apparatus for improving etch uniformity and methods therefor
US20220028670A1 (en) Plasma processing method and plasma processing apparatus
US20230326958A1 (en) Metal-insulator-metal capacitor and methods of manufacturing
JP2023018631A (ja) エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
TW202129753A (zh) 基板處理方法、半導體元件之製造方法及電漿處理裝置
CN112864000A (zh) 从衬底斜面边缘区域去除金属沉积物的方法以及使用该方法的设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant