TWI622326B - Surface treatment method - Google Patents

Surface treatment method Download PDF

Info

Publication number
TWI622326B
TWI622326B TW099122667A TW99122667A TWI622326B TW I622326 B TWI622326 B TW I622326B TW 099122667 A TW099122667 A TW 099122667A TW 99122667 A TW99122667 A TW 99122667A TW I622326 B TWI622326 B TW I622326B
Authority
TW
Taiwan
Prior art keywords
layer
treatment method
surface treatment
plasma
fracture layer
Prior art date
Application number
TW099122667A
Other languages
English (en)
Other versions
TW201123996A (en
Inventor
Naoyuki Satoh
Nobuyuki Nagayama
Keiichi Nagakubo
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201123996A publication Critical patent/TW201123996A/zh
Application granted granted Critical
Publication of TWI622326B publication Critical patent/TWI622326B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/80After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale

Abstract

提供可以容易消除被形成在構件表面,尤其係在階 差部之破碎層的表面處理方法。
對在表面具有破碎層(25h)之由碳化矽所構 成之聚焦環(25),在表面照射電子束(45),或以電漿炬(50)加熱表面,或收容於退火處理裝置(60)而予以加熱,依此將聚焦環(25)之表面加熱至碳化矽之再結晶溫度,例如1100℃~1300℃,使該部分之碳化矽再結晶而改質成緻密層,以減少將聚焦環(25)適用於電漿處理裝置(10)之時從聚焦環(25)表面釋放出之粒子數。

Description

表面處理方法
本發明係關於處理被適用於基板處理裝置之處理室內之構成構件表面的表面處理方法。
就以基板處理裝置而言,廣泛所知的有使用電漿而處理基板之電漿處理裝置。電漿處理裝置具備在內部產生電漿,並且收容當作被處理基板之晶圓的可減壓處理室(腔室),在腔室內,配置有:載置晶圓之載置台(承載器);以與承載器相向之方式被配置在其上方,將處理氣體導入至腔室內之噴淋頭(上部電極板);被配置在承載器之外周緣部,包圍被處理基板之聚焦環(F/R)等之各種構件(以下,稱為「構成構件」)。
聚焦環係將腔室內之電漿之分布區域不僅在晶圓W上,擴大至聚焦環上而將晶圓之周緣部上之電漿密度維持在與晶圓之中央部上之電漿密度相同程度,依此,可以確保被施予在晶圓之全面的電漿處理之均勻性。
在對晶圓施予電漿處理之腔室內,為了提高晶圓之良率,必須排除成為污染源之微粒產生。因此,以緻密材料構成腔室內之構成構件為佳。若使用CVD(Chemical Vapor Deposaition)法,因可以生成緻密之構成材料,故以例如從利用CVD法所生成之構成材料之塊(塊狀材)切出而予以成型,依此製造出聚焦環為佳。
另外,一般以構成構件之構成材料而言,可舉出矽(Si)、炭化矽(SiC)等,但是Si不適合CVD法,無法生成緻密之塊狀材。因此,就以從緻密之塊狀材製造聚焦環之時之該聚焦環之構成材料而言,適合使用能夠適用CVD法之SiC。並且,雖然SiC之成型法可舉出燒結法,但是燒結法難以如CVD法般形成緻密構造之塊狀材,當在腔室內適用切出以燒結法生成之塊狀材而成型之構成構件時,則也成為產生微粒之原因。
因此,近年來,作為聚焦環等之構成構件之構成材料,適合使用SiC(例如,專利文獻1)。其成型法適用CVD法,藉由CVD法所生成之塊狀材,係成為較藉由燒結法所生成之塊狀材更緻密,適合作為抑制微粒產生的構成構件之構成材料。
[先行技術文獻] [專利文獻]
[專利文獻1]日本特開2005-064460號公報
但是,自藉由CVD法所生成之SiC之塊狀材被切出,例如在聚焦環之表面,由於成型時產生之微裂紋而形成厚度20μm左右之破碎層,該破碎層則成為腔室內之微粒產生原因。即是,當將具有破碎層之聚焦環原樣地適用 於電漿處理裝置之腔室內時,至破碎層消失為止,產生因該破碎層所引起之微粒,依此有晶圓之良率下降之問題。並且,因微裂紋所引起之破碎層,係在矽(Si)、石英、氧化鋁陶瓷等之脆性材料可看見。
在此,研究各種消除被形成在以聚焦環為首之電漿處理裝置之構成構件表面的破碎層之表面處理方法。
但是,即使適用藉由例如氟酸、硝酸等之藥液的濕處理,因SiC對各種藥液為安定,故無法適用。再者,使用研磨材之機械研磨,雖然可以適用於聚焦環之背面或側面等之平面部,但是無法巧妙地適用於階差部之角部等,需專藉由人工之研磨作業來除去破碎層則為現狀,研磨所需時間長,有成本高之問題。
本發明之目的係在於提供可以容易消除形成在由碳化矽(SiC)所構成之各種構成構件之表面的破碎層,尤其被形成在階差部之破碎層的表面處理方法。
為了達成上述目的,申請專利範圍第1項所記載之表面處理方法,係在表面具有破碎層之由碳化矽所構成之構件的表面處理方法,其特徵為:藉由加熱使由上述破碎層所構成之構件表面再結晶而改質成緻密層,使上述構件適用於電漿處理裝置之時從上述構件表面釋放出之粒子數減少,上述改質包含藉由使用含有SiC之原料氣體來施予熱CVD處理,使上述破碎層再結晶的工程,上述熱CVD處 理包含對真空處理容器內供給含有SiC之原料氣體,將上述破碎層加熱至SiC再結晶溫度的工程。
如申請專利範圍第2項之表面處理方法係在申請專利範圍第1項所記載之表面處理方法中,將上述破碎層加熱至1100℃~1300℃而使上述構件表面之碳化矽再結晶。
申請專利範圍第3項所記載之表面處理方法,係在申請專利範圍第2項所記載之表面處理方法中,照射電子束而加熱上述破碎層,使該破碎層之碳化矽再結晶。
申請專利範圍第4項所記載之表面處理方法,係在申請專利範圍第2項所記載之表面處理方法中,使用電漿炬而加熱上述破碎層,使該破碎層之碳化矽再結晶。
申請專利範圍第5項所記載之表面處理方法係在申請專利範圍第2項所記載之表面處理方法中,藉由將上述構件收容在加熱爐,並以碳化矽之再結晶溫度進行退火處理,使上述破碎層之碳化矽再結晶。
為了達成上述目的,申請專利範圍第6項所記載之表面處理方法,係在表面具有破碎層之由碳化矽所構成之構件的表面處理方法,其特徵為:藉由在氧電漿中加熱上述破碎層使上述構件表面之炭化矽予以SiOxCy化之後,對該SiOxCy化之構件表面施予氟酸處理而使溶離,藉此上述構件表面作為緻密層,使上述構件適用於電漿處理裝置之時從上述構件表面釋放出之粒子數減少。
申請專利範圍第7項所記載之表面處理方法係申請專利範圍第6項所記載之表面處理方法中,將上述構件表面 之碳化矽(SiC)予以SiOxCy化的處理,和對上述SiOxCy化之構件表面施予的氟酸處理分別重複進行多次。
申請專利範圍第8項所記載之表面處理方法係申請專利範圍第1至7項中之任一項所記載之表面處理方法中,上述構件係在內部產生電漿,且具備對被處理基板施予電漿處理之可減壓的處理室的上述電漿處理裝置之上述處理室內被使用之構件。
申請專利範圍第9項所記載之表面處理方法係申請專利範圍第1至7項中之任一項所記載之表面處理方法中,上述構件為被設置在載置被處理基板之載置台之邊緣部的聚焦環。
若藉由申請專利範圍第1項所記載之表面處理方法時,因藉由加熱使由破碎層所構成之構件表面再結晶而改質成緻密層,以階差部之破碎層為首,可以確實消除形成在構件表面之所有破碎層。再者,依此,可以減少將構件適用於電漿處理裝置之時自構件表面釋放出之粒子數。
若藉由申請專利範圍第2項所記載之表面處理方法時,因將破碎層加熱至1100℃~1300℃而使構件表面之碳化矽再結晶,故即使階差部之破碎層亦可確實消除,依此可以將構件表面改質成緻密層。
若藉由申請專利範圍第3項所記載之表面處理方法時,因照射電子束加熱破碎層而使該破碎層之碳化矽再結 晶,故即使具有階差部之構件,亦可確實將其表面改質成緻密層。
若藉由申請專利範圍第4項所記載之表面處理方法時,因使用電漿炬加熱破碎層,使該破碎層之碳化矽再結晶,故可以消除破碎層而生成緻密層。
若藉由申請專利範圍第6項所記載之表面處理方法時,因在氧電漿中加熱破碎層而使構件表面之碳化矽(SiC)予以SiOxCy化之後,對該SiOxCy化之構件表面施予氟酸處理而使溶離,故可以使構件表面之破碎層消除而形成緻密層。
若藉由申請專利範圍第7項所記載之表面處理方法時,因將構件表面之碳化矽(SiC)予以SiOxCy化的處理,和對SiOxCy化之表面施予的氟酸處理分別重複進行多次,故可以確實消除破碎層而將構件表面改質成緻密層。
若藉由申請專利範圍第5項所記載之表面處理方法時,因將構件收容在加熱爐,以碳化矽之再結晶溫度施予退火處理,故可以使破碎層部分之SiC再結晶而生成緻密層。
若藉由申請專利範圍第8項所記載之表面處理方法時,因構件為在具備在內部產生電漿,並對被處理基板施予電漿處理之可減壓處理室的電漿處理裝置之處理室內被使用之構件,故即使將表面處理後之構件適用於電漿處理裝置之腔室內亦可以抑制因破碎層所引起之微粒。
若藉由申請專利範圍第9項所記載之表面處理方法時,構件因係被設置在載置被處理基板之載置台之周緣部之聚焦環,故即使將該聚焦環適用於電漿處理裝置之腔室,亦可以抑制微粒之產生。
以下,針對本發明之實施型態,一面參照圖面一面予以詳細說明。
第1圖為概略性表示使用適用本實施型態所涉及之表面處理方法之各種構成構件的電漿處理裝置之構成的剖面圖。該電漿處理裝置係對當作基板之半導體裝置用之晶圓(以下單稱為「晶圓」)施予電漿蝕刻處理。
在第1圖中,電漿處理裝置10具有收容晶圓W之腔室11,在腔室11內配置有載置晶圓W之圓柱狀的承載器12。在該電漿處理裝置10中藉由腔室11之內側壁和承載器12之側面,形成側方排氣路13。在側方排氣路13之途中,配置排氣板14。
排氣板14為具有多數貫通孔之板狀構件,當作將腔室11內部分隔成上部和下部之分隔板而發揮功能。在藉由排氣板14而分隔之腔室11內部之上部(以下稱為「處理室」)15如後述般產生電漿。再者,在腔室11內部之下部(以下,稱為「排氣室(歧管)」)16連接排出腔室11內之氣體的排氣管17。排氣板14捕捉或反射產生於處理室15之電漿而防止朝歧管16洩漏。
排氣管17連接TMP(Turbo Molecular Pump)及DP(Dry Pump)(任一者皆無圖示),該些泵將腔室11內予以抽真空而減壓至特定壓力。並且,腔室11內之壓力藉由APC閥(無圖示)而被控制。
腔室11內之承載器12係經第1整合器19連接第1高頻電源18,並且經第2整合器21連接第2高頻電源20,第1高頻電源18係將比較低之頻率,例如2MHz之偏壓用之高頻電力供給至承載器12,第2高頻電源20係將比較高之頻率,例如60MHz之電漿生成用之高頻電力供給至承載器12。依此,承載器12係當作電極而發揮功能。再者,第1整合器19及第2整合器21係降低來自承載器12之高頻電力之反射,使高頻電力對承載器12的供給效率成為最大。
在承載器12之上部設置有在內部具有靜電電極板22之靜電吸盤23。靜電吸盤23具有階差,且由陶瓷所構成。
在靜電電極板22連接有直流電源24,當對靜電極板22施加正的直流電壓時,則在晶圓W中之靜電吸盤23側之面(以下,稱為「背面」)產生負電位,在靜電電極板22及晶圓W之背面之間產生電位差,因該電位差引起之庫倫力或強生拉別克(Johnsen-Rahbek)力,晶圓W被吸附保持於靜電吸盤23。
再者,在靜電夾具23,以包圍被吸附保持之晶圓W之方式,聚焦環25被載置於靜電吸盤23之階差中之水平 部。聚焦環25係藉由碳化矽(SiC)所構成。
在承載器12之內部設置有例如延伸於圓周方向之環狀冷媒室26。在該冷煤室26自冷卻單元(省略圖示)經冷煤用配管27循環供給低溫之冷媒例如冷卻水或油脂(Galden:日本註冊商標)。藉由冷媒而被冷卻之承載器12,經靜電吸盤23冷卻晶圓W及聚焦環25。
在靜電吸盤23中吸附保持晶圓W之部分(以下,稱為「吸附面」),開口有多數傳熱氣體供給孔28。傳熱氣體供給孔28經傳熱氣體供給管線29而連接於傳熱氣體供給部(省略圖示),該傳熱氣體供給部經傳熱氣體供給孔28將當作傳熱氣體之氦(He)氣供給至吸附面及晶圓W之背面之間隙。被供給至吸附面及晶圓W之背面之間隙的氦氣係有效果地將晶圓W之熱傳達至靜電吸盤23。
在腔室11之頂棚部以與承載器12對向之方式配置有噴淋頭30。噴淋頭30具有上部電極31、可裝卸垂釣支撐該上部電極31之冷卻板32,和覆蓋該冷卻板32之蓋體33。上部電極板31係由具有貫通於厚度方向之多數氣孔34之圓板狀構件所構成,藉由屬於半導體之碳化矽所構成。再者,在該冷卻板32之內部設置緩衝室35,在該緩衝室35連接有氣體導入管36。
再者,在噴淋頭30之上部電極31連接有直流電源37,對上部電極板31施加負的直流電壓。此時,上部電極板31係釋放二次電子而防止在處理室15內部中之晶圓W上,電子密度下降之情形。被釋放出之二次電子係從晶 圓W流向在側方排氣路13被設置成包圍承載器12之側面之半導電體,即為藉由碳化矽或矽所構成之接地電極(接地環)38。
在電漿處理裝置10中,自處理氣體導入管36供給至緩衝室35之處理氣體經氣孔34而被導入至處理室15內部,該被導入之處理氣體係依據自第2高頻電源20經承載器12被施加於處理室15內部之電漿生成用之高頻電力被激勵而成為電漿。該電漿中之離子係第1高頻電源18藉由施加於承載器12之偏壓用之高頻電力而朝向載置晶圓W引入,對該晶圓W施予電漿蝕刻處理。
上述電漿處理裝置10之各構成構件之動作,係電漿處理裝置10具有之控制部(省略圖式)之CPU因應對應於電漿蝕刻處理之程式而予以控制。
第2圖為表示第1圖中之聚焦環的放大圖,第2圖(A)為俯視圖,第2圖(B)為第2圖(A)中之沿著線II-II之剖面圖。
在第2圖(A)及(B)中,聚焦環25係藉由在內周部具有階差25a之環狀構件而構成,如上述般,藉由碳化矽之單體而構成。階差25a係對應於晶圓W之外周部而形成。
如此之聚焦環25係如上述般,因從利用CVD法所生成之SiC之塊狀材切出而成型,故在其表面形成因微裂紋所引起之破碎層,尤其即使藉由研磨處理也無法完全消除之階差25a附近之破碎層,成為微粒產生之原因。
第3圖為表示形成在第2圖中之聚焦環之表面之階差部的破碎層之剖面圖。
在第3圖中,聚焦環25之上面25d及下面25e以及側面25g、25f可機械性研磨。對此,階差25a之水平部25b及角部25c無法適用機械研磨,專門藉由人工研磨。
本發明者針對用以消除在聚焦環之製造過程中產生之破碎層之表面處理方法,精心研究結果,藉由加熱聚焦環表面而使SiC再結晶,或於施予特定之前處理之後,施予藥液處理,可以消除破碎層,依此找出可以迴避因聚焦環之破碎層所引起之微粒產生,而完成本發明。
第4圖為表示適用於本發明之第1實施型態之電子束照射裝置之概略構成圖。
在第4圖中,該電子束照射裝置40主要係由沿著電子束照射方向而配列,具有陰極42及陽極43之電子槍41,和配置在該電子槍41之前端部附近之聚焦線圈44而構成。
電子槍41之陰極42係由例如燈絲所構成,加熱燈絲使熱電子產生。產生之熱電子係藉由對陰極42和陽極43施加例如60kV~150kV之電壓被加速而成為電子束45。電子束45係藉由聚焦線圈44而被收歛,被照射至載置於載置台46之被加熱體47。
使用如此之電子束照射裝置40,在載置台46載置聚焦環25,並對該聚焦環25表面之破碎層25h照射電子束,而將破碎層25h加熱成例如1100℃~1300℃。此時被 加熱之破碎層25h之SiC再結晶而成為緻密層。
若藉由本實施型態時,藉由對聚焦環25之破碎層25h照射電子束而加熱至再結晶溫度,則可以將破碎層25h改質成緻密層。因此,即使藉由機械或人工而研磨之聚焦環25之表面之破碎層25h,尤其難研磨之階差部分之破碎層25h,亦可以容易改質成緻密層,依此於將聚焦環25適用於電漿處理裝置之腔室內之時,可以防止產生破碎層25h所引起之微粒。
第5圖為表示適用於本發明之第2實施型態之電漿炬之概略構成圖。在第5圖中,該電漿炬50主要係由噴嘴本體51、沿著電漿噴射引擎58之照射方向而設置在該噴嘴本體51之略中央之陰極52、以包圍陰極52之方式設置在其外周部之陽極53所構成。陰極52係由例如鎢所構成,陽極53係由例如銅所構成。陰極52及陽極53具有例如連通之套筒構造,電漿炬50係藉由流入套筒構造內之冷卻水54而被冷卻。
在噴嘴本體51設置有電漿生成用之作動氣體導入管55。再者,在噴嘴本體51設置有當作電漿原料之粉末材料之導入管56,粉末材料係朝向噴嘴本體51之電漿噴射引擎58之出口附近被導入。
作為電漿生成氣體,可使用例如氦(He)氣。He氣係經作動氣體導入管55而朝向陰極52被供給。He氣因激勵、離子化能量大、質量數小,故產生的氦電漿可以使適合當作粉末材料使用之所有元素激勵、離子化。就以粉 末材料而言,適合使用例如陶瓷、金屬陶瓷、金屬等。
在電漿炬50中,朝向陰極52被導入之He氣,係藉由形成在陰極52和陽極53之間之直流電弧放電被激勵而成為電漿。產生之氦電漿係邊激勵經粉末材料之導入管56被導入之電漿原料而離子化,邊作為電漿噴射引擎58而朝向被處理體57照射,在被處理體57表面形成溶射覆膜59。
當使用如此構成之電漿炬50,不供給粉末材料,對聚焦環25表面之破碎層25h僅照射氦氣時,破碎層25h則被加熱成例如1100℃~1300℃,該部分之SiC再結晶而成為緻密層。
若藉由本實施型態時,可以使用電漿炬50加熱聚焦環25表面之破碎層25h,使其再結晶。因此,聚焦環25之表面之破碎層25h消除,可以改質成緻密層。
在本實施型態中,將電漿炬50固定在特定位置,並使聚焦環25旋轉,依此可以施予電漿處理,使聚焦環25之破碎層25h依序通過電漿炬50之電漿照射位置。再者,即使固定聚焦環25,使電漿炬50之電漿照射位置依序沿著聚焦環25表面之破碎層25h移動亦可。
在本實施型態中,亦可以導入作為電漿生成用之粉末材料的SiC,並將SiC予以電漿化,使電漿化之SiC接觸於聚焦環25之表面而在該表面形成不會使聚焦環25之尺寸變化之程度的新SiC薄膜。依此,可以在聚焦環25之表面形成緻密的緻密層。
接著,針對本發明之第3實施型態予以說明。本實施型態係可以使用與第2實施型態相同之電漿炬而予以實施。
在第5圖之電漿炬50中,對聚焦環25之表面之破碎層25h照射使用當作作動氣體之O2氣體的氧電漿。照射氧電漿之聚焦環25表面之破碎層25h係在氧電漿中被加熱,藉由氧自由基氧化該部分之SiC而改質成SiOxCy。之後,對所生成之SiOxCy施予氟酸處理而溶離,依此使聚焦環25之表面成為緻密層。
若藉由本實施型態時,因將氧電漿照射至由對氟酸或硝酸呈安定之SiC所構成之聚焦環25,依此將聚焦環25之表面改質成可溶於酸之SiOxCy,之後,施予藥液處理使SiOxCy溶離,故即使難以研磨處理之階差部之破碎層25h,亦可容易消除,可以將聚焦環25之表面改質成緻密層。
在本實施型態中,以多次重複依據氧電漿之SiC之氧化處理,和藉由氟酸溶離將藉由該氧化處理所取得之SiOxCy層予以溶離之藥液處理為佳。在第一次之處理中,難以將破碎層25h之所有SiC完全氧化、溶離,可以藉由多數例如兩次~10次重複,將由破碎層25h所構成之表面完全改質成緻密層。
以下,針對本發明之第4實施型態所涉及之表面處理方法予以說明。本實施型態係以特定溫度加熱聚焦環而施予退火處理。
第6圖為表示適用於本發明之第4實施型態之退火處理裝置之概略構成的剖面圖。
在第6圖中,該退火處理裝置60具有處理室容器61、設置在該處理室容器61內之載置台62、和內藏於該載置台62之加熱器63。載置台62係載置當作被處理體之聚焦環25,聚焦環25係藉由加熱器63而被加熱成特定溫度。處理室容器61具備用以形成退火環境之氣體導入機構64及將處理室容器61內調整成特定壓力之減壓機構65。再者,在處理室容器61於其側壁設置有將被處理體予以搬入及搬出用之閘閥66。
在如此構成之退火處理裝置60中,本實施型態所涉及之表面處理方法係如下述般被實行。
第7圖為表示本發明之實施型態所涉及之表面處理方法中之表面處理的流程圖。在第7圖中,於對聚焦環25施予表面處理之時,首先,將具有破碎層之聚焦環(F/R)25經閘閥66藉由省略圖示之搬運裝置搬入至處理室容器61內,而載置在載置台62上(步驟S1)。
接著,關閉閘閥66,從氣體導入機構64導入退火用之氣體,例如N2氣體,同時藉由減壓機構65減壓處理容器61內,並以N2氣體置換處理室內氣體(步驟S2)。接著,使加熱器63動作,以1100℃~1300℃加熱聚焦環25一個小時(步驟S3)。此時,聚焦環25之表面再結晶而改質成緻密層,破碎層25h消除。
破碎層消除後,停止藉由加熱器63之加熱,並降低 聚焦環25之溫度(步驟S4)。於結束降低聚焦環25之溫度後,從處理室容器61搬出聚焦環25而結束退火處理。
若藉由本實施型態時,可以使形成在聚焦環25全表面之破碎層25h部份之SiC再結晶而改質成緻密層。此時,即使在聚焦環25具有階差25a,階差25a之部分之SiC,與階差25a以外之表面之SiC相同再結晶而成為緻密層,故可以使所有破碎層一律消除。因此,即使在電漿處理裝置10之腔室11內使用退火處理後之聚焦環25,亦可以迴避因破碎層25h所引起之微粒產生。
若藉由本實施型態時,表面粗度被改質成與於機械研磨之時相同程度或其以上,於不施予第7圖之表面處理之時,每單位面積產生2201個之0.12μm以上之微粒,於施予第7圖之表面處理之時,則減少至18個。
在本實施型態中,聚焦環之加熱溫度係以1100~1300℃為佳。若藉由該溫度,可以邊保持聚焦環25之型態,邊使表面之破碎層25h再結晶而改質成緻密層。此時之處理容器61內之壓力為例如1.3Pa(10mTorr)~1.3Pa×103Pa(10Torr),加熱時間為例如0.5~3小時。
接著,針對本發明之第5實施型態予以說明。
本實施型態係從藉由CVD法所生成之SiC塊狀材切出之電漿處理裝置之構成構件,例如用以使於研削工程時形成在聚焦環25表面之破碎層消除之表面處理方法,適用熱CVD處理。即是,藉由對從SiC之塊狀材切出之聚 焦環25之表面,使用含SiC之原料氣體而施予熱CVD處理,使破碎層25h加熱至SiC之再結晶溫度而成為緻密層,並且在該緻密層上形成由SiC所構成之新的CVD膜。
若藉由本實施型態,則與上述各實施型態相同,可以使聚焦環25之表面之破碎層消除,形成緻密層,並且使構件表面更加美觀。
在本實施形態中,新的CVD膜之膜厚係在不使聚焦環25之尺寸變化的程度,例如以10μm以下為佳。
在上述各實施形態中,當作實施表面處理方法之構件,雖然使用聚焦環25,但是處理對象構件並不限定於聚焦環25,以在第8圖所示之電漿處理裝置之腔室內所適用之上部電極板為首,可以適用於由SiC所構成之構成構件全體。
第8圖為表示上部電極板之構成圖,第8圖(A)為其俯視圖,第8圖(B)為沿著第8圖(A)之線VIII-VIII之剖面圖。
在第8圖中,上部電極板31具有在厚度方向貫通之多數氣體孔34,並且與聚焦環25相同,為自SiC之塊狀材切出而成型者。因此,有在側面31a、下面31b、上面31c及氣體孔內側面31d形成因微裂紋而引起之破碎層31e,而成為微粒產生原因之情形。
因此,即使對於上部電極31,也施予與聚焦環25相同之表面處理為佳,依此可以將由破碎層31e所構成之表 面改質成緻密層,而消除微粒之產生原因。尤其,上部電極板31之氣體孔34周邊部雖然難以研磨處理,但藉由本發明之表面處理方法,可以容易將由破碎層31e所構成之上部電極板31之表面改質成緻密層。
10‧‧‧電漿處理裝置
25‧‧‧聚焦環
31‧‧‧上部電極
40‧‧‧電子束產生裝置
50‧‧‧電漿炬
60‧‧‧退火處理裝置
第1圖為概略性表示使用適用本實施型態所涉及之表面處理方法之各種構成構件的電漿處理裝置之構成的剖面圖。
第2圖為表示第1圖中之聚焦環的放大圖,第2圖(A)為俯視圖,第2圖(B)為第2圖(A)中之沿著線II~II之剖面圖。
第3圖為表示形成在第2圖中之聚焦環之表面之階差部的破碎層之剖面圖。
第4圖為表示適用於本發明之第1實施型態之電子束照射裝置之概略構成圖。
第5圖為表示適用於本發明之第2實施型態之電漿炬之概略構成圖。
第6圖為表示適用於本發明之第4實施型態之退火處理裝置之概略構成的剖面圖。
第7圖為表示本發明之實施型態所涉及之表面處理方法中之表面處理的流程圖。
第8圖為表示上部電極板之構成圖,第8圖(A)為其俯視圖,第8圖(B)為沿著第8圖(A)之線VIII- VIII之剖面圖。

Claims (9)

  1. 一種表面處理方法,其係在表面具有破碎層之由碳化矽所構成之構件的表面處理方法,其特徵為:藉由加熱使由上述破碎層所構成之構件表面再結晶化而改質成緻密層,使上述構件適用於電漿處理裝置之時從上述構件表面釋放出之粒子數減少,上述改質包含藉由使用含有SiC之原料氣體來施予熱CVD處理,使上述破碎層再結晶的工程,上述熱CVD處理包含對真空處理容器內供給含有SiC之原料氣體,將上述破碎層加熱至SiC再結晶溫度的工程。
  2. 如申請專利範圍第1項所記載之表面處理方法,其中將上述破碎層加熱至1100℃~1300℃而使上述構件表面之碳化矽再結晶。
  3. 如申請專利範圍第2項所記載之表面處理方法,其中照射電子束而加熱上述破碎層,使該破碎層之碳化矽再結晶。
  4. 如申請專利範圍第2項所記載之表面處理方法,其中使用電漿炬而加熱上述破碎層,使該破碎層之碳化矽再結晶。
  5. 如申請專利範圍第2項所記載之表面處理方法,其 中藉由將上述構件收容在加熱爐,並以碳化矽之再結晶溫度進行退火處理,使上述破碎層之碳化矽再結晶。
  6. 一種表面處理方法,其係在表面具有破碎層之由碳化矽所構成之構件的表面處理方法,其特徵為:藉由在氧電漿中加熱上述破碎層使上述構件表面之碳化矽予以SiOxCy化之後,對該SiOxCy化之構件表面施予氟酸處理而使溶離,藉此上述構件表面作為緻密層,使上述構件適用於電漿處理裝置之時從上述構件表面釋放出之粒子數減少。
  7. 如申請專利範圍第6項所記載之表面處理方法,其中將上述構件表面之碳化矽(SiC)予以SiOxCy化的處理,和對上述SiOxCy化之構件表面施予的氟酸處理分別重複進行多次。
  8. 如申請專利範圍第1至7項中之任一項所記載之表面處理方法,其中上述構件係在內部產生電漿,且具備對被處理基板施予電漿處理之可減壓的處理室的上述電漿處理裝置之上述處理室內被使用之構件。
  9. 如申請專利範圍第1至7項中之任一項所記載之表面處理方法,其中上述構件為被設置在載置被處理基板之載置台之邊緣部的聚焦環。
TW099122667A 2009-07-10 2010-07-09 Surface treatment method TWI622326B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009163418A JP5415853B2 (ja) 2009-07-10 2009-07-10 表面処理方法

Publications (2)

Publication Number Publication Date
TW201123996A TW201123996A (en) 2011-07-01
TWI622326B true TWI622326B (zh) 2018-04-21

Family

ID=43426706

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099122667A TWI622326B (zh) 2009-07-10 2010-07-09 Surface treatment method

Country Status (5)

Country Link
US (2) US8318034B2 (zh)
JP (1) JP5415853B2 (zh)
KR (1) KR101708935B1 (zh)
CN (2) CN101950721B (zh)
TW (1) TWI622326B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5415853B2 (ja) 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
JP6435247B2 (ja) * 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
CN107393845A (zh) * 2016-05-17 2017-11-24 北大方正集团有限公司 一种碳化硅晶体晶圆表面析出碳的去除系统及方法
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200634924A (en) * 2004-11-10 2006-10-01 Tokyo Electron Ltd Components for substrate processing apparatus and manufacturing method thereof
JP2009013489A (ja) * 2007-07-09 2009-01-22 Tokai Carbon Co Ltd CVD−SiC成形体の洗浄方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4459338A (en) * 1982-03-19 1984-07-10 The United States Of America As Represented By The United States Department Of Energy Method of deposition of silicon carbide layers on substrates and product
US5093148A (en) * 1984-10-19 1992-03-03 Martin Marietta Corporation Arc-melting process for forming metallic-second phase composites
US5200022A (en) * 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5465680A (en) * 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
JP3004859B2 (ja) * 1993-12-28 2000-01-31 東芝セラミックス株式会社 Cvd自立膜構造体
JP3042297B2 (ja) * 1994-04-12 2000-05-15 王子製紙株式会社 炭化珪素材料の製造方法
US6077619A (en) * 1994-10-31 2000-06-20 Sullivan; Thomas M. Polycrystalline silicon carbide ceramic wafer and substrate
US5679153A (en) * 1994-11-30 1997-10-21 Cree Research, Inc. Method for reducing micropipe formation in the epitaxial growth of silicon carbide and resulting silicon carbide structures
US5882807A (en) * 1995-12-26 1999-03-16 Asahi Glass Company, Ltd Jig for heat treatment and process for fabricating the jig
US5944890A (en) * 1996-03-29 1999-08-31 Denso Corporation Method of producing single crystals and a seed crystal used in the method
US5858144A (en) * 1996-04-12 1999-01-12 Iowa State University Research Foundation, Inc. Low temperature joining of ceramic composites
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3296998B2 (ja) * 1997-05-23 2002-07-02 日本ピラー工業株式会社 単結晶SiCおよびその製造方法
CA2263339C (en) * 1997-06-27 2002-07-23 Kichiya Tanino Single crystal sic and process for preparing the same
JP3043690B2 (ja) * 1997-11-17 2000-05-22 日本ピラー工業株式会社 単結晶SiCおよびその製造方法
US5952046A (en) * 1998-01-21 1999-09-14 Advanced Technology Materials, Inc. Method for liquid delivery chemical vapor deposition of carbide films on substrates
JP3483494B2 (ja) * 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP2884085B1 (ja) * 1998-04-13 1999-04-19 日本ピラー工業株式会社 単結晶SiCおよびその製造方法
EP0967304B1 (en) * 1998-05-29 2004-04-07 Denso Corporation Method for manufacturing single crystal of silicon carbide
JP2000109366A (ja) * 1998-10-07 2000-04-18 Ngk Insulators Ltd 光不透過性の高純度炭化珪素材、半導体処理装置用遮光材および半導体処理装置
JP4104096B2 (ja) * 1998-12-21 2008-06-18 東海カーボン株式会社 多孔質SiC成形体及びその製造方法
US6562183B1 (en) * 1999-04-07 2003-05-13 Ngk Insulators, Ltd. Anti-corrosive parts for etching apparatus
US6936102B1 (en) * 1999-08-02 2005-08-30 Tokyo Electron Limited SiC material, semiconductor processing equipment and method of preparing SiC material therefor
EP1243674B1 (en) * 1999-09-06 2005-06-08 Sixon Inc. SiC SINGLE CRYSTAL AND METHOD FOR GROWING THE SAME
DE60033829T2 (de) * 1999-09-07 2007-10-11 Sixon Inc. SiC-HALBLEITERSCHEIBE, SiC-HALBLEITERBAUELEMENT SOWIE HERSTELLUNGSVERFAHREN FÜR EINE SiC-HALBLEITERSCHEIBE
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3650727B2 (ja) * 2000-08-10 2005-05-25 Hoya株式会社 炭化珪素製造方法
EP1205573A1 (en) * 2000-11-10 2002-05-15 Shipley Company LLC Silicon carbide with high thermal conductivity
JP4716558B2 (ja) * 2000-12-12 2011-07-06 株式会社デンソー 炭化珪素基板
JP2002220299A (ja) * 2001-01-19 2002-08-09 Hoya Corp 単結晶SiC及びその製造方法、SiC半導体装置並びにSiC複合材料
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7553373B2 (en) * 2001-06-15 2009-06-30 Bridgestone Corporation Silicon carbide single crystal and production thereof
US20030015731A1 (en) * 2001-07-23 2003-01-23 Motorola, Inc. Process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate used to form the same and in-situ annealing
US6780243B1 (en) * 2001-11-01 2004-08-24 Dow Corning Enterprises, Inc. Method of silicon carbide monocrystalline boule growth
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN1184142C (zh) 2002-11-29 2005-01-12 白万杰 等离子体化学气相合成法制备碳化硅陶瓷粉体的工艺
US6825123B2 (en) * 2003-04-15 2004-11-30 Saint-Goban Ceramics & Plastics, Inc. Method for treating semiconductor processing components and components formed thereby
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (ja) 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP4522117B2 (ja) * 2004-03-23 2010-08-11 京セラ株式会社 半導体もしくは液晶製造装置に用いられる処理容器用部材の製造方法
JP2005302936A (ja) * 2004-04-09 2005-10-27 Sumitomo Osaka Cement Co Ltd プラズマ処理装置
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
KR20080028498A (ko) * 2005-08-22 2008-03-31 도카로 가부시키가이샤 열방사 특성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
KR101021459B1 (ko) * 2005-08-22 2011-03-15 도카로 가부시키가이샤 내손상성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
JP4996868B2 (ja) * 2006-03-20 2012-08-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
WO2008014434A2 (en) * 2006-07-28 2008-01-31 Caracal, Inc. Crystal growth method and reactor design
US8409351B2 (en) * 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7727919B2 (en) * 2007-10-29 2010-06-01 Saint-Gobain Ceramics & Plastics, Inc. High resistivity silicon carbide
JP5248995B2 (ja) * 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 光電変換装置の製造方法
JP2009231574A (ja) * 2008-03-24 2009-10-08 Sanken Electric Co Ltd SiC半導体素子とその製造方法並びにその製造装置
US7951656B2 (en) * 2008-06-06 2011-05-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8721906B2 (en) * 2008-06-09 2014-05-13 Poco Graphite, Inc. Method to increase yield and reduce down time in semiconductor fabrication units by preconditioning components using sub-aperture reactive atom etch
CN201269842Y (zh) 2008-10-22 2009-07-08 中国科学院大连化学物理研究所 一种超高真空表面分析系统中的电子束加热器
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
JP5345499B2 (ja) * 2009-10-15 2013-11-20 Hoya株式会社 化合物単結晶およびその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200634924A (en) * 2004-11-10 2006-10-01 Tokyo Electron Ltd Components for substrate processing apparatus and manufacturing method thereof
JP2009013489A (ja) * 2007-07-09 2009-01-22 Tokai Carbon Co Ltd CVD−SiC成形体の洗浄方法

Also Published As

Publication number Publication date
US20110006037A1 (en) 2011-01-13
CN102931056B (zh) 2015-06-03
TW201123996A (en) 2011-07-01
KR101708935B1 (ko) 2017-02-21
CN101950721A (zh) 2011-01-19
CN102931056A (zh) 2013-02-13
US20130040055A1 (en) 2013-02-14
US8318034B2 (en) 2012-11-27
US8715782B2 (en) 2014-05-06
CN101950721B (zh) 2012-12-05
KR20110005661A (ko) 2011-01-18
JP5415853B2 (ja) 2014-02-12
JP2011018821A (ja) 2011-01-27

Similar Documents

Publication Publication Date Title
JP5567486B2 (ja) 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
TWI622326B (zh) Surface treatment method
CN101920256B (zh) 等离子体处理装置用的消耗部件的再利用方法
JP5957812B2 (ja) 静電チャック装置
JP2018046185A (ja) 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
JP7038497B2 (ja) 静電チャックの製造方法
JP6283532B2 (ja) 静電チャックの製造方法
KR20100017197A (ko) 플라즈마 에치 챔버에 이용되는 내침식성 강화 석영
JP5043439B2 (ja) 遊離炭素を取り除くために扱われた半導体基板処理装置の炭化シリコン部品
JP2007317772A (ja) 静電チャック装置
JP2010283212A (ja) 基板処理装置
TW201001530A (en) Electrode structure and substrate processing apparatus
JP6988999B2 (ja) セラミックス基体およびサセプタ
JP6213487B2 (ja) 縦型熱処理装置の運転方法、記憶媒体及び縦型熱処理装置
JP2021534587A (ja) 処理チャンバ用コーティング材料
JP5580844B2 (ja) エッチング方法
JP5134223B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2021012960A (ja) プラズマ処理装置
JP2016058536A (ja) プラズマ処理装置及びクリーニング方法
TW201034049A (en) Particle reduction treatment for gas delivery system
JP2023533730A (ja) 水素およびnh3プラズマ用途のための保護セラミックコーティングを有するプロセスキット
JP5615576B2 (ja) 基板処理装置用の多孔板の製造方法及び多孔板
KR20220024045A (ko) 기판 후면 손상을 최소화하기 위한 방법들 및 장치
JP2008270468A (ja) 基板処理装置及び半導体装置の製造方法。