TW202322181A - 用於脈衝式dc偏壓及箝位基板的系統及方法 - Google Patents

用於脈衝式dc偏壓及箝位基板的系統及方法 Download PDF

Info

Publication number
TW202322181A
TW202322181A TW112103845A TW112103845A TW202322181A TW 202322181 A TW202322181 A TW 202322181A TW 112103845 A TW112103845 A TW 112103845A TW 112103845 A TW112103845 A TW 112103845A TW 202322181 A TW202322181 A TW 202322181A
Authority
TW
Taiwan
Prior art keywords
voltage
voltage source
clamping
substrate
electrode
Prior art date
Application number
TW112103845A
Other languages
English (en)
Inventor
詹姆士 羅傑斯
崔琳鍈
雷歐尼德 朵夫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202322181A publication Critical patent/TW202322181A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭示案的實施例大體上係關於一種在半導體製造中使用的系統。更具體而言,本揭示案的實施例係關於一種用於脈衝式DC偏壓及箝位基板的系統。在一個實施例中,系統包括電漿腔室,此電漿腔室具有ESC用於支撐基板。將電極嵌入ESC中且電耦合至偏壓與箝位電路。偏壓與箝位電路至少包括整形DC脈衝電壓源及箝位網路。箝位網路包括DC電壓源及二極體,以及電阻器。整形DC脈衝電壓源與箝位網路並聯連接。當用脈衝式DC電壓偏壓基板時,偏壓與箝位網路自動維持實質恆定的箝位電壓,此電壓為跨電極與基板的壓降,導致改良了基板的箝位。

Description

用於脈衝式DC偏壓及箝位基板的系統及方法
本揭示案的實施例大體上係關於一種在半導體製造中使用的系統。更具體而言,本揭示案的實施例係關於一種用於偏壓及箝位基板的系統。
離子轟擊通常用作電漿蝕刻中的化學及物理製程及電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)製程的活化能來源,以便處理半導體基板。由電漿鞘加速的高能離子亦具有很高的方向性,並且可用於蝕刻高深寬比特徵。習知地,可使用來自射頻(radio frequency; RF)源的RF功率來偏壓基板。RF源將RF電壓供應給嵌在靜電卡盤(electrostatic chuck; ESC)中的第一電極。經由陶瓷層將第一電極電容耦合至處理系統的電漿,陶瓷層為ESC的一部分。電漿鞘的非線性類二極體特性導致所施加RF場的整流,使得在基板與電漿之間出現直流(direct-current; DC)壓降或自偏壓。此壓降決定朝基板加速的離子的平均能量。
ESC藉由向嵌在ESC中的第二電極施加固定DC電壓以在ESC與基板之間建立電場來緊固安置在其上的基板。電場感應相反極性電荷,分別在基板及第二電極上累積。極性相反的電荷之間的靜電引力將基板拉向ESC,以緊固基板。然而,靜電力可受到供應給ESC中的第一電極的RF偏壓功率的影響,導致基板箝位不足或箝位過度。另外,隨著大偏壓變為數千伏,自偏壓相對於固定DC電壓的波動可導致增加電弧放電或突然解除箝位及損壞基板的風險。對於產生脈衝的非常高的偏壓功率(千伏(kV)範圍),此尤其是一個問題。
因此,需要一種用於偏壓及箝位基板的改良的系統。
本揭示案的實施例大體上係關於一種在半導體製造中使用的系統。更具體而言,本揭示案的實施例係關於一種用於脈衝式DC偏壓及箝位基板的系統。在一個實施例中,一種系統包括電漿處理腔室及耦接至電漿處理腔室的偏壓與箝位電路。偏壓與箝位電路包括第一整形DC脈衝電壓源及並聯連接至整形DC脈衝電壓源的箝位網路。箝位網路包括DC電壓源及二極體。
在另一實施例中,一種系統包括電漿處理腔室及耦接至電漿處理腔室的偏壓與箝位電路。偏壓與箝位電路經配置以向安置在電漿處理腔室中的基板提供脈衝式偏置電壓及恆定箝位電壓。
在另一實施例中,一種方法包括脈衝式DC偏壓及箝位安置在靜電卡盤上的基板,此靜電卡盤安置在電漿處理腔室中。在實質恆定的電壓下箝位基板。脈衝式DC偏壓及箝位基板包括向基板提供第一電壓,第一電壓為脈衝式,以及向嵌在靜電卡盤中的電極提供第二電壓。實質恆定的電壓為第一電壓與第二電壓之間的差。
本揭示案的實施例大體上係關於一種在半導體製造中使用的系統。更具體而言,本揭示案的實施例係關於一種用於偏壓及箝位基板的系統。在一個實施例中,系統包括電漿腔室,此電漿腔室具有ESC用於支撐基板。將電極嵌入ESC中且電耦合至偏壓與箝位電路。偏壓與箝位電路至少包括整形DC脈衝電壓源及箝位網路。箝位網路包括DC電壓源及二極體。整形DC脈衝電壓源與箝位網路並聯連接。當用脈衝式DC電壓偏壓基板時,箝位網路自動維持實質恆定的箝位電壓,此電壓為跨電極與基板的恆定壓降,導致改良了基板的箝位。
第1圖係用於偏壓及箝位基板的系統102的示意性橫截面圖。系統102包括電漿處理腔室120及偏壓與箝位電路108。在可包括本文描述的一或更多個實施例或與之組合的一個實施例中,如第1圖所示,電漿處理腔室120為反應性離子蝕刻(reactive ion etch; RIE)電漿腔室。在一些實施例中,電漿處理腔室120為PECVD腔室、電漿增強物理氣相沉積(plasma enhanced physical vapor deposition; PEPVD)腔室或電漿增強原子層沉積(plasma enhanced atomic layer deposition; PEALD)腔室。在一些實施例中,電漿處理腔室120為電漿處置腔室,或基於電漿的離子佈植腔室,例如電漿摻雜(plasma doping; PLAD)腔室。此處,電漿處理腔室120包括電漿源112,諸如電感耦合電漿(inductively coupled plasma; ICP)源,電耦合至RF電源190。在一些實施例中,電漿源112為電容耦合電漿(capacitively coupled plasma; CCP)源,諸如安置在面向基板支撐件的處理體積中的電漿電極,其中電漿電極電耦合至RF電源。
電漿處理腔室120包括腔室主體175及腔室蓋180,兩者界定處理體積170。經由噴嘴104將一或更多種處理氣體自處理氣體源185引入到處理體積170中。將電漿源112安置在處理體積170外側的腔室蓋180上方,在一個實施例中電漿源為一或更多個感應線圈。經由RF匹配電路195將電漿源112電耦合至RF電源190。RF電源190及電漿源112用於使用處理體積170中的處理氣體及感應能量來點燃及維持電漿。經由真空出口194將處理體積170流體地耦接至一或更多個真空泵192,此將處理體積170維持在低於大氣壓的條件下,且從中抽空處理氣體及/或其他氣體。在處理體積170中安置基板支撐組件100。基板支撐組件100包括ESC 122用於支撐及緊固基板130。在一或更多個層165上安置ESC 122。
在一些實施例中,一或更多個層165包括安置在其中的一或更多個流體導管(未圖示),此等流體導管流體地耦接至冷卻劑源(未圖示)或與冷卻劑源流體連通,冷卻劑源諸如具有相對較高電阻的製冷劑源或水源,以控制基板支撐組件100的溫度。ESC 122由介電材料形成,諸如塊狀燒結的陶瓷材料,諸如耐腐蝕金屬氧化物或金屬氮化物材料,例如氧化鋁(Al 2O 3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y 2O 3)、上述的混合物或上述的組合。ESC 122包括嵌在其中的電極110。電極110用於偏壓及箝位基板130。電極110由導電材料形成,諸如一或更多個金屬網、箔、板或上述的組合。
將電極110電耦合至偏壓與箝位電路108。偏壓與箝位電路108包括整形DC脈衝電壓源106及箝位網路160。當用脈衝式DC電壓由整形DC脈衝電壓源106偏壓基板130時,箝位網路160自動維持實質恆定的箝位電壓,導致改良了基板的箝位,此實質恆定的箝位電壓為跨電極110與基板130的恆定壓降。在第2圖、第4圖、第5圖及第6圖中詳細描述了偏壓與箝位電路108。
系統102進一步包括系統控制器130。系統控制器130包括中央處理單元(central processing unit; CPU) 132、記憶體134及支援電路136。使用系統控制器130控制用於處理基板130的處理次序,包括本文描述的基板偏壓及箝位。CPU 132為經配置用於工業設定以控制系統102的通用電腦處理器及與其相關的子處理器。記憶體134包括隨機存取記憶體、唯讀記憶體、軟碟或硬碟機,或本端或遠端的其他適宜形式的數位儲存器。支援電路136經耦接至CPU 132且包括快取記憶體、時脈電路、輸入/輸出子系統、電源及類似者,以及上述的組合。在一些實施例中,系統控制器130進一步包括一或更多個電腦可讀取媒體(未圖示)。
電腦可讀取媒體包括位於系統控制器130本端或遠端的任何裝置,此能夠儲存可由計算裝置擷取的資訊。可與本揭示案的實施例一起使用的電腦可讀取媒體的實例包括固態記憶體、軟碟、內部或外部硬碟機,以及光學記憶體(CD、DVD、BR-D等)。在可包括本文描述的一或更多個實施例或與之組合的一個實施例中,電腦可讀取媒體包括記憶體134。當由CPU 132執行時,軟體常式將CPU 132轉換成專用電腦,在此為系統控制器130,控制系統102的操作,使得根據本揭示案的實施例執行製程。在一些實施例中,藉由位於系統102遠端的第二控制器(未圖示)儲存及/或執行軟體常式。在一些實施例中,本文描述的製程或製程的部分由一或更多個特殊應用積體電路(application specific integrated circuit; ASIC)或其他類型的硬體實現來執行。在一些實施例中,藉由軟體常式、ASIC及/或其他類型的硬體實現的組合來執行本文描述的製程。儲存在記憶體內的軟體常式包括程式碼,程式碼可由CPU 132執行以便執行與本文描述的偏壓與箝位方案及方法相關的各種功能。
第2圖係在第1圖的系統102中執行的製程的偏壓及箝位方案的示意性電路圖。使用電路圖中所示的電路200對偏壓與箝位電路108及電漿處理腔室120的互動的主要態樣模型化。實際上,本文描述的偏壓與箝位方案與處理體積170(如第1圖所示)中的電漿的互動可伴有複雜的物理現象。
如第2圖所示,電路200包括位於電漿處理腔室120內的部件及位於偏壓與箝位電路108內的部件。電極110(如第1圖所示)、ESC 122(如第1圖所示)的介電材料及基板130(如第1圖所示)在電路200中由電容器202表示。形成於處理區域170(如第1圖所示)中的電漿鞘204在電路200中由三部分電路元件表示:a)二極體206,當導電時表示電漿鞘崩潰,b)電流源208,表示電漿鞘存在的情況下流動的離子電流,及c)電容器210,表示用於偏壓及箝位循環的主要部分(約90%)的電漿鞘,亦即,離子電流階段,在此期間發生離子加速及蝕刻(或沉積)。
偏壓與箝位電路108、電極110(如第1圖所示)及將偏壓與箝位電路108與電極110連接在一起的外部電導體(諸如電纜)具有接地的一些組合寄生電容,此在電路200中由寄生電容器212表示。外部電導體可為同軸傳輸線,此在電路200中由電感器215表示。
偏壓與箝位電路108包括整形DC脈衝電壓源106及箝位網路160,如第2圖所示。在節點N3與接地節點GND之間連接整形DC脈衝電壓源106。在節點N3與節點N1之間進一步連接電容器218。箝位網路160至少包括二極體216、電容器222、DC電壓源214及電阻器220。亦在節點N1與接地節點GND之間連接箝位網路160。在節點N1與節點N2之間連接二極體216及電阻器220,以及在節點N2與接地節點GND之間連接DC電壓源214及電容器222。使用整形DC脈衝電壓源106或脈衝式偏壓產生器在電極110(如第1圖所示)處建立脈衝式偏壓波形。使用DC電壓源214及電極216建立實質恆定的箝位電壓,此箝位電壓為跨電容器202(例如,跨電極110、ESC 122的介電材料及基板130(如第1圖所示))的電壓差。
第3A圖圖示使用第2圖的電路200的第一電壓波形302及第二電壓波形304。參看第2圖及第3A圖,在系統102(如第1圖所示)的操作期間,第一電壓波形302為基板130(如第1圖所示)處的電壓。將第一電壓波形302的峰值電壓設定為等於來自電漿的基板130(如第1圖所示)的表面上的電壓。第二電壓波形304為電極110(如第1圖所示)處的電壓。利用二極體216,第二電壓波形304自動跟蹤第一電壓波形302。二極體216的存在維持第一波形302與第二波形304之間的恆定電壓差。如第3A圖所示,第一電壓波形302及第二電壓波形304具有恆定差,此為跨電極110、ESC 122的介電材料及基板130的恆定箝位電壓。換言之,恆定箝位電壓為第一電壓波形302與第二電壓波形304之間的差。
例如,將整形DC脈衝電壓源106設定為提供自0 kV至-4 kV的DC電壓的脈衝,及將DC電壓源214設定為提供-2 kV處的恆定DC電壓。當第一電壓波形302處於0 kV(亦即,高狀態)時,第二電壓波形304處於-2 kV,因為二極體216導通。跨電容器218建立-2 kV的壓降(節點N3處的電壓比節點N1處的電壓高2 kV)。當第一電壓波形302隨後自0 kV變為-4 kV(亦即,低狀態)時,由於跨電容器218的2 kV的壓降,節點N1處的電壓變為-6 kV,此電壓基本上為第二電壓波形304。二極體216在此刻不導通,因為二極體216為反向偏壓。當第一電壓波形302自-4 kV變回0 kV時,二極體216再次導通,將電極110處的電壓波形304設定回-2 kV。因此,藉由整形DC脈衝電壓源106、二極體216及DC電壓源214自動執行箝位電壓的偏壓補償。在一些實施例中,整形DC脈衝電壓源106可提供整形DC脈衝,此等整形DC脈衝具有比第3A圖所示的電壓波形302更複雜的波形,且在第3B圖中圖示實例。
第3B圖圖示使用第2圖的電路200的第一電壓波形306及第二電壓波形308。參看第2圖及第3B圖,在系統102(如第1圖所示)的操作期間,第一電壓波形306為基板130(如第1圖所示)處的電壓。將第一電壓波形306的峰值電壓設定為等於來自電漿的基板130(如第1圖所示)的表面上的電壓。第二電壓波形308為電極110(如第1圖所示)處的電壓。利用二極體216,第二電壓波形308自動跟蹤第一電壓波形306。二極體216的存在維持第一波形306與第二波形308之間的恆定電壓差。如第3B圖所示,第一電壓波形306及第二電壓波形308具有恆定差,此為跨電極110、ESC 122的介電材料及基板130的恆定箝位電壓。換言之,恆定箝位電壓為第一電壓波形306與第二電壓波形308之間的差。
第4圖至第7圖係在第1圖的系統中執行的製程的偏壓及箝位方案的示意性電路圖。電路400、500、600及700包括電漿處理腔室120中的部件及偏壓與箝位電路108中的部件。在一些實施例中,整形DC脈衝電壓源106輸出複數個短正脈衝的脈衝串,而不是長負脈衝的脈衝串。在此情況下,由於電壓波形302(如第3A圖所示)停止在更負的電壓狀態,可在脈衝串之間發生過箝位。隨後,基板可藉由離子電流自電流源208(如第2圖所示)放電,且電壓波形302返回0 kV。然而,由於電阻器220及電容器202、212及218的RC時間常數,與基板相比,電極110需要更長的時間來放電。作為結果,電壓波形304的電壓量值保持較高,並且發生基板的過箝位。電路500、600及700提供一種解決方案,以避免在脈衝的每個脈衝串末端處的長放電時間。如第5圖所示,電路500包括具有開關502的偏壓與箝位電路108。在每個脈衝串的末端處,導通開關502以使電容器218及212在電路500中放電。
如第6圖所示,電路600包括具有整形DC脈衝電壓源網路602的偏壓與箝位電路108,此整形DC脈衝電壓源網路用於減少脈衝串之間的過度箝位。在每個脈衝串末端或在脈衝的脈衝串之間,第二整形DC脈衝電壓源603導通,以將多餘電荷自電容器202、212及218泵送至電容器604,使得留在電容器202、212及218中的電荷正好足以在電極110處維持預定箝位電壓。如第6圖所示,在節點N4與節點N5之間連接二極體216,及在節點N4與接地節點之間連接DC電壓源214。在節點N4與節點N5之間連接整形DC脈衝電壓源網路602。整形DC脈衝電壓源網路602包括串聯連接的整形DC脈衝電壓源603、二極體605及阻抗(例如,電容器604及電阻器606)。
類似地,如第7圖所示,電路700包括具有整形DC脈衝電壓源網路702的偏壓與箝位電路108,此整形DC脈衝電壓源網路用於減少脈衝串之間的過度箝位。在每個脈衝串結束時,第二整形DC脈衝電壓源703導通,以將儲存在電容器202、212及218中的多餘電荷放電。使用電路500、600及700中的一者,箝位電壓可在脈衝串導通與脈衝串關斷狀態期間均保持恆定。如第7圖所示,在節點N6與節點N7之間連接二極體216,及節點N7與接地節點之間連接DC電壓源214。在節點N6與接地節點之間連接整形DC脈衝電壓源網路702。整形DC脈衝電壓源網路702包括整形DC脈衝電壓源703、二極體704、電阻器706、DC電壓源708、電阻器710及電容器712。在節點N6與節點N7之間連接整形DC脈衝電壓源703、二極體704及電阻器706,及在節點N7與接地節點之間連接DC電壓源708、電阻器710及電容器712。
當用脈衝式DC電壓偏壓基板時,偏壓與箝位電路自動維持基板上實質恆定的箝位電壓,導致改善了基板的箝位。偏壓與箝位電路包括DC電壓源、二極體、電容器及整形DC脈衝電壓源。二極體引發電極處的電壓跟蹤脈衝式偏置電壓。作為結果,基板上的箝位電壓為實質恆定的。
儘管前述內容係針對本揭示案的實施例,但在不脫離本揭示案的基本範疇的情況下,可設計本揭示案的其他及進一步實施例,並且本揭示案的範疇由隨附申請專利範圍決定。
100:基板支撐組件 102:系統 104:噴嘴 106:整形DC脈衝電壓源 108:偏壓與箝位電路 110:電極 112:電漿源 120:電漿處理腔室 122:ESC 130:系統控制器 132:中央處理單元 134:記憶體 136:支援電路 160:箝位網路 165:層 170:處理體積 175:腔室主體 180:腔室蓋 185:處理氣體源 190:RF電源 192:真空泵 194:真空出口 195:RF匹配電路 200:電路 202:電容器 204:電漿鞘 206:二極體 208:電流源 210:電容器 212:寄生電容器 214:DC電壓源 215:電感器 216:二極體 218:電容器 220:電阻器 222:電容器 302:第一電壓波形 304:第二電壓波形 306:第一電壓波形 308:第二電壓波形 400:電路 500:電路 502:開關 600:電路 602:整形DC脈衝電壓源網路 603:第二整形DC脈衝電壓源 604:電容器 605:二極體 606:電阻器 700:電路 702:整形DC脈衝電壓源網路 703:第二整形DC脈衝電壓源 704:二極體 706:電阻器 708:DC電壓源 710:電阻器 712:電容器 N1:節點 N2:節點 N3:節點 N4:節點 N5:節點 N6:節點 N7:節點
因此,為了可詳細理解本揭示案的上述特徵結構,可參照實施例更具體描述上文簡要概述的本揭示案,一些實施例圖示於隨附圖式中。然而,應注意,隨附圖式僅圖示示例性實施例,且因此不應視為對其範疇的限制,且可允許其他同等有效的實施例。
第1圖係用於偏壓及箝位基板的系統的示意性橫截面圖。
第2圖係在第1圖的系統中執行的製程的偏壓及箝位方案的示意性電路圖。
第3A圖及第3B圖圖示第2圖的電路的電壓波形。
第4圖至第7圖係在第1圖的系統中執行的製程的偏壓及箝位方案的示意性電路圖。
為了促進理解,已儘可能使用相同元件符號來指示諸圖共有的相同元件。應設想,一個實施例的元件及特徵可有利地併入其他實施例,而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
106:整形DC脈衝電壓源
108:偏壓與箝位電路
120:電漿處理腔室
160:箝位網路
200:電路
202:電容器
204:電漿鞘
206:二極體
208:電流源
210:電容器
212:寄生電容器
214:DC電壓源
215:電感器
216:二極體
218:電容器
220:電阻器
222:電容器
N1:節點
N2:節點
N3:節點

Claims (14)

  1. 一種用於在一處理腔室中箝位一基板的系統,該系統包含: 一基板支撐組件,該基板支撐組件包含一電極;及 一偏壓與箝位電路,該偏壓與箝位電路耦接至該電極並經配置成產生該電極與該基板之間的一箝位電壓,該偏壓與箝位電路包含一第一整形直流(DC)脈衝電壓源、一二極體及一DC電壓源,其中該第一整形直流脈衝電壓源、該二極體及該DC電壓源經配置成偏壓該電極與該基板之間的該箝位電壓。
  2. 如請求項1所述之系統,其中該第一整形DC脈衝電壓源經配置成向該電極提供在一第一電壓與一第二電壓之間轉換的一DC電壓脈衝,且該DC電壓源經配置成通過該二極體向該電極提供一第三電壓,其中該第三電壓小於該第一電壓且大於該第二電壓。
  3. 如請求項2所述之系統,其中當該DC電壓源具有該第一電壓時,該二極體經配置成導通且在該電極處的一電壓為該第三電壓。
  4. 如請求項3所述之系統,其中當該DC電壓脈衝具有該第二電壓時,該二極體為反向偏壓且在該電極處的該電壓為該第二電壓與該第三電壓的一組合。
  5. 如請求項1所述之系統,其中該二極體與該DC電壓源串聯耦接,且其中該二極體和該DC電壓源與該第一整形DC脈衝電壓源並聯安置。
  6. 如請求項5所述之系統,其中該偏壓與箝位電路進一步包含: 一電容器,該電容器經安置在該第一整形DC脈衝電壓源與該二極體之間,及 一開關,該開關經配置成在該第一整形DC脈衝電壓源的一脈衝之後使該電容器放電。
  7. 如請求項5所述之系統,其中該偏壓與箝位電路進一步包含一整形DC脈衝電壓源網路,該整形DC脈衝電壓源網路包含一第二整形DC脈衝電壓源和一電容器,該第二整形DC脈衝電壓源和該電容器經配置成減少該電極與該基板之間的電荷。
  8. 一種偏壓與箝位電路,其經配置成: 在一處理腔室的一基板支撐件內的一電極與一基板之間產生一箝位電壓,其中該偏壓與箝位電路包含一第一整形直流(DC)脈衝電壓源、一二極體及一DC電壓源,其中該第一整形直流脈衝電壓源、該二極體及該DC電壓源經配置成偏壓該電極與該基板之間的該箝位電壓。
  9. 如請求項8所述之偏壓與箝位電路,其中該第一整形DC脈衝電壓源經配置成向該電極提供在一第一電壓與一第二電壓之間轉換的一DC電壓脈衝,且該DC電壓源經配置成通過該二極體向該電極提供一第三電壓,其中該第三電壓小於該第一電壓且大於該第二電壓。
  10. 如請求項9所述之偏壓與箝位電路,其中當該DC電壓源具有該第一電壓時,該二極體經配置成導通且在該電極處的一電壓為該第三電壓。
  11. 如請求項10所述之偏壓與箝位電路,其中當該DC電壓脈衝具有該第二電壓時,該二極體為反向偏壓且在該電極處的該電壓為該第二電壓與該第三電壓的一組合。
  12. 如請求項8所述之偏壓與箝位電路,其中該二極體與該DC電壓源串聯耦接,且其中該二極體和該DC電壓源與該第一整形DC脈衝電壓源並聯安置。
  13. 如請求項12所述之偏壓與箝位電路,進一步包含: 一電容器,該電容器經安置在該第一整形DC脈衝電壓源與該二極體之間,及 一開關,該開關經配置成在該第一整形DC脈衝電壓源的一脈衝之後使該電容器放電。
  14. 如請求項12所述之偏壓與箝位電路,進一步包含: 一整形DC脈衝電壓源網路,該整形DC脈衝電壓源網路包含一第二整形DC脈衝電壓源和一電容器,該第二整形DC脈衝電壓源和該電容器經配置成減少該電極與該基板之間的電荷。
TW112103845A 2018-11-20 2019-09-11 用於脈衝式dc偏壓及箝位基板的系統及方法 TW202322181A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/197,006 2018-11-20
US16/197,006 US11476145B2 (en) 2018-11-20 2018-11-20 Automatic ESC bias compensation when using pulsed DC bias

Publications (1)

Publication Number Publication Date
TW202322181A true TW202322181A (zh) 2023-06-01

Family

ID=70727122

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112103845A TW202322181A (zh) 2018-11-20 2019-09-11 用於脈衝式dc偏壓及箝位基板的系統及方法
TW108132682A TWI794539B (zh) 2018-11-20 2019-09-11 用於脈衝式dc偏壓及箝位基板的系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108132682A TWI794539B (zh) 2018-11-20 2019-09-11 用於脈衝式dc偏壓及箝位基板的系統及方法

Country Status (6)

Country Link
US (1) US11476145B2 (zh)
JP (1) JP7377264B2 (zh)
KR (1) KR102701241B1 (zh)
CN (1) CN112868083B (zh)
TW (2) TW202322181A (zh)
WO (1) WO2020106347A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20240007234A (ko) * 2021-05-12 2024-01-16 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) * 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (592)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS463216Y1 (zh) * 1968-02-12 1971-02-04
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
JPS6017193B2 (ja) * 1978-06-28 1985-05-01 松下電器産業株式会社 直流再生回路
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
JP3201223B2 (ja) * 1995-07-17 2001-08-20 株式会社日立製作所 プラズマ処理方法および装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) * 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
WO2000017920A1 (fr) 1998-09-18 2000-03-30 Tokyo Electron Limited Procede de traitement au plasma
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
SE9804417L (sv) 1998-12-18 1999-12-20 Foersvarets Forskningsanstalt Ett SAR-radarsystem
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
TW480759B (en) 1999-03-18 2002-03-21 Seiko Epson Corp Electronic machine, charged electronic machine and control method of electronic machine
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
WO2000063459A1 (en) 1999-04-17 2000-10-26 Advanced Energy Industries, Inc. Method and apparatus for deposition of diamond like carbon
FR2792732B1 (fr) 1999-04-23 2001-06-08 Schneider Electric Sa Cellule optique bichromatique
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
JP2003506826A (ja) 1999-08-02 2003-02-18 アドバンスド エナジー インダストリーズ, インコーポレイテッド イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
KR100750420B1 (ko) 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
US6563076B1 (en) * 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) * 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
DE10015244C2 (de) * 2000-03-28 2002-09-19 Fraunhofer Ges Forschung Verfahren und Schaltungsanordnung zur pulsförmigen Energieeinspeisung in Magnetronentladungen
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP3563054B2 (ja) 2000-09-29 2004-09-08 株式会社日立製作所 プラズマ処理装置および方法
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002052628A1 (fr) 2000-12-26 2002-07-04 Tokyo Electron Limited Procede et appareil de traitement au plasma
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
ES2208530T3 (es) 2001-04-27 2004-06-16 European Community Metodo y aparato para el tratamiento secuencial por plasma.
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6577113B2 (en) * 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
ATE557418T1 (de) 2001-10-31 2012-05-15 Tokyo Electron Ltd Verfahren zum ätzen von merkmalen mit hohem streckungsverhältnis
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) * 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US6967305B2 (en) * 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
WO2005052606A1 (ja) 2003-11-28 2005-06-09 Advantest Corporation デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
CN102256431B (zh) 2004-06-21 2014-09-17 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
KR20080107473A (ko) 2004-11-04 2008-12-10 가부시키가이샤 알박 정전 척 장치
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
EP1708239B1 (de) 2005-03-30 2011-03-02 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US9355824B2 (en) 2006-12-12 2016-05-31 Evatec Ag Arc suppression and pulsing in high power impulse magnetron sputtering (HIPIMS)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
WO2009069670A1 (ja) 2007-11-26 2009-06-04 Tokyo Electron Limited 微小構造体検査装置および微小構造体検査方法
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
KR101538531B1 (ko) 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
CN102474971B (zh) 2009-08-07 2015-03-04 株式会社京三制作所 脉冲调制高频功率控制方法以及脉冲调制高频电源装置
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101757920B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US9592090B2 (en) 2010-03-11 2017-03-14 Medtronic Advanced Energy Llc Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR101783077B1 (ko) 2010-06-11 2017-09-28 도쿄엘렉트론가부시키가이샤 화학 증착 제어용 장치 및 방법
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
EP2611465A4 (en) 2010-08-31 2014-06-04 Theraclone Sciences Inc NEUTRALIZING ANTI-VIRUS ANTIBODIES FOR HUMAN IMMUNODEFICIENCY (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
EP2625777B1 (de) * 2010-10-05 2017-11-08 ABB Schweiz AG Umrichterschaltung
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9076831B2 (en) * 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
WO2013114882A1 (ja) 2012-02-01 2013-08-08 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
WO2013125523A1 (ja) 2012-02-20 2013-08-29 東京エレクトロン株式会社 電源システム、プラズマエッチング装置及びプラズマエッチング方法
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
EP2837687B1 (en) 2012-03-30 2017-02-22 Toray Industries, Inc. Method for producing chemical by means of continuous fermentation and continuous fermentation device
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
DK3403995T3 (da) 2012-08-15 2021-04-19 Lockheed Martin Energy Llc Jernhexacyanider med høj opløselighed
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
CN107978506B (zh) 2012-08-28 2021-07-09 先进工程解决方案全球控股私人有限公司 控制开关模式离子能量分布系统的方法
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9287098B2 (en) * 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
US20140262755A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN105555990B (zh) 2013-07-17 2018-01-09 先进能源工业公司 在脉冲双磁控管溅射(dms)工艺中平衡靶消耗的系统和方法
KR20180110213A (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
EP4210223A1 (en) 2013-11-14 2023-07-12 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102099525B1 (ko) 2014-03-24 2020-04-09 에이이에스 글로벌 홀딩스 피티이 리미티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) * 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
WO2016014138A1 (en) 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
JP6698033B2 (ja) 2014-12-25 2020-05-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
WO2017095890A1 (en) 2015-11-30 2017-06-08 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
WO2017172536A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10438797B2 (en) 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
WO2018126223A1 (en) 2016-12-30 2018-07-05 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN111048394A (zh) 2017-01-05 2020-04-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
WO2018183874A1 (en) 2017-03-31 2018-10-04 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
CN110998820B (zh) 2017-08-17 2023-10-20 东京毅力科创株式会社 用于实时感测工业制造设备中的属性的装置和方法
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
CN111226309B (zh) 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
KR20200074961A (ko) 2017-11-16 2020-06-25 도쿄엘렉트론가부시키가이샤 동기화된 신호 변조를 통한 플라즈마 공정 시스템
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
EP3762711A4 (en) 2018-03-08 2021-11-17 Eagle Harbor Technologies, Inc. PRECISION EDDY CURRENT SENSOR FOR NON-DESTRUCTIVE STRUCTURAL EVALUATION
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
US11569072B2 (en) 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
WO2019245729A1 (en) 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
CN112805920A (zh) 2018-08-10 2021-05-14 鹰港科技有限公司 用于rf等离子体反应器的等离子体鞘控制
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
WO2020145051A1 (ja) 2019-01-09 2020-07-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
EP3977616A4 (en) 2019-05-24 2023-06-14 Eagle Harbor Technologies, Inc. KLYSTRON ATTACK CIRCUIT
CN114041203A (zh) 2019-07-02 2022-02-11 鹰港科技有限公司 纳秒脉冲器射频隔离
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11108384B2 (en) 2019-09-25 2021-08-31 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
CN114930488A (zh) 2019-12-24 2022-08-19 鹰港科技有限公司 用于等离子体系统的纳秒脉冲发生器rf隔离
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
KR20210065192A (ko) 2021-06-03
US20200161155A1 (en) 2020-05-21
KR102701241B1 (ko) 2024-08-29
TW202036647A (zh) 2020-10-01
CN112868083A (zh) 2021-05-28
WO2020106347A1 (en) 2020-05-28
JP2022510584A (ja) 2022-01-27
JP7377264B2 (ja) 2023-11-09
US11476145B2 (en) 2022-10-18
TWI794539B (zh) 2023-03-01
CN112868083B (zh) 2024-04-16

Similar Documents

Publication Publication Date Title
TWI794539B (zh) 用於脈衝式dc偏壓及箝位基板的系統及方法
US11462388B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
KR102539151B1 (ko) 기판 처리 방법
CN112997401A (zh) 高压滤波器组件
JP7516657B2 (ja) プラズマ処理用途のためのパルス電圧源
TWI846014B (zh) 電壓脈衝的時域多工
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
TW202312218A (zh) 具有離子能量控制的電漿激發
JP7344821B2 (ja) プラズマ処理装置
KR20240134238A (ko) 펄스화 dc 바이어스 사용 시 자동 esc 바이어스 보상
US20240153741A1 (en) Multi-shape voltage pulse trains for uniformity and etch profile tuning
US11328900B2 (en) Plasma ignition circuit
TWI851602B (zh) 高壓濾波器組件
US20220336222A1 (en) Method of enhancing etching selectivity using a pulsed plasma