CN112868083B - 使用脉冲式dc偏压时的自动esc偏压补偿 - Google Patents

使用脉冲式dc偏压时的自动esc偏压补偿 Download PDF

Info

Publication number
CN112868083B
CN112868083B CN201980068179.5A CN201980068179A CN112868083B CN 112868083 B CN112868083 B CN 112868083B CN 201980068179 A CN201980068179 A CN 201980068179A CN 112868083 B CN112868083 B CN 112868083B
Authority
CN
China
Prior art keywords
node
voltage source
voltage
clamping
shaped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980068179.5A
Other languages
English (en)
Other versions
CN112868083A (zh
Inventor
J·罗杰斯
L·崔
L·多尔夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112868083A publication Critical patent/CN112868083A/zh
Application granted granted Critical
Publication of CN112868083B publication Critical patent/CN112868083B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本公开的实施例总体上涉及一种在半导体制造中使用的系统。更具体地,本公开的实施例涉及一种用于脉冲式DC偏压和箝位基板的系统。在一个实施例中,系统包括等离子体腔室,所述等离子体腔室具有ESC以用于支撑基板。电极嵌入在ESC中并电耦合至偏压与箝位电路。偏压与箝位电路至少包括整形DC脉冲电压源和箝位网络。箝位网络包括DC电压源和二极管,以及电阻器。整形DC脉冲电压源与箝位网络并联连接。当用脉冲式DC电压偏压基板时,偏压与箝位网络自动维持基本上恒定的箝位电压,所述箝位电压是跨电极与基板的压降,从而导致改良了基板的箝位。

Description

使用脉冲式DC偏压时的自动ESC偏压补偿
背景技术
技术领域
本公开的实施例总体上涉及一种在半导体制造中使用的系统。更具体地,本公开的实施例涉及一种用于偏压和箝位基板的系统。
相关技术说明
离子轰击通常用作等离子体蚀刻中的化学和物理工艺以及等离子体增强化学气相沉积(PECVD)工艺的活化能来源,以用于处理半导体基板。由等离子体壳层加速的高能离子还是高度定向的,并且可用于蚀刻高深宽比特征。常规地,可使用来自射频(RF)源的RF功率来偏压基板。RF源将RF电压供应给嵌入在静电卡盘(ESC)中的第一电极。通过陶瓷层将第一电极电容耦合至处理系统的等离子体,陶瓷层是ESC的一部分。等离子体壳层的非线性的、类似二极管的特性导致所施加的RF场的整流,使得在基板与等离子体之间出现直流(DC)压降或自偏压。此压降确定朝向基板加速的离子的平均能量。
ESC通过向嵌入在ESC中的第二电极施加固定DC电压以在ESC与基板之间建立电场来紧固设置在ESC上的基板。电场感应相反极性电荷,分别在基板和第二电极上累积。相反极化的电荷之间的静电引力将基板拉向ESC以紧固基板。然而,静电力可受到供应给ESC中的第一电极的RF偏压功率的影响,导致基板箝位不足或箝位过度。另外,随着大偏压变为数千伏,自偏压相对于固定DC电压的波动可导致电弧放电或突然去箝位和损坏基板的风险增加。对于产生脉冲的非常高的偏压功率(千伏(kV)范围),这尤其是一个问题。
因此,需要一种用于偏压和箝位基板的改良的系统。
发明内容
本公开的实施例总体上涉及一种在半导体制造中使用的系统。更具体地,本公开的实施例涉及一种用于脉冲式DC偏压和箝位基板的系统。在一个实施例中,系统包括等离子体工艺腔室和耦接至等离子体工艺腔室的偏压与箝位电路。偏压与箝位电路包括第一整形DC脉冲电压源和并联连接至整形DC脉冲电压源的箝位网络。箝位网络包括DC电压源和二极管。
在另一实施例中,一种系统包括等离子体工艺腔室和耦接至等离子体工艺腔室的偏压与箝位电路。偏压与箝位电路被配置成向设置在等离子体工艺腔室中的基板提供脉冲式偏压电压和恒定箝位电压。
在另一实施例中,一种方法包括脉冲式DC偏压和箝位设置在静电卡盘上的基板,所述静电卡盘设置在等离子体工艺腔室中。在基本上恒定的电压下箝位基板。脉冲式DC偏压和箝位基板包括向基板提供第一电压,第一电压为脉冲式,以及向嵌入在静电卡盘中的电极提供第二电压。基本上恒定的电压为第一电压与第二电压之间的差。
附图说明
因此,为了可详细理解本公开的上述特征的方式,可参照实施例来对上文简要概述的本公开进行更具体的描述,所述实施例中的一些实施例在附图中示出。然而,应注意,附图仅示出示例性实施例,并且因此不应视为对其范围的限制,并且可允许其他同等有效的实施例。
图1是用于偏压和箝位基板的系统的示意性横截面图。
图2是在图1的系统中执行的工艺的偏压和箝位方案的示意性电路图。
图3A和图3B示出图2的电路的电压波形。
图4至图7是在图1的系统中执行的工艺的偏压和箝位方案的示意性电路图。
为了促进理解,已尽可能使用相同附图标记来指示附图共有的相同元件。构想到一个实施例的元件和特征可有利地并入其他实施例,而无需进一步叙述。
具体实施方式
本公开的实施例总体上涉及一种在半导体制造中使用的系统。更具体地,本公开的实施例涉及一种用于偏压和箝位基板的系统。在一个实施例中,系统包括等离子体腔室,所述等离子体腔室具有ESC以用于支撑基板。电极嵌入在ESC中并电耦合至偏压与箝位电路。偏压与箝位电路至少包括整形DC脉冲电压源和箝位网络。箝位网络包括DC电压源和二极管。整形DC脉冲电压源与箝位网络并联连接。当用脉冲式DC电压偏压基板时,箝位网络自动维持基本上恒定的箝位电压,所述箝位电压是跨电极与基板的恒定压降,从而导致改良了基板的箝位。
图1是用于偏压和箝位基板的系统102的示意性横截面图。系统102包括等离子体工艺腔室120和偏压与箝位电路108。在可包括本文描述的一个或多个实施例或与本文描述的一个或多个实施例组合的一个实施例中,如图1所示,等离子体工艺腔室120为反应性离子蚀刻(RIE)等离子体腔室。在一些实施例中,等离子体工艺腔室120为PECVD腔室、等离子体增强物理气相沉积(PEPVD)腔室或等离子体增强原子层沉积(PEALD)腔室。在一些实施例中,等离子体工艺腔室120为等离子体处置腔室,或基于等离子体的离子注入腔室,例如等离子体掺杂(PLAD)腔室。在本文中,等离子体工艺腔室120包括电耦合至RF电源190的等离子体源112,诸如电感耦合等离子体(ICP)源。在一些实施例中,等离子体源112为电容耦合等离子体(CCP)源,诸如设置在面向基板支撑件的处理空间中的等离子体电极,其中等离子体电极电耦合至RF电源。
等离子体工艺腔室120包括腔室主体175和腔室盖180,腔室主体175和腔室盖180界定处理空间170。经由喷嘴104将一种或多种处理气体从处理气体源185引入到处理空间170中。将等离子体源112设置在处理空间170外侧的腔室盖180之上,在一个实施例中等离子体源112为一个或多个感应线圈。经由RF匹配电路195将等离子体源112电耦合至RF电源190。RF电源190和等离子体源112用于使用处理空间170中的处理气体和感应能量来点燃和维持等离子体。通过真空出口194将处理空间170流体地耦接至一个或多个真空泵192,这将处理空间170维持在低于大气压的条件下,并且从处理空间170中抽空处理气体和/或其他气体。基板支撑组件100设置在处理空间170中。基板支撑组件100包括ESC 122以用于支撑和紧固基板130。ESC 122设置在一个或多个层165上。
在一些实施例中,一个或多个层165包括设置在一个或多个层165中的一个或多个流体导管(未示出),所述流体导管流体地耦接至冷却剂源(未示出)并与冷却剂源流体连通,冷却剂源诸如具有相对高电阻的制冷剂源或水源,以控制基板支撑组件100的温度。ESC122由介电材料形成,诸如块状烧结的陶瓷材料,诸如耐腐蚀金属氧化物或金属氮化物材料,例如氧化铝(Al2O3)、氮化铝(AlN)、氧化钛(TiO)、氮化钛(TiN)、氧化钇(Y2O3)、它们的混合物或它们的组合。ESC 122包括嵌入在ESC 122中的电极110。电极110用于偏压和箝位基板130两者。电极110由导电材料形成,诸如一个或多个金属网、箔、板或它们的组合。
将电极110电耦合至偏压与箝位电路108。偏压与箝位电路108包括整形DC脉冲电压源106和箝位网络160。当由整形DC脉冲电压源106用脉冲式DC电压来偏压基板130时,箝位网络160自动维持基本上恒定的箝位电压,所述箝位电压为跨电极110与基板130的恒定压降,从而导致改良了基板的箝位。在图2、图4、图5和图6中详细描述了偏压与箝位电路108。
系统102进一步包括系统控制器130。系统控制器130包括中央处理单元(CPU)132、存储器134和支持电路136。系统控制器130用于控制用于处理基板130的工艺序列,包括本文描述的基板偏压和箝位。CPU 132是配置用于在工业设置中使用以用于控制系统102和与其相关的子处理器的通用计算机处理器。存储器134包括随机存取存储器、只读存储器、软盘或硬盘驱动器,或本地或远程的其他适当形式的数字储存。支持电路136耦接至CPU 132并且包括高速缓存、时钟电路、输入/输出子系统、电源等,以及它们的组合。在一些实施例中,系统控制器130进一步包括一个或多个计算机可读介质(未示出)。
计算机可读介质包括位于系统控制器130本地或远程的任何装置,所述装置能够储存可由计算装置检取的信息。可与本公开的实施例一起使用的计算机可读介质的示例包括固态存储器、软盘、内部或外部硬盘驱动器、以及光学存储器(CD、DVD、BR-D等)。在可包括本文描述的一个或多个实施例或与本文描述的一个或多个实施例组合的一个实施例中,计算机可读介质包括存储器134。软件例程在由CPU 132执行时将CPU 132转换成专用计算机,在本文中为系统控制器130,系统控制器130控制系统102的操作,使得根据本公开的实施例来执行工艺。在一些实施例中,通过位于系统102远程的第二控制器(未示出)来储存和/或执行软件例程。在一些实施例中,本文描述的工艺或工艺的部分由一个或多个专用集成电路(ASIC)或其他类型的硬件实现来执行。在一些实施例中,通过软件例程、ASIC和/或其他类型的硬件实现的组合来执行本文描述的工艺。储存在存储器内的软件例程包括程序代码,所述程序代码可由CPU 132执行以便执行与本文描述的偏压和箝位方案和方法相关联的各种功能。
图2是在图1的系统102中执行的工艺的偏压和箝位方案的示意性电路图。电路图中所示的电路200用于对偏压与箝位电路108和等离子体工艺腔室120的交互的主要方面进行建模。实际上,本文描述的偏压和箝位方案与处理空间170(如图1所示)中的等离子体的交互可伴有复杂的物理现象。
如图2所示,电路200包括位于等离子体工艺腔室120内的部件和位于偏压与箝位电路108内的部件。电极110(如图1所示)、ESC 122(如图1所示)的介电材料、以及基板130(如图1所示)在电路200中由电容器202表示。形成在处理区域170(如图1所示)中的等离子体壳层204在电路200中由三部分电路元件表示,所述三部分电路元件包括:a)二极管206,在导通时表示等离子体壳层崩溃,b)电流源208,表示在等离子体壳层存在的情况下流动的离子电流,以及c)电容器210,表示用于偏压和箝位循环的主要部分(约90%)(即,离子电流阶段,在离子电流阶段期间发生离子加速和蚀刻(或沉积))的等离子体壳层。
偏压与箝位电路108、电极110(如图1所示)和将偏压与箝位电路108和电极110连接在一起的外部电导体(诸如电缆)具有对接地的一些组合寄生电容,这在电路200中由寄生电容器212来表示。外部电导体可为同轴传输线,这在电路200中由电感器215表示。
偏压与箝位电路108包括整形DC脉冲电压源106和箝位网络160,如图2所示。在节点N3与接地节点GND之间连接整形DC脉冲电压源106。在节点N3与节点N1之间进一步连接电容器218。箝位网络160至少包括二极管216、电容器222、DC电压源214和电阻器220。还在节点N1与接地节点GND之间连接箝位网络160。在节点N1与节点N2之间连接二极管216和电阻器220,以及在节点N2与接地节点GND之间连接DC电压源214和电容器222。整形DC脉冲电压源106或脉冲式偏压发生器用于在电极110(如图1所示)处建立脉冲式偏压波形。DC电压源214和二极管216用于建立基本上恒定的箝位电压,所述箝位电压为跨电容器202(例如,跨电极110、ESC 122的介电材料和基板130(如图1所示))的电压差。
图3A示出使用图2的电路200的第一电压波形302和第二电压波形304。参考图2和图3A,在系统102(如图1所示)的操作期间,第一电压波形302为基板130(如图1所示)处的电压。将第一电压波形302的峰值电压设定为等于来自等离子体的基板130(如图1所示)的表面上的电压。第二电压波形304为电极110(如图1所示)处的电压。利用二极管216,第二电压波形304自动跟踪第一电压波形302。二极管216的存在维持第一波形302与第二波形304之间的恒定电压差。如图3A所示,第一电压波形302和第二电压波形304具有恒定差,这是跨电极110、ESC 122的介电材料和基板130的恒定箝位电压。换言之,恒定箝位电压为第一电压波形302与第二电压波形304之间的差。
例如,将整形DC脉冲电压源106设定为提供自0kV至-4kV的DC电压的脉冲,并将DC电压源214设定为提供-2kV的恒定DC电压。当第一电压波形302处于0kV(即,高状态)时,第二电压波形304处于-2kV,因为二极管216导通。跨电容器218建立-2kV的压降(节点N3处的电压比节点N1处的电压高2kV)。当第一电压波形302随后从0kV变为-4kV(即,低状态)时,由于跨电容器218的2kV的压降,节点N1处的电压变为-6kV,此电压本质上为第二电压波形304。二极管216在此刻不导通,因为二极管216为反向偏压。当第一电压波形302从-4kV变回0kV时,二极管216再次导通,将电极110处的电压波形304设定回-2kV。因此,通过整形DC脉冲电压源106、二极管216和DC电压源214来自动执行箝位电压的偏压补偿。在一些实施例中,整形DC脉冲电压源106可提供整形DC脉冲,所述整形DC脉冲具有比图3A所示的电压波形302更复杂的波形,并且在图3B中示出示例。
图3B示出使用图2的电路200的第一电压波形306和第二电压波形308。参考图2和图3B,在系统102(如图1所示)的操作期间,第一电压波形306为基板130(如图1所示)处的电压。将第一电压波形306的峰值电压设定为等于来自等离子体的基板130(如图1所示)的表面上的电压。第二电压波形308为电极110(如图1所示)处的电压。利用二极管216,第二电压波形308自动跟踪第一电压波形306。二极管216的存在维持第一波形306与第二波形308之间的恒定电压差。如图3B所示,第一电压波形306和第二电压波形308具有恒定差,这是跨电极110、ESC 122的介电材料和基板130的恒定箝位电压。换言之,恒定箝位电压为第一电压波形306与第二电压波形308之间的差。
图4至图7是在图1的系统中执行的工艺的偏压和箝位方案的示意性电路图。电路400、500、600和700包括等离子体工艺腔室120中的部件和偏压与箝位电路108中的部件。在一些实施例中,整形DC脉冲电压源106输出多个短正脉冲的脉冲串,而不是长负脉冲的脉冲串。在此情况下,由于电压波形302(如图3A所示)停止在更负的电压状态,所以可能在脉冲串之间发生过箝位。随后,基板可通过离子电流从电流源208(如图2所示)放电,并且电压波形302返回0kV。然而,由于电阻器220和电容器202、212和218的RC时间常数,所以与基板相比,电极110花费更长的时间来放电。作为结果,电压波形304的电压幅值保持在高,并且发生基板的过箝位。电路500、600和700提供一种解决方案,以避免在脉冲的每个脉冲串末端处的长放电时间。如图5所示,电路500包括具有开关502的偏压与箝位电路108。在每个脉冲串的末端处,导通开关502以使电容器218和212在电路500中放电。
如图6所示,电路600包括具有整形DC脉冲电压源网络602的偏压与箝位电路108,整形DC脉冲电压源网络602用于减少脉冲串之间的过度箝位。在每个脉冲串末端或在脉冲的脉冲串之间,第二整形DC脉冲电压源603导通,以将多余电荷从电容器202、212和218泵送至电容器604,使得留在电容器202、212和218中的电荷正好足以在电极110处维持预定的箝位电压。如图6所示,在节点N4与节点N5之间连接二极管216,并在节点N4与接地节点之间连接DC电压源214。在节点N4与节点N5之间连接整形DC脉冲电压源网络602。整形DC脉冲电压源网络602包括串联连接的整形DC脉冲电压源603、二极管605和阻抗(例如,电容器604和电阻器606)。
类似地,如图7所示,电路700包括具有整形DC脉冲电压源网络702的偏压与箝位电路108,整形DC脉冲电压源网络702用于减少脉冲串之间的过度箝位。在每个脉冲串结束时,第二整形DC脉冲电压源703导通,以将储存在电容器202、212和218中的多余电荷放电。使用电路500、600和700中的一者,箝位电压可在脉冲串导通与脉冲串关断状态期间均保持恒定。如图7所示,在节点N6与节点N7之间连接二极管216,并在节点N7与接地节点之间连接DC电压源214。在节点N6与接地节点之间连接整形DC脉冲电压源网络702。整形DC脉冲电压源网络702包括整形DC脉冲电压源703、二极管704、电阻器706、DC电压源708、电阻器710和电容器712。在节点N6与节点N7之间连接整形DC脉冲电压源703、二极管704和电阻器706,并在节点N7与接地节点之间连接DC电压源708、电阻器710和电容器712。
当用脉冲式DC电压偏压基板时,偏压与箝位电路自动维持基板上基本上恒定的箝位电压,导致改善了基板的箝位。偏压与箝位电路包括DC电压源、二极管、电容器和整形DC脉冲电压源。二极管使电极处的电压跟踪脉冲式偏压电压。作为结果,基板上的箝位电压为基本上恒定的。
尽管前述内容是针对本公开的实施例,但在不脱离本公开的基本范围的情况下,可设计本公开的其他和进一步实施例,并且本公开的范围由所附权利要求确定。

Claims (17)

1.一种用于偏压与箝位基板的系统,包含:
等离子体工艺腔室,所述等离子体工艺腔室包含基板支撑组件,所述基板支撑组件包含静电卡盘,其中所述静电卡盘包含介电材料和嵌入在所述介电材料中的电极,其中第一整形DC脉冲电压源和箝位网络连接至所述电极;以及
偏压与箝位电路,所述偏压与箝位电路耦接至所述等离子体工艺腔室,所述偏压与箝位电路包含:
第一整形DC脉冲电压源,所述第一整形DC脉冲电压源输出脉冲串;以及
箝位网络,所述箝位网络在第一节点与接地节点之间与所述第一整形DC脉冲电压源并联,所述箝位网络包含:
直流电压源,所述直流电压源耦合到所述接地节点和第二节点;
二极管,所述二极管耦合到所述第一节点和所述第二节点,所述直流电压源和所述二极管用于建立恒定的箝位电压;
电容器,所述电容器耦合到所述接地节点和所述第二节点;以及
电阻器,所述电阻器耦合到所述第一节点和所述第二节点并且与所述二极管并联。
2.如权利要求1所述的系统,其中所述等离子体工艺腔室包含:
腔室主体;
腔室盖,其中所述腔室主体与所述腔室盖界定处理空间;并且
所述基板支撑组件设置在所述处理空间中。
3.如权利要求2所述的系统,其中所述偏压与箝位电路被配置成向设置在所述等离子体工艺腔室中的所述基板提供脉冲式偏压电压和恒定箝位电压。
4.如权利要求1所述的系统,其中所述偏压与箝位电路进一步包含与所述二极管并联的第二整形DC脉冲电压源,所述第二整形DC脉冲电压源在每个脉冲串末端或在脉冲串之间导通,以将储存在所述电容器中的多余电荷放电。
5.如权利要求1所述的系统,其中所述偏压与箝位电路进一步包含与所述二极管并联的开关,所述开关在每个脉冲串末端导通,以将所述电容器在所述电路中放电。
6.一种用于偏压与箝位基板的系统,包含:
等离子体工艺腔室;以及
耦接至所述等离子体工艺腔室的偏压与箝位电路,所述偏压与箝位电路被配置成向设置在所述等离子体工艺腔室中的所述基板提供脉冲式偏压电压和恒定箝位电压,其中所述偏压与箝位电路包含:
第一整形DC脉冲电压源,所述第一整形DC脉冲电压源输出脉冲串;以及
箝位网络,所述箝位网络在第一节点与接地节点之间与所述第一整形DC脉冲电压源并联,其中所述箝位网络包含:
第一二极管,所述第一二极管连接在所述第一节点与第二节点之间;
第一直流电压源,所述第一直流电压源连接在所述第二节点与所述接地节点之间,所述第一直流电压源和所述第一二极管用于建立恒定的箝位电压;
第一电容器,所述第一电容器耦合到所述接地节点和所述第二节点;以及
第一电阻器,所述第一电阻器耦合到所述第一节点和所述第二节点并且与所述第一二极管并联。
7.如权利要求6所述的系统,其中所述偏压与箝位电路进一步包含第一整形DC脉冲电压源网络,并且其中在所述第一节点与所述第二节点之间连接所述第一整形DC脉冲电压源网络。
8.如权利要求7所述的系统,其中所述第一整形DC脉冲电压源网络包含串联连接的第二整形DC脉冲电压源、第二二极管和阻抗。
9.如权利要求6所述的系统,其中所述偏压与箝位电路进一步包含第二整形DC脉冲电压源网络,并且其中在所述第一节点与所述接地节点之间连接所述第二整形DC脉冲电压源网络。
10.如权利要求9所述的系统,其中所述第二整形DC脉冲电压源网络包含第三整形DC脉冲电压源、第三二极管、第二电阻器、第二直流电压源、第三电阻器和第二电容器,其中在所述第一节点与所述第二节点之间连接所述第三整形DC脉冲电压源、所述第三二极管和所述第二电阻器,并且其中所述第三整形DC脉冲电压源和所述第三二极管串联并且所述第二电阻器与所述第三整形DC脉冲电压源和所述第三二极管的连接并联,并且其中在所述第二节点与所述接地节点之间连接所述第二直流电压源、所述第三电阻器和所述第二电容器,并且其中所述第二直流电压源和所述第三电阻器串联并且所述第二电容器与所述第二直流电压源和所述第三电阻器的连接并联。
11.一种用于偏压与箝位基板的方法,包含:
利用偏压与箝位电路来对设置在静电卡盘上的所述基板进行偏压和箝位,所述静电卡盘设置在等离子体工艺腔室中,在基本上恒定的电压下箝位所述基板,对所述基板进行偏压和箝位包含:
向所述基板提供第一电压,所述第一电压为脉冲式;以及
向嵌入在所述静电卡盘中的电极提供第二电压,所述基本上恒定的电压为所述第一电压与所述第二电压之间的差,其中所述方法由所述偏压与箝位电路执行,所述偏压与箝位电路包含:
第一整形DC脉冲电压源,所述第一整形DC脉冲电压源输出脉冲串;以及
箝位网络,所述箝位网络在第一节点与接地节点之间与所述第一整形DC脉冲电压源并联,所述箝位网络包含:
直流电压源,所述直流电压源耦合到所述接地节点和第二节点;
二极管,所述二极管耦合到所述第一节点和所述第二节点,所述直流电压源和所述二极管用于建立恒定的箝位电压;
电容器,所述电容器耦合到所述接地节点和所述第二节点;以及
电阻器,所述电阻器耦合到所述第一节点和所述第二节点并且与所述二极管并联。
12.如权利要求11所述的方法,其中由第一整形DC脉冲电压源和箝位网络来执行所述偏压和箝位所述基板,并且由所述第一整形DC脉冲电压源来提供所述第一电压。
13.如权利要求12所述的方法,其中当所述第一电压处于高状态时,所述二极管导通,并且当所述第一电压处于低状态时,所述二极管处于反向偏压模式。
14.如权利要求12所述的方法,其中所述第一电压包含正脉冲的脉冲串。
15.如权利要求14所述的方法,其中所述箝位网络包含与所述二极管并联的开关,并且所述开关在所述正脉冲的脉冲串之间导通,以将所述电容器在所述电路中放电。
16.如权利要求15所述的方法,其中所述箝位网络包括与所述二极管并联的第二整形DC脉冲电压源,并且所述第二整形DC脉冲电压源在所述正脉冲的脉冲串之间导通,以将储存在所述电容器中的多余电荷放电。
17.如权利要求12所述的方法,其中所述第一电压包含负脉冲的脉冲串。
CN201980068179.5A 2018-11-20 2019-08-27 使用脉冲式dc偏压时的自动esc偏压补偿 Active CN112868083B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/197,006 2018-11-20
US16/197,006 US11476145B2 (en) 2018-11-20 2018-11-20 Automatic ESC bias compensation when using pulsed DC bias
PCT/US2019/048392 WO2020106347A1 (en) 2018-11-20 2019-08-27 Automatic esc bias compensation when using pulsed dc bias

Publications (2)

Publication Number Publication Date
CN112868083A CN112868083A (zh) 2021-05-28
CN112868083B true CN112868083B (zh) 2024-04-16

Family

ID=70727122

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980068179.5A Active CN112868083B (zh) 2018-11-20 2019-08-27 使用脉冲式dc偏压时的自动esc偏压补偿

Country Status (6)

Country Link
US (1) US11476145B2 (zh)
JP (1) JP7377264B2 (zh)
KR (1) KR20210065192A (zh)
CN (1) CN112868083B (zh)
TW (2) TWI794539B (zh)
WO (1) WO2020106347A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) * 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20240007234A (ko) * 2021-05-12 2024-01-16 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) * 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS463216Y1 (zh) * 1968-02-12 1971-02-04
JPS555552A (en) * 1978-06-28 1980-01-16 Matsushita Electric Ind Co Ltd Dc reproducing circuit
JPH0936086A (ja) * 1995-07-17 1997-02-07 Hitachi Ltd プラズマ処理方法および装置
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
CN102612738A (zh) * 2009-11-19 2012-07-25 朗姆研究公司 用于检测等离子体处理系统中等离子体约束状态的方法及装置
CN104885349A (zh) * 2012-11-01 2015-09-02 先进能源工业公司 缓冲器系统、装置和方法
CN108447759A (zh) * 2013-03-15 2018-08-24 朗姆研究公司 使用模型确定与等离子体系统关联的离子能量

Family Cites Families (583)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (zh) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) * 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
EP1119033A4 (en) 1998-09-18 2004-11-17 Tokyo Electron Ltd PLASMA PROCESSING
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
SE9804417L (sv) 1998-12-18 1999-12-20 Foersvarets Forskningsanstalt Ett SAR-radarsystem
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
TW480759B (en) 1999-03-18 2002-03-21 Seiko Epson Corp Electronic machine, charged electronic machine and control method of electronic machine
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
FR2792732B1 (fr) 1999-04-23 2001-06-08 Schneider Electric Sa Cellule optique bichromatique
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
JP4672941B2 (ja) 1999-07-13 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマを発生させるための高周波電源
EP1282909A1 (en) 1999-08-02 2003-02-12 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6563076B1 (en) 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) * 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
JP5165825B2 (ja) 2000-01-10 2013-03-21 東京エレクトロン株式会社 分割された電極集合体並びにプラズマ処理方法。
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP4718093B2 (ja) 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
DE10015244C2 (de) * 2000-03-28 2002-09-19 Fraunhofer Ges Forschung Verfahren und Schaltungsanordnung zur pulsförmigen Energieeinspeisung in Magnetronentladungen
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
KR100842947B1 (ko) 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
PT1253216E (pt) 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6577113B2 (en) * 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) * 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US6967305B2 (en) * 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US7633319B2 (en) 2003-11-28 2009-12-15 Advantest Corporation Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
CN102263026B (zh) 2004-06-21 2016-01-20 东京毅力科创株式会社 等离子体处理装置和方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
JP5323317B2 (ja) 2004-11-04 2013-10-23 株式会社アルバック 静電チャック方法
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
EP1701376B1 (de) 2005-03-10 2006-11-08 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
ATE500604T1 (de) 2005-03-30 2011-03-15 Huettinger Elektronik Gmbh Vakuumplasmagenerator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
DE502006005363D1 (de) 2006-11-23 2009-12-24 Huettinger Elektronik Gmbh Verfahren zum Erkennen einer Bogenentladung in einem Plasmaprozess und Bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071732A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
ATE493749T1 (de) 2007-03-08 2011-01-15 Huettinger Elektronik Gmbh Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
WO2009012735A1 (de) 2007-07-23 2009-01-29 Hüttinger Elektronik Gmbh + Co. Kg Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
EP2221614A1 (en) 2007-11-26 2010-08-25 Tokyo Electron Limited Microstructure inspecting device, and microstructure inspecting method
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
DE112009000518T5 (de) 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
JP5891341B2 (ja) 2009-01-13 2016-03-23 ヘルスセンシング株式会社 プラズマ生成装置及び方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
WO2011156055A1 (en) 2010-06-11 2011-12-15 Tokyo Electron Limited Apparatus and method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
WO2012030904A2 (en) 2010-08-31 2012-03-08 Theraclone Sciences, Inc. Human immunodeficiency virus (hiv)-neutralizing antibodies
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
JP5905473B2 (ja) * 2010-10-05 2016-04-20 アーベーベー・テヒノロギー・アーゲー コンバータ回路
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
WO2012122064A1 (en) 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
WO2012170364A1 (en) 2011-06-10 2012-12-13 Medtronic, Inc. Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI638587B (zh) 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9209034B2 (en) 2012-02-01 2015-12-08 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
CN104106127B (zh) 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
JP6201753B2 (ja) 2012-03-30 2017-09-27 東レ株式会社 連続発酵による化学品の製造方法および連続発酵装置
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
EP2885248B1 (en) 2012-08-15 2018-08-22 Lockheed Martin Energy, LLC High solubility iron hexacyanides
KR101860182B1 (ko) 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
JP6154820B2 (ja) 2012-11-01 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20160004475A1 (en) 2013-02-28 2016-01-07 Hitachi, Ltd Management system and method of dynamic storage service level monitoring
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN105555990B (zh) 2013-07-17 2018-01-09 先进能源工业公司 在脉冲双磁控管溅射(dms)工艺中平衡靶消耗的系统和方法
CN105408993A (zh) 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
KR102152811B1 (ko) 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) * 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10373755B2 (en) 2015-11-30 2019-08-06 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
KR102649333B1 (ko) 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
WO2017172536A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
WO2018048925A1 (en) 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10268846B2 (en) 2016-12-30 2019-04-23 Eagle Harbor Technologies, Inc. High voltage inductive adder
CN108281342B (zh) 2017-01-05 2020-01-21 东京毅力科创株式会社 等离子体处理装置
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
WO2018144452A1 (en) 2017-02-02 2018-08-09 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
EP4266579A3 (en) 2017-02-07 2023-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
CN110431655A (zh) 2017-03-17 2019-11-08 东京毅力科创株式会社 用于蚀刻度量改进的表面改性控制
CN117200759A (zh) 2017-03-31 2023-12-08 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
TWI775862B (zh) 2017-05-30 2022-09-01 美商泰坦先進能源解決公司 電池壽命評估和容量恢復
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
KR102557528B1 (ko) 2017-08-17 2023-07-19 도쿄엘렉트론가부시키가이샤 산업용 제조 장비에서 특성을 실시간 감지하기 위한 장치 및 방법
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102466195B1 (ko) 2017-08-25 2022-11-11 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition
KR102514231B1 (ko) 2017-10-30 2023-03-24 엔지케이 인슐레이터 엘티디 정전 척 및 그 제조법
JP6894000B2 (ja) 2017-11-06 2021-06-23 日本碍子株式会社 静電チャックアセンブリ及び静電チャック
WO2019099102A1 (en) 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
KR20200100643A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 시스템에서 변조 공급기들의 개선된 적용
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
CN112106169A (zh) 2018-05-03 2020-12-18 应用材料公司 用于基座的rf接地配置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
WO2019245729A1 (en) 2018-06-18 2019-12-26 Tokyo Electron Limited Reduced interference, real-time sensing of properties in manufacturing equipment
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
KR20210042939A (ko) 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
EP3881423A4 (en) 2018-11-14 2022-08-03 AES Global Holdings, Pte. Ltd. ADDITIVE SYNTHESIS OF INTERLEAVED SWITCH MODE POWER STAGES FOR MINIMUM DELAY IN SETPOINT TRACKING
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
KR20210111841A (ko) 2019-01-08 2021-09-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 회로의 효율적 에너지 회수
SG11202107162UA (en) 2019-01-09 2021-07-29 Tokyo Electron Ltd Plasma treatment device and plasma treatment method
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
WO2021003319A1 (en) 2019-07-02 2021-01-07 Eagle Harbor Technologies. Inc Nanosecond pulser rf isolation
US11887812B2 (en) 2019-07-12 2024-01-30 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
WO2021062223A1 (en) 2019-09-25 2021-04-01 Eagle Harbor Technologies, Inc. Nonlinear transmission line high voltage pulse sharpening with energy recovery
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS463216Y1 (zh) * 1968-02-12 1971-02-04
JPS555552A (en) * 1978-06-28 1980-01-16 Matsushita Electric Ind Co Ltd Dc reproducing circuit
JPH0936086A (ja) * 1995-07-17 1997-02-07 Hitachi Ltd プラズマ処理方法および装置
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
CN102612738A (zh) * 2009-11-19 2012-07-25 朗姆研究公司 用于检测等离子体处理系统中等离子体约束状态的方法及装置
CN104885349A (zh) * 2012-11-01 2015-09-02 先进能源工业公司 缓冲器系统、装置和方法
CN108447759A (zh) * 2013-03-15 2018-08-24 朗姆研究公司 使用模型确定与等离子体系统关联的离子能量

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
A study on the etching characteristics of magnetic tunneling junction materials using DC pulse-biased inductively coupled plasmas;Kyung Chae Yang et al;《Japanese Journal of Applied Physics》;第54卷(第01AE01期);1-7 *

Also Published As

Publication number Publication date
WO2020106347A1 (en) 2020-05-28
KR20210065192A (ko) 2021-06-03
US20200161155A1 (en) 2020-05-21
TWI794539B (zh) 2023-03-01
JP2022510584A (ja) 2022-01-27
JP7377264B2 (ja) 2023-11-09
CN112868083A (zh) 2021-05-28
TW202322181A (zh) 2023-06-01
US11476145B2 (en) 2022-10-18
TW202036647A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
CN112868083B (zh) 使用脉冲式dc偏压时的自动esc偏压补偿
US11462388B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
JP2023145535A (ja) 電流帰還出力ステージを有するパルス発生器を使用してイオンエネルギー分布を制御する方法
CN112997401A (zh) 高压滤波器组件
EP3648553B1 (en) Plasma treatment device
US11476090B1 (en) Voltage pulse time-domain multiplexing
US11569066B2 (en) Pulsed voltage source for plasma processing applications
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
KR20230026506A (ko) 기판 프로세싱을 위한 펄스식 전압 부스트
US11328900B2 (en) Plasma ignition circuit
US20240153741A1 (en) Multi-shape voltage pulse trains for uniformity and etch profile tuning
CN117425945A (zh) 等离子体腔室和腔室部件清洁方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant