JP7377264B2 - パルスdcバイアスを使用した場合の自動esc静電気バイアス補償 - Google Patents

パルスdcバイアスを使用した場合の自動esc静電気バイアス補償 Download PDF

Info

Publication number
JP7377264B2
JP7377264B2 JP2021527884A JP2021527884A JP7377264B2 JP 7377264 B2 JP7377264 B2 JP 7377264B2 JP 2021527884 A JP2021527884 A JP 2021527884A JP 2021527884 A JP2021527884 A JP 2021527884A JP 7377264 B2 JP7377264 B2 JP 7377264B2
Authority
JP
Japan
Prior art keywords
node
voltage source
voltage
bias
shaped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021527884A
Other languages
English (en)
Other versions
JP2022510584A (ja
Inventor
ジェームズ ロジャース,
リンイン サイ,
レオニド ドルフ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022510584A publication Critical patent/JP2022510584A/ja
Application granted granted Critical
Publication of JP7377264B2 publication Critical patent/JP7377264B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/248Components associated with high voltage supply
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

[0001]本開示の実施形態は、概して、半導体製造に使用されるシステムに関する。より具体的には、本開示の実施形態は、基板をバイアス且つクランプするためのシステムに関する。
[0002]イオン衝突は、半導体基板を処理するためのプラズマエッチング及びプラズマ化学気相堆積(PECVD)プロセスにおける化学的及び物理的プロセスの活性化エネルギー源として使用されることが多い。プラズマシースによって加速した高エネルギーイオンは、さらに指向性が高く、高アスペクト比のフィーチャのエッチングに使用することができる。従来、基板は、RF源からの高周波(RF)電力を使用してバイアスすることができる。RF源は、静電チャック(electrostatic chuck:ESC)に埋め込まれた第1の電極にRF電圧を供給する。第1の電極は、ESCの一部であるセラミックの層を介して、処理システムのプラズマに容量連結される。プラズマシースの非線形な、ダイオードのような性質が、印加されたRF電界の整流をもたらし、その結果、基板とプラズマとの間に直流(DC)電圧降下、又は自己バイアスが現れる。この電圧降下が、基板に向かって加速したイオンの平均エネルギーを決定する。
[0003]ESCは、ESCに埋め込まれた第2の電極に一定のDC電圧を印加して、ESCと基板との間に電界を確立することによって、その上に配置された基板を固定する。電界は、基板と第2の電極にそれぞれ蓄積する反対の極性の電荷を誘起する。反対の極性の電荷間の静電引力が、基板をESCに向けて引っ張り、基板を固定する。しかしながら、静電力は、ESCにおける第1の電極に供給されるRFバイアス電力によって影響される可能性があり、基板をクランプする力が弱くなるか、又は過剰に強くなる。さらに、大きなバイアス電圧が数キロボルトになると、一定の直流電圧に対する自己バイアス電圧の変動が、アーキング又は基板の突然の脱着及び破損のリスク増大を招き得る。これは、パルス化している非常に高いバイアス電力(キロボルト(kV)範囲)で特に問題となる。
[0004]したがって、基板をバイアス且つクランプするための改良されたシステムが必要とされている。
[0005]本開示の実施形態は、概して、半導体製造に使用されるシステムに関する。より具体的には、本開示の実施形態は、基板をパルスDCバイアス且つクランプするためのシステムに関する。一実施形態では、当該システムは、プラズマ処理チャンバ、並びにプラズマ処理チャンバに連結されたバイアス及びクランプ回路を含む。バイアス及びクランプ回路は、第1の成形されたDCパルス電圧源、及び成形されたDCパルス電圧源に並列に接続されたクランプネットワークを含む。クランプネットワークは、DC電圧源、及びダイオードを含む。
[0006]別の実施形態では、当該システムは、プラズマ処理チャンバ、並びにプラズマ処理チャンバに連結されたバイアス及びクランプ回路を含む。バイアス及びクランプ回路は、パルス化されたバイアス電圧及び一定のクランプ電圧を、プラズマ処理チャンバ内に配置された基板に印加するように構成されている。
[0007]別の実施形態では、当該方法は、プラズマ処理チャンバ内に配置された静電チャック上に配置された基板をパルスDCバイアス且つクランプすることを含む。基板は、実質的に一定の電圧でクランプされる。基板をパルスDCバイアス且つクランプすることは、パルス化された第1の電圧を基板に印加することと、第2の電圧を、静電チャックに埋め込まれた電極に印加することを含む。実質的に一定の電圧は、第1の電圧と第2の電圧との間の差である。
[0008]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって、得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかし、添付図面は例示的な実施形態のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
基板をバイアス且つクランプするためのシステムの概略断面図である。 図1のシステムにおいて実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。 図2の回路による電圧波形を示す。 図1のシステムにおいて実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。 図1のシステムにおいて実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。 図1のシステムにおいて実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。 図1のシステムにおいて実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。
[0013]理解を容易にするために、図に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。一実施形態の要素及び特徴は、さらなる記述がなくても、他の実施形態に有益に組み込むことができると考えられている。
[0014]本開示の実施形態は、概して、半導体製造において使用されるシステムに関する。より具体的には、本開示の実施形態は、基板をバイアス且つクランプするためのシステムに関する。一実施形態では、システムは、基板を支持するためのESCを有する処理チャンバを含む。電極は、ESCに埋め込まれ、バイアス及びクランプ回路に電気的に連結される。バイアス及びクランプ回路は、少なくとも、成形されたDCパルス電圧源、及びクランプネットワークを含む。クランプネットワークは、DC電圧源、及びダイオードを含む。成形されたDCパルス電圧源とクランプネットワークは並列に接続されている。クランプネットワークは、自動的に実質的に一定のクランプ電圧を維持する。これは、基板がパルスDC電圧でバイアスされたときに、電極と基板にわたる一定の電圧降下であり、基板のクランピングの改善につながる。
[0015]図1は、基板をバイアス且つクランプするためのシステム102の概略断面図である。システム102は、プラズマ処理チャンバ120、並びにバイアス及びクランプ回路108を含む。図1に示すように、本明細書に記載された1つ又は複数の実施形態を含むか、又はそれらと組み合わせることができる一実施形態では、プラズマ処理チャンバ120は、反応性イオンエッチング(RIE)プラズマチャンバである。幾つかの実施形態では、プラズマ処理チャンバ120は、PECVDチャンバ、プラズマ強化物理的気相堆積(PEPVD)チャンバ、又はプラズマ強化原子層堆積(PEALD)チャンバである。幾つかの実施形態では、プラズマ処理チャンバ120は、プラズマトリートメントチャンバ、又はプラズマベースのイオン注入チャンバ(例えば、プラズマドーピング(PLAD)チャンバ)である。ここで、プラズマ処理チャンバ120は、RF電源190に電気的に連結された、誘導結合プラズマ(ICP)源のようなプラズマ源112を含む。幾つかの実施形態では、プラズマ源112は、容量結合プラズマ(CCP)源(例えば、基板支持体に対向する、処理領域内に配置されたプラズマ電極であって、RF電源に電気的に連結されたプラズマ電極)である。
[0016]プラズマ処理チャンバ120は、処理空間170をチャンバ本体175及びチャンバリッド180を含む。1つ又は複数の処理ガスが、処理ガス源185からノズル104を介して処理空間170へと導入される。プラズマ源112は、一実施形態では1つ又は複数の誘導コイルであり、処理空間170の外側でチャンバリッド180の上に配置される。プラズマ源112は、RF整合回路195を介して、RF電源190に電気的に連結される。RF電源190及びプラズマ源112を使用して、処理空間170内の処理ガスと誘導エネルギーを利用して、プラズマを点火し維持する。処理空間170は、真空排出口194を通して、1つ又は複数の真空ポンプ192に流体的に連結される。真空排出口は、処理空間170を準大気状態に維持し、処理ガス及び/又はその他のガスをそこから排気する。基板支持アセンブリ100が、処理空間170内に配置される。基板支持アセンブリ100は、基板130を支持し固定するためのESC122を含む。ESC122は、1つ又は複数の層165上に配置される。
[0017]幾つかの実施形態では、1つ又は複数の層165は、流体導管(図示せず)を含む。この流体導管は、1つ又は複数の層165の中に配置され、冷却源(図示せず)に流体連結されるか、又はそれと流体連通する。この冷却源は、例えば、基板支持アセンブリ100の温度を制御するために比較的高い電気抵抗を有する冷媒源又は水源である。ESC122は、誘電材料(例えば、耐食性金属酸化物材料又は金属窒化物材料などのバルク焼結セラミック材料)から形成され、これは、例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y)、これらの混合物、又はこれらの組合せである。ESC122には、電極110が埋め込まれている。電極110は、基板130のバイアスとクランプの両方に利用される。電極110は、導電性材料(例えば、1つ又は複数の金属メッシュ、箔、プレート、又はこれらの組合せ)から形成される。
[0018]電極110は、バイアス及びクランプ回路108に電気的に連結される。バイアス及びクランプ回路108は、成形されたDCパルス電圧源106、及びクランプネットワーク160を含む。クランプネットワーク160は、自動的に実質的に一定のクランプ電圧を維持する。これは、成形されたDCパルス電圧源106によって基板がパルスDC電圧でバイアスされたとき、電極110と基板130にわたる一定の電圧降下であり、基板のクランプの改善につながる。バイアス及びクランプ回路108は、図2、図4、図5、及び図6に詳述される。
[0019]システム102は、システムコントローラ130をさらに含む。システムコントローラ130は、中央処理装置(CPU)132、メモリ134、及び支持回路136を含む。システムコントローラ130は、基板130を処理するために使用されるプロセスシーケンス(本明細書に記載された基板のバイアス及びクランプを含む)を制御するために使用される。CPU132は、システム102及びそれに関連するサブプロセッサを制御するために産業用設定で使用されるように構成された汎用コンピュータプロセッサである。メモリ134は、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー若しくはハードディスクドライブ、又はローカル若しくはリモートの他の適切な形態のデジタルストレージを含む。支持回路136は、CPU132に連結され、キャッシュ、クロック回路、入出力サブシステム、電源等、及びこれらの組合せを含む。幾つかの実施形態では、システムコントローラ130は、1つ又は複数のコンピュータ可読媒体(図示せず)をさらに含む。
[0020]コンピュータ可読媒体は、システムコントローラ130からローカル又はリモートのいずれかに位置する任意のデバイスを含み、このデバイスは、コンピュータデバイスによって検索可能な情報を記憶することができる。本開示の実施形態で使用可能なコンピュータ可読媒体の例には、ソリッドステートメモリ、フロッピーディスク、内部又は外部ハードドライブ、及び光学メモリ(CD、DVD、BR-D等)が含まれる。本明細書に記載された1つ又は複数の実施形態を含むことができ、又はこれらと組み合わせることができる一実施形態では、コンピュータ可読媒体は、メモリ134を含む。ソフトウェアルーチンは、CPU132によって実行されると、CPU132を、本開示の実施形態に従ってプロセスが実行されるように、システム102の動作を制御する特定の目的のコンピュータ(本明細書ではシステムコントローラ130)に変換する。幾つかの実施形態では、ソフトウェアルーチンは、システム102からリモートに位置する第2のコントローラ(図示せず)によって記憶及び/又は実行される。幾つかの実施形態では、本明細書に記載されたプロセス、又はその一部は、1つ又は複数の特定用途向け集積回路(ASIC)又は他の種類のハードウェア実装形態によって実行される。幾つかの実施形態では、本明細書に記載されたプロセスは、ソフトウェアルーチン、ASIC、及び/又は他の種類のハードウェア実装形態の組合せによって実行される。メモリ内に記憶されるソフトウェアルーチンは、バイアス及びクランプスキーム並びに本明細書に記載された方法に関連する様々な機能を実行するために、CPU132によって実行され得るプログラムコードを含む。
[0021]図2は、図1のシステム102において実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。回路図に示される回路200は、バイアス及びクランプ回路108とプラズマ処理チャンバ120との相互作用の主な態様をモデル化するために使用される。実際には、本明細書に記載されるバイアス及びクランプスキームと、(図1に示されるような)処理空間170内のプラズマとの相互作用は、複雑な物理現象を伴うことがある。
[0022]図2に示されるように、回路200は、プラズマ処理チャンバ120内に位置する構成要素、並びにバイアス及びクランプ回路108内に位置する構成要素を含む。電極110(図1に図示)、ESC122の誘電体材料(図1に図示)、及び基板130(図1に図示)は、回路200ではコンデンサ202によって表される。処理領域170(図1に図示)内に形成されるプラズマシース204は、回路200では、3部回路素子、すなわち、a)導通時にプラズマシース崩壊(plasma sheath collapse)を表すダイオード206、b)プラズマシースの存在下で流れるイオン電流を表す電流源208、並びにc)バイアス及びクランプサイクルの主部分(~90%)(すなわち、イオン加速及びエッチング(又は堆積)が生じる間のイオン電流フェーズ)のためのプラズマシースを表すコンデンサ210を含む。
[0023]バイアス及びクランプ回路108、電極110(図1に図示)、並びにバイアス及びクランプ回路108と電極110とを共に接続する外部電気伝導体(例えば、電気ケーブル)は、接地への何らかの組み合わされた寄生容量を有しており、これは、回路200では寄生コンデンサ212によって表される。外部電気伝導体は、回路200ではインダクタ215によって表される同軸伝送線であってもよい。
[0024]バイアス及びクランプ回路108は、図2に示すように、成形されたDCパルス電圧源106、及びクランプネットワーク160を含む。成形されたDCパルス電圧源106は、ノードN3と接地ノードGNDとの間に接続される。コンデンサ218が、ノードN3とノードN1との間にさらに接続されている。クランプネットワーク160は、少なくとも、ダイオード216、コンデンサ222、DC電圧源214、及び抵抗器220を含む。クランプネットワーク160は、ノードN1と接地ノードGNDとの間にさらに接続されている。ダイオード216及び抵抗器220は、ノードN1とノードN2との間に接続され、DC電圧源214及びコンデンサ222は、ノードN2と接地ノードGNDとの間に接続されている。成形されたDCパルス電圧源106、又はパルスバイアス発生器を使用して、電極110(図1に図示)においてパルスバイアス電圧波形を確立する。DC電圧源214及びダイオード216を使用して、実質的に一定のクランプ電圧を確立する。このクランプ電圧は、コンデンサ202にわたる(例えば、(図1に示すように)電極110、ESC122の誘電体材料、及び基板130にわたる)電圧差である。
[0025]図3Aは、図2の回路200を使用する第1の電圧波形302及び第2の電圧波形304を示す。図2及び図3Aを参照すると、(図1に示されるように)システム102の動作中、第1の電圧波形302は、(図1に示されるように)基板130における電圧である。第1の電圧波形302のピーク電圧は、プラズマからの(図1に示す)基板130の表面上の電圧に等しくなるよう設定される。第2の電圧波形304は、(図1に示す)電極110における電圧である。ダイオード216により、第2の電圧波形304は、第1の電圧波形302を自動的に追跡する。ダイオード216の存在により、第1の波形302と第2の波形304との間に一定の電圧差が維持される。図3Aに示されるように、第1の電圧波形302及び第2の電圧波形304は、一定の差を有しており、これは、電極110、ESC122の誘電体材料、及び基板130にわたる一定のクランプ電圧である。言い換えると、一定のクランプ電圧は、第1の電圧波形302と第2の電圧波形304との間の差である。
[0026]例えば、成形されたDCパルス電圧源106は、0kVから-4kVまでのDC電圧のパルスを印加するように設定され、DC電圧源214は、-2kVで一定のDC電圧を印加するように設定される。第1の電圧波形302が0kV(すなわち、高状態)にあるとき、第2の電圧波形304は、ダイオード216が導通しているので、-2kVにある。-2kVの電圧降下が、コンデンサ218にわたって蓄積される(ノードN3の電圧は、ノードN1の電圧より2kV高い)。次いで、第1の電圧波形302が、0kVから-4kV(すなわち、低状態)へと変化したとき、コンデンサ218にわたる2kVの電圧降下のために、実質的に第2の電圧波形304であるノードN1の電圧が-6kVへと変化する。この時点では、ダイオード216は逆バイアスされているので、ダイオード216は導通していない。第1の電圧波形302が-4kVから0kVに戻ると、ダイオード216は再び導通状態になり、電極110における電圧波形304が-2kVへと再設定される。したがって、クランプ電圧のバイアス補償は、成形されたDCパルス電圧源106、ダイオード216、及びDC電圧源214によって自動的に行われる。幾つかの実施形態では、成形されたDCパルス電圧源106は、図3Aに示す電圧波形302よりも複雑な波形を有する成形されたDCパルスを供給し得る。その一例を図3Bに示す。
[0027]図3Bは、図2の回路200を使用する、第1の電圧波形306及び第2の電圧波形308を示す。図2及び3Bを参照すると、(図1に示されるように)システム102の動作中、第1の電圧波形306は、(図1に示されるように)基板130における電圧である。第1の電圧波形306のピーク電圧は、プラズマからの(図1に示す)基板130の表面上の電圧に等しくなるよう設定される。第2の電圧波形308は、(図1に示す)電極110における電圧である。ダイオード216により、第2の電圧波形308は、第1の電圧波形306を自動的に追跡する。ダイオード216の存在により、第1の波形306と第2の波形308との間に一定の電圧差が維持される。図3Bに示すように、第1の電圧波形306及び第2の電圧波形308は、一定の差を有しており、これは、電極110、ESC122の誘電体材料、及び基板130にわたる一定のクランプ電圧である。言い換えると、一定のクランプ電圧は、第1の電圧波形306と第2の電圧波形308との間の差である。
[0028]図4から図7は、図1のシステムにおいて実行されるプロセスのためのバイアス及びクランプスキームの概略回路図である。回路400、500、600、及び700は、プラズマ処理チャンバ120内の構成要素、並びにバイアス及びクランプ回路108内の構成要素を含む。幾つかの実施形態では、成形されたDCパルス電圧源106は、長い負パルスのバーストではなく、複数の短い正パルスのバーストを出力する。この場合、(図3Aに示されるように)電圧波形302がより負の電圧状態で停止するため、バースト間に過剰に強いクランプ(over clamping)が生じ得る。次いで、基板は、(図2に示されるように)電流源208からのイオン電流によって放電され得、電圧波形302は0kVに戻る。しかしながら、抵抗器220、並びにコンデンサ202、212、及び218のRC時定数のため、電極110は、基板と比べて放電に長い時間を要する。その結果、電圧波形304の電圧の大きさが高く留まり、基板の過剰に強いクランプが生じる。回路500、600、及び700は、パルスの各バーストの終了時における長い放電時間を回避するための解決策を提供する。図5に示されるように、回路500は、スイッチ502を有するバイアス及びクランプ回路108を含む。各バーストの終了時、回路500内のコンデンサ218及び212を放電させるために、スイッチ502がオンにされる。
[0029]図6に示すように、回路600は、バースト間の過剰に強いクランプを低減するために利用される成形されたDCパルス電圧源ネットワーク602を有するバイアス及びクランプ回路108を含む。各バーストの終了時に、又はパルスのバーストの間に、第2の成形されたDCパルス電圧源603がオンになり、コンデンサ202、212、及び218からコンデンサ604への過剰な電荷をポンピングし、その結果、コンデンサ202、212、及び218に残留した電荷は、電極110における所定のクランプ電圧を維持するのにちょうど十分である。図6に示すように、ダイオード216が、ノードN4とノードN5との間に接続され、DC電圧源214が、ノードN4と接地ノードとの間に接続される。成形されたDCパルス電圧源ネットワーク602は、ノードN4とノードN5との間に接続される。成形されたDCパルス電圧源ネットワーク602は、直列に接続された成形されたDCパルス電圧源603、ダイオード605、及びインピーダンス(例えば、コンデンサ604及び抵抗器606)を含む。
[0030]同様に、図7に示すように、回路700は、バースト間の過剰に強いクランプを低減するために利用される成形されたDCパルス電圧源ネットワーク702を有するバイアス及びクランプ回路108を含む。各バーストの終了時、第2の成形されたDCパルス電圧源703がオンになり、コンデンサ202、212、及び218に蓄積された過剰な電荷を放電する。回路500、600、及び700のうちの1つを使用して、バーストオン状態及びバーストオフ状態の両方の間、クランプ電圧を一定に留めることができる。図7に示すように、ダイオード216が、ノードN6とノードN7との間に接続され、DC電圧源214が、ノードN7と接地ノードとの間に接続されている。成形されたDCパルス電圧源ネットワーク702が、ノードN6と接地ノードとの間に接続されている。成形されたDCパルス電圧源ネットワーク702は、成形されたDCパルス電圧源703、ダイオード704、抵抗器706、DC電圧源708、抵抗器710、及びコンデンサ712を含む。成形されたDCパルス電圧源703、ダイオード704、及び抵抗器706が、ノードN6とノードN7との間に接続され、DC電圧源708、抵抗器710、及びコンデンサ712が、ノードN7と接地ノードとの間に接続されている。
[0031]バイアス及びクランプ回路は、基板がパルスDC電圧でバイアスされると、基板上に実質的に一定のクランプ電圧を自動的に維持し、これは基板のクランプを改善することにつながる。バイアス及びクランプ回路は、DC電圧源、ダイオード、コンデンサ、及び成形されたDCパルス電圧源を含む。ダイオードは、電極における電圧に、パルスバイアス電圧を追跡させる。その結果、基板上のクランプ電圧は、実質的に一定である。
[0032]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び追加の実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (16)

  1. システムであって、
    プラズマ処理チャンバ、並びに
    前記プラズマ処理チャンバに連結されたバイアス及びクランプ回路であって、パルス化された電圧及び一定のクランプ電圧を、前記プラズマ処理チャンバに配置された基板に印加するように構成され、前記バイアス及びクランプ回路は、
    第1の成形された直流(DC)パルス電圧源と
    前記第1の成形されたDCパルス電圧源に並列に接続されたクランプネットワークであって、
    接地ノードと第1のノードとの間に連結された、DC電圧源、
    第2のノードと前記第1のノードとの間に連結され、前記DC電圧源と直列に連結されたダイオード、及び
    前記第2のノードと前記第1のノードとの間に連結され、かつ前記ダイオードと並列に連結された、抵抗器、
    を備えたクランプネットワークと
    を備えたバイアス及びクランプ回路
    を備え
    前記プラズマ処理チャンバが、
    チャンバ本体、
    チャンバリッドであって、前記チャンバ本体と当該チャンバリッドが、処理空間を画定する、チャンバリッド、並びに
    前記処理空間内に配置された基板支持アセンブリ
    を備え、
    前記基板支持アセンブリが、静電チャックを備え、前記静電チャックが、誘電体材料及び前記誘電体材料に埋め込まれた電極を備え、前記第1の成形されたDCパルス電圧源及び前記クランプネットワークが、前記電極に接続されている、システム。
  2. 前記バイアス及びクランプ回路が、前記第1のノードに連結された第2の成形されたDCパルス電圧源をさらに備えている、請求項1に記載のシステム。
  3. 前記バイアス及びクランプ回路が、
    前記第2の成形されたDCパルス電圧源に連結されかつ前記第1のノードと前記第2のノードとの間に連結されたスイッチをさらに備えている、請求項に記載のシステム。
  4. システムであって、
    プラズマ処理チャンバ、並びに
    前記プラズマ処理チャンバに連結されたバイアス及びクランプ回路であって、パルス化されたバイアス電圧及び一定のクランプ電圧を、前記プラズマ処理チャンバ内に配置された基板に印加するように構成され、前記バイアス及びクランプ回路はクランプネットワークを備え、該クランプネットワークが、
    接地ノードと第1のノードとの間に連結された、第1の直流(DC)電圧源、
    第2のノードと前記第1のノードとの間に連結され、前記第1のDC電圧源と直列に連結されたダイオード、及び
    前記第2のノードと前記第1のノードとの間に連結され、かつ前記ダイオードと並列に連結された、抵抗器、
    を備えた、バイアス及びクランプ回路
    を備えており、
    前記バイアス及びクランプ回路が、第1の成形されたDCパルス電圧源ネットワークをさらに備え、前記第1の成形されたDCパルス電圧源ネットワークが、前記第1のノードと前記第2のノードとの間に接続されている、システム。
  5. 前記バイアス及びクランプ回路が、
    第1の成形されたDCパルス電圧源を備え、前記クランプネットワークが前記第1の成形されたDCパルス電圧源に並列に接続され、前記クランプネットワークがさらに、
    前記第1のノードと前記接地ノードとの間に接続された第1のコンデンサを備えている、請求項に記載のシステム。
  6. 前記バイアス及びクランプ回路が、第2の成形されたDCパルス電圧源ネットワークをさらに備え、前記第2の成形されたDCパルス電圧源ネットワークが、前記第2のノードと前記接地ノードとの間に接続されている、請求項に記載のシステム。
  7. 前記第2の成形されたDCパルス電圧源ネットワークが、第3の成形されたDCパルス電圧源、第3のダイオード、第2の抵抗器、第2の直流電圧源、第3の抵抗器、及び第2のコンデンサを備え、前記第3の成形されたDCパルス電圧源、前記第3のダイオード、及び前記第2の抵抗器が、前記第1のノードと前記第2のノードとの間に接続され、前記第2の直流電圧源、前記第3の抵抗器、及び前記第2のコンデンサが、前記第1のノードと前記接地ノードとの間に接続されている、請求項に記載のシステム。
  8. プラズマ処理チャンバ内に配置された静電チャック上に配置された基板をバイアス及びクランプすることであって、当該基板が、実質的に一定の電圧でクランプされ、当該基板をバイアス及びクランプすることが、
    バイアス及びクランプ回路から、パルス化された第1の電圧を基板に印加することと、
    前記バイアス及びクランプ回路から、第2の電圧を、前記静電チャックに埋め込まれた電極に印加することであって、前記実質的に一定の電圧が、前記第1の電圧と前記第2の電圧との間の差である、電極に印加すること
    を含み、前記バイアス及びクランプ回路はクランプネットワークを備え、該クランプネットワークが、
    接地ノードと第1のノードとの間に結合された、第1の直流(DC)電圧源、
    第2のノードと前記第1のノードとの間に結合され、前記第1のDC電圧源と直列に結合されたダイオード、及び
    前記第2のノードと前記第1のノードとの間に結合され、前記ダイオードと並列に結合された、抵抗器、
    を備えた、
    方法。
  9. 前記基板をバイアス及びクランプすることが、第1の成形されたDCパルス電圧源及び前記クランプネットワークによって行われ、前記第1の電圧が、前記第1の成形されたDCパルス電圧源によって印加される、請求項に記載の方法。
  10. 前記ダイオードは、前記第1の電圧が高状態にあるときに導通し、前記ダイオードは、前記第1の電圧が低状態にあるとき逆バイアスモードにある、請求項に記載の方法。
  11. 前記第1の電圧が、正パルスのバーストを含む、請求項に記載の方法。
  12. 前記バイアス及びクランプ回路が、パルス化されたバイアス電圧及び一定のクランプ電圧を、前記プラズマ処理チャンバ内に配置された基板に印加するように構成されている、請求項に記載のシステム。
  13. 前記第1の成形されたDCパルス電圧源ネットワークが、直列接続された第2の成形されたDCパルス電圧源、第2のダイオード、及びインピーダンスを備えている、請求項に記載のシステム。
  14. 前記第1の電圧が、負パルスのバーストを含む、請求項に記載の方法。
  15. 前記クランプネットワークが、スイッチを備え、前記スイッチが、前記正パルスのバースト間にオンにされる、請求項11に記載の方法。
  16. 前記クランプネットワークが、第2の成形されたDCパルス電圧源を備え、前記第2の成形されたDCパルス電圧源が、前記正パルスのバースト間にオンにされる、請求項15に記載の方法。
JP2021527884A 2018-11-20 2019-08-27 パルスdcバイアスを使用した場合の自動esc静電気バイアス補償 Active JP7377264B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/197,006 2018-11-20
US16/197,006 US11476145B2 (en) 2018-11-20 2018-11-20 Automatic ESC bias compensation when using pulsed DC bias
PCT/US2019/048392 WO2020106347A1 (en) 2018-11-20 2019-08-27 Automatic esc bias compensation when using pulsed dc bias

Publications (2)

Publication Number Publication Date
JP2022510584A JP2022510584A (ja) 2022-01-27
JP7377264B2 true JP7377264B2 (ja) 2023-11-09

Family

ID=70727122

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021527884A Active JP7377264B2 (ja) 2018-11-20 2019-08-27 パルスdcバイアスを使用した場合の自動esc静電気バイアス補償

Country Status (6)

Country Link
US (1) US11476145B2 (ja)
JP (1) JP7377264B2 (ja)
KR (1) KR102701241B1 (ja)
CN (1) CN112868083B (ja)
TW (2) TWI794539B (ja)
WO (1) WO2020106347A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
KR20240007234A (ko) * 2021-05-12 2024-01-16 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 동안의 자동 정전 척 바이어스 보상
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) * 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000507745A (ja) 1996-03-29 2000-06-20 ラム リサーチ コーポレイション 動的フィードバック静電ウエハ・チャック
JP2003510833A (ja) 1999-09-30 2003-03-18 ラム リサーチ コーポレーション プラズマリアクタにおける高周波電源調節のための電圧制御センサおよび制御インタフェース

Family Cites Families (590)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS463216Y1 (ja) * 1968-02-12 1971-02-04
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
JPS6017193B2 (ja) * 1978-06-28 1985-05-01 松下電器産業株式会社 直流再生回路
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4683529A (en) 1986-11-12 1987-07-28 Zytec Corporation Switching power supply with automatic power factor correction
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
WO1991009150A1 (en) 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5099697A (en) 1990-04-02 1992-03-31 Agar Corporation Ltd. Two and three-phase flow measurement
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP2748213B2 (ja) 1993-05-24 1998-05-06 日本レーザ電子株式会社 プラズマ製膜装置
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5554959A (en) 1994-10-25 1996-09-10 Vac-Com, Inc. Linear power amplifier with a pulse density modulated switching power supply
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6133557A (en) 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3292270B2 (ja) 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
JP3201223B2 (ja) * 1995-07-17 2001-08-20 株式会社日立製作所 プラズマ処理方法および装置
US5597438A (en) 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US6055150A (en) 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
JP3599564B2 (ja) 1998-06-25 2004-12-08 東京エレクトロン株式会社 イオン流形成方法及び装置
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5933314A (en) * 1997-06-27 1999-08-03 Lam Research Corp. Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks
JPH1125894A (ja) 1997-06-30 1999-01-29 Shinku Device:Kk プラズマイオンシャワー試料処理装置とその方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1018088A4 (en) 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6043607A (en) 1997-12-16 2000-03-28 Applied Materials, Inc. Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6126778A (en) 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US6355992B1 (en) 1998-08-11 2002-03-12 Utron Inc. High voltage pulse generator
TW426888B (en) 1998-09-18 2001-03-21 Tokyo Electron Ltd Plasma processing method
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6849154B2 (en) 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
JP2000173982A (ja) 1998-12-01 2000-06-23 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
SE511952C2 (sv) 1998-12-18 1999-12-20 Foersvarets Forskningsanstalt Ett SAR-radarsystem
JP3357313B2 (ja) 1999-03-11 2002-12-16 住友特殊金属株式会社 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法
TW480759B (en) 1999-03-18 2002-03-21 Seiko Epson Corp Electronic machine, charged electronic machine and control method of electronic machine
JP2000269196A (ja) 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
FR2792732B1 (fr) 1999-04-23 2001-06-08 Schneider Electric Sa Cellule optique bichromatique
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
CN1241316C (zh) 1999-07-13 2006-02-08 东京电子株式会社 产生感性耦合的等离子的射频电源
WO2001009918A1 (en) 1999-08-02 2001-02-08 Advanced Energy Industries, Inc. Enhanced electron emissive surfaces for a thin film deposition system using ion sources
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
DE19937859C2 (de) 1999-08-13 2003-06-18 Huettinger Elektronik Gmbh Elektrische Versorgungseinheit für Plasmaanlagen
EP1214459B1 (en) 1999-08-17 2009-01-07 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
JP4021601B2 (ja) * 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US20030079983A1 (en) 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
DE10015244C2 (de) * 2000-03-28 2002-09-19 Fraunhofer Ges Forschung Verfahren und Schaltungsanordnung zur pulsförmigen Energieeinspeisung in Magnetronentladungen
WO2001073814A2 (en) 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
JP4454781B2 (ja) 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
JP3851057B2 (ja) 2000-04-21 2006-11-29 シャープ株式会社 画像形成装置
EP1211725A4 (en) 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP4590031B2 (ja) 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
JP3563054B2 (ja) * 2000-09-29 2004-09-08 株式会社日立製作所 プラズマ処理装置および方法
KR100378187B1 (ko) 2000-11-09 2003-03-29 삼성전자주식회사 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2002198355A (ja) 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002052628A1 (fr) 2000-12-26 2002-07-04 Tokyo Electron Limited Procede et appareil de traitement au plasma
WO2002054835A2 (en) 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
WO2002059954A1 (fr) 2001-01-25 2002-08-01 Tokyo Electron Limited Appareil de gravure par plasma et procede de gravure par plasma
US6777037B2 (en) 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
JP2002299322A (ja) 2001-03-30 2002-10-11 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
US7146260B2 (en) 2001-04-24 2006-12-05 Medius, Inc. Method and apparatus for dynamic configuration of multiprocessor system
PT1253216E (pt) 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
WO2002097855A1 (en) 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US6577113B2 (en) * 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
SE525231C2 (sv) 2001-06-14 2005-01-11 Chemfilt R & D Ab Förfarande och anordning för att alstra plasma
DE10136259A1 (de) 2001-07-25 2003-02-20 Oce Printing Systems Gmbh Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte
US20030029859A1 (en) 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
DE10151703B4 (de) 2001-10-19 2004-12-09 OCé PRINTING SYSTEMS GMBH Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4129855B2 (ja) 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
DE10161743B4 (de) 2001-12-15 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
JP4024053B2 (ja) 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6760213B2 (en) 2002-03-04 2004-07-06 Hitachi High-Technologies Corporation Electrostatic chuck and method of treating substrate using electrostatic chuck
DE10211609B4 (de) 2002-03-12 2009-01-08 Hüttinger Elektronik GmbH & Co. KG Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6808607B2 (en) * 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7147759B2 (en) 2002-09-30 2006-12-12 Zond, Inc. High-power pulsed magnetron sputtering
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
DE10250229B4 (de) 2002-10-29 2004-08-05 Hüttinger Elektronik GmbH & Co. KG Leistungsregelung für Hochfrequenzverstärker
US6896775B2 (en) 2002-10-29 2005-05-24 Zond, Inc. High-power pulsed magnetically enhanced plasma processing
JP4323232B2 (ja) 2002-12-04 2009-09-02 芝浦メカトロニクス株式会社 静電吸着方法、静電吸着装置及び貼り合せ装置
US7206189B2 (en) 2002-12-20 2007-04-17 Advanced Energy Technology Inc. Composite electrode and current collectors and processes for making the same
US6830595B2 (en) 2002-12-20 2004-12-14 Advanced Energy Technology Inc. Method of making composite electrode and current collectors
DE10306347A1 (de) 2003-02-15 2004-08-26 Hüttinger Elektronik GmbH & Co. KG Leistungszufuhrregeleinheit
DE10312549B3 (de) 2003-03-21 2004-08-26 Hüttinger Elektronik Gmbh + Co. Kg Gasentladungsprozess-Spannungsversorgungseinheit
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
JP4354243B2 (ja) 2003-04-21 2009-10-28 東京エレクトロン株式会社 被処理体の昇降機構及び処理装置
JP4031732B2 (ja) 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
DE10336881B4 (de) 2003-08-11 2008-05-15 Hüttinger Elektronik GmbH & Co. KG Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US6967305B2 (en) * 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
DE10341717A1 (de) 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
WO2005052606A1 (ja) 2003-11-28 2005-06-09 Advantest Corporation デジタルqp検波装置、該装置を備えたスペクトラムアナライザ、およびデジタルqp検波方法
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20060066248A1 (en) 2004-09-24 2006-03-30 Zond, Inc. Apparatus for generating high current electrical discharges
US7663319B2 (en) 2004-02-22 2010-02-16 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US9123508B2 (en) 2004-02-22 2015-09-01 Zond, Llc Apparatus and method for sputtering hard coatings
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
DE102004024805B4 (de) 2004-05-17 2015-11-12 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung
JP4401867B2 (ja) 2004-05-20 2010-01-20 株式会社沖データ 画像形成装置
WO2005124844A1 (ja) 2004-06-21 2005-12-29 Tokyo Electron Limited プラズマ処理装置及び方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740704B2 (en) 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP2006011174A (ja) 2004-06-28 2006-01-12 Ricoh Co Ltd 記録体異常発生予測装置、定着装置および画像形成装置
US20060040499A1 (en) 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
DE102004044797B4 (de) 2004-09-16 2008-02-07 Hüttinger Elektronik GmbH & Co. KG Anregungsanordnung für Induktionsöfen
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
SE0402644D0 (sv) 2004-11-02 2004-11-02 Biocell Ab Method and apparatus for producing electric discharges
KR20070072571A (ko) 2004-11-04 2007-07-04 가부시키가이샤 알박 정전 척 장치
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
US20060171848A1 (en) 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
EP1691481B1 (de) 2005-02-12 2014-04-02 TRUMPF Hüttinger GmbH + Co. KG Amplitudenmodulator
PL1701376T3 (pl) 2005-03-10 2007-04-30 Huettinger Elektronik Gmbh Co Kg Próżniowy generator plazmowy
US7535688B2 (en) 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
EP1708239B1 (de) 2005-03-30 2011-03-02 HÜTTINGER Elektronik GmbH + Co. KG Vakuumplasmagenerator
US7586099B2 (en) 2005-03-30 2009-09-08 Huettinger Elektronik Gmbh + Co. Kg Vacuum plasma generator
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7852008B2 (en) 2005-05-13 2010-12-14 Panasonic Corporation Dielectric barrier discharge lamp lighting device
US20060278521A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for controlling ion density and energy using modulated power signals
AR057882A1 (es) 2005-11-09 2007-12-26 Novartis Ag Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
JP4418424B2 (ja) 2005-11-21 2010-02-17 日本リライアンス株式会社 交流電源装置およびその装置におけるアーク抑制方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
WO2007082388A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
EP1837893A1 (de) 2006-03-25 2007-09-26 HÜTTINGER Elektronik GmbH + Co. KG Messeeinrichtung eines HF-Plasmasystems
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US7588667B2 (en) 2006-04-07 2009-09-15 Tokyo Electron Limited Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
EP1852959A1 (de) 2006-05-05 2007-11-07 HÜTTINGER Elektronik GmbH + Co. KG Stromversorgung für einen Mittelfrequenz-Plasmagenerator
US7692936B2 (en) 2006-05-05 2010-04-06 Huettinger Elektronik Gmbh + Co. Kg Medium frequency power generator
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US7777152B2 (en) 2006-06-13 2010-08-17 Applied Materials, Inc. High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck
US8083961B2 (en) 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
JP2008041993A (ja) 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
KR100757347B1 (ko) 2006-08-30 2007-09-10 삼성전자주식회사 이온 주입 장치
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5171010B2 (ja) 2006-10-27 2013-03-27 東京エレクトロン株式会社 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム
DE102006052060B4 (de) 2006-11-04 2009-11-26 Hüttinger Elektronik GmbH & Co. KG Verfahren und Anordnung zur Anregung einer Gaslaseranordnung
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
US20080106842A1 (en) 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
JP4864661B2 (ja) 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
ATE448562T1 (de) 2006-11-23 2009-11-15 Huettinger Elektronik Gmbh Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung
US7795817B2 (en) 2006-11-24 2010-09-14 Huettinger Elektronik Gmbh + Co. Kg Controlled plasma power supply
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US20080160212A1 (en) 2006-12-27 2008-07-03 Bon-Woong Koo Method and apparatuses for providing electrical contact for plasma processing applications
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US8217299B2 (en) 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
DE102007009070A1 (de) 2007-02-23 2008-08-28 OCé PRINTING SYSTEMS GMBH Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer
DE502007006093D1 (de) 2007-03-08 2011-02-10 Huettinger Elektronik Gmbh Verfahren und Vorrichtung zum Unterdrücken von Bogenentladungen beim Betreiben eines Plasmaprozesses
EP1968188B1 (de) 2007-03-09 2012-08-08 HÜTTINGER Elektronik GmbH + Co. KG Klasse-D Verstärkeranordnung
US8055203B2 (en) 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
DE112007003667A5 (de) 2007-07-23 2010-07-01 Hüttinger Elektronik GmbH & Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US8140292B2 (en) 2007-09-18 2012-03-20 Wisconsin Alumni Research Foundation Method and system for controlling a voltage waveform
JP5301812B2 (ja) 2007-11-14 2013-09-25 東京エレクトロン株式会社 プラズマ処理装置
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
KR20100095560A (ko) 2007-11-26 2010-08-31 도쿄엘렉트론가부시키가이샤 미소 구조체 검사 장치 및 미소 구조체 검사 방법
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
CN102084468B (zh) 2008-02-08 2014-10-29 朗姆研究公司 包括横向波纹管和非接触颗粒密封的可调节间隙电容耦合rf等离子反应器
DE102008012089B4 (de) 2008-02-29 2015-06-11 TRUMPF Hüttinger GmbH + Co. KG Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
DE112009000518T5 (de) 2008-03-06 2011-05-05 Tokyo Electron Ltd. Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7791912B2 (en) 2008-05-02 2010-09-07 Advanced Energy Industries, Inc. Protection method, system and apparatus for a power converter
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
JP5429772B2 (ja) 2008-06-30 2014-02-26 株式会社アルバック 電源装置
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
JP5295748B2 (ja) 2008-12-18 2013-09-18 東京エレクトロン株式会社 構成部品の洗浄方法及び記憶媒体
US9887069B2 (en) 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US7825719B2 (en) 2008-12-29 2010-11-02 Advanced Energy Industries, Inc. System and method for wideband phase-adjustable common excitation
US8137345B2 (en) 2009-01-05 2012-03-20 Peak Surgical, Inc. Electrosurgical devices for tonsillectomy and adenoidectomy
CN102282916A (zh) 2009-01-13 2011-12-14 里巴贝鲁株式会社 等离子体生成装置及方法
JP5221403B2 (ja) 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9254168B2 (en) 2009-02-02 2016-02-09 Medtronic Advanced Energy Llc Electro-thermotherapy of tissue using penetrating microelectrode array
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
DE102009001355B4 (de) 2009-03-05 2015-01-22 TRUMPF Hüttinger GmbH + Co. KG Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP4932942B2 (ja) 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8284580B2 (en) 2009-12-10 2012-10-09 Emerson Electric Co. Power supply discontinuous input voltage extender
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
DE102009054987A1 (de) 2009-12-18 2011-06-22 HÜTTINGER Elektronik GmbH + Co. KG, 79111 Verfahren zur Erzeugung von Wechselstromleistung
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5632626B2 (ja) 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
WO2011112991A1 (en) 2010-03-11 2011-09-15 Salient Surgical Technologies, Inc. Bipolar electrosurgical cutter with position insensitive return electrode contact
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
JP5660804B2 (ja) 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP2013534970A (ja) 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
DE102010031568B4 (de) 2010-07-20 2014-12-11 TRUMPF Hüttinger GmbH + Co. KG Arclöschanordnung und Verfahren zum Löschen von Arcs
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
SI3556396T1 (sl) 2010-08-31 2022-09-30 Theraclone Sciences, Inc. Nevtralizacijska protitelesa proti virusu človeške imunske pomanjkljivosti (HIV)
JP5820661B2 (ja) 2010-09-14 2015-11-24 東京エレクトロン株式会社 マイクロ波照射装置
JP5905473B2 (ja) * 2010-10-05 2016-04-20 アーベーベー・テヒノロギー・アーゲー コンバータ回路
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
DE102010048810A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse
DE102010048809A1 (de) 2010-10-20 2012-04-26 Hüttinger Elektronik Gmbh + Co. Kg Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung
US8757603B2 (en) 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
EP2463890A1 (en) 2010-12-08 2012-06-13 Applied Materials, Inc. Generating plasmas in pulsed power systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
KR102023784B1 (ko) 2011-03-04 2019-09-20 도쿄엘렉트론가부시키가이샤 질화규소막 에칭 방법
US8884525B2 (en) 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8979842B2 (en) 2011-06-10 2015-03-17 Medtronic Advanced Energy Llc Wire electrode devices for tonsillectomy and adenoidectomy
EP2541584B1 (en) 2011-06-27 2018-08-08 TRUMPF Huettinger Sp. Z o. o. Generating a highly ionized plasma in a plasma chamber
US8399366B1 (en) 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
TWI830183B (zh) 2011-10-05 2024-01-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9399812B2 (en) * 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9076831B2 (en) * 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
JP6027551B2 (ja) 2012-02-01 2016-11-16 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR102034556B1 (ko) 2012-02-09 2019-10-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
JP5922218B2 (ja) 2012-02-20 2016-05-24 東京エレクトロン株式会社 電源システム及びプラズマ処理装置
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9228878B2 (en) 2012-03-19 2016-01-05 Advanced Energy Industries, Inc. Dual beam non-contact displacement sensor
US9644221B2 (en) 2012-03-30 2017-05-09 Toray Industries, Inc. Method of producing chemical by continuous fermentation and continuous fermentation apparatus
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
JP5921964B2 (ja) 2012-06-11 2016-05-24 東京エレクトロン株式会社 プラズマ処理装置及びプローブ装置
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9865893B2 (en) 2012-07-27 2018-01-09 Lockheed Martin Advanced Energy Storage, Llc Electrochemical energy storage systems and methods featuring optimal membrane systems
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
WO2014028050A1 (en) 2012-08-15 2014-02-20 Sun Catalytix Corporation High solubility iron hexacyanides
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6329542B2 (ja) 2012-08-28 2018-05-23 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US20140109886A1 (en) 2012-10-22 2014-04-24 Transient Plasma Systems, Inc. Pulsed power systems and methods
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
KR102149718B1 (ko) 2012-11-01 2020-08-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
JP2014112644A (ja) 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
JP6099995B2 (ja) 2013-01-24 2017-03-22 東京エレクトロン株式会社 試験装置
DE102013202428A1 (de) 2013-02-14 2014-08-14 Trumpf Huettinger Sp. Z O. O. Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung
EP2770083B1 (en) 2013-02-20 2015-11-18 University of West Bohemia in Pilsen High-rate reactive sputtering of dielectric stoichiometric films
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP6165886B2 (ja) 2013-02-28 2017-07-19 株式会社日立製作所 動的ストレージサービスレベル・モニタリングの管理システムおよび方法
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
JP2016511551A (ja) 2013-03-13 2016-04-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 銅のuv支援反応性イオンエッチング
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
TWI647735B (zh) * 2013-03-15 2019-01-11 美商蘭姆研究公司 使用模型化以建立與電漿系統相關的離子能量
US9209032B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8889534B1 (en) 2013-05-29 2014-11-18 Tokyo Electron Limited Solid state source introduction of dopants and additives for a plasma doping process
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP6375375B2 (ja) 2013-07-17 2018-08-15 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. パルス二重マグネトロンスパッタリング(dms)プロセスにおけるターゲットの均衡消費のためのシステムおよび方法
JP6441927B2 (ja) 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
JP2015037091A (ja) 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
US9053908B2 (en) 2013-09-19 2015-06-09 Lam Research Corporation Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
DE102013110883B3 (de) 2013-10-01 2015-01-15 TRUMPF Hüttinger GmbH + Co. KG Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
US20150111394A1 (en) 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP2017504955A (ja) 2013-11-06 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dcバイアス変調による、粒子発生抑制装置
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
DE102013226537B4 (de) 2013-12-18 2022-12-29 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas
DE102013226511B4 (de) 2013-12-18 2016-12-15 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung
US9853579B2 (en) 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN104752134B (zh) 2013-12-29 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9741544B2 (en) 2014-03-24 2017-08-22 Advanced Energy Industries, Inc. System and method for control of high efficiency generator source impedance
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP2017143085A (ja) 2014-06-23 2017-08-17 東京エレクトロン株式会社 グラフェン膜を有する被処理体を処理する方法
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
WO2016002547A1 (ja) 2014-07-02 2016-01-07 東京エレクトロン株式会社 基板処理装置
US10121641B2 (en) * 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6435135B2 (ja) 2014-08-26 2018-12-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6373160B2 (ja) 2014-10-15 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
DE102014115139A1 (de) 2014-10-17 2016-04-21 TRUMPF Hüttinger GmbH + Co. KG Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
EP3035365A1 (en) 2014-12-19 2016-06-22 TRUMPF Huettinger Sp. Z o. o. Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply
WO2016104098A1 (ja) 2014-12-25 2016-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
EP3054472A1 (en) 2015-02-03 2016-08-10 TRUMPF Huettinger Sp. Z o. o. Arc treatment device and method therefor
DE102015202317A1 (de) 2015-02-10 2016-08-11 TRUMPF Hüttinger GmbH + Co. KG Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung
US9607843B2 (en) 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
KR102436638B1 (ko) 2015-02-13 2022-08-25 도쿄엘렉트론가부시키가이샤 Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6449674B2 (ja) 2015-02-23 2019-01-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6424120B2 (ja) 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9799494B2 (en) 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
JP6449091B2 (ja) 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US9865471B2 (en) 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
TW201717247A (zh) 2015-06-02 2017-05-16 蘭姆研究公司 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法
US10063062B2 (en) 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
US10249498B2 (en) 2015-06-19 2019-04-02 Tokyo Electron Limited Method for using heated substrates for process chemistry control
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
SG10201607880PA (en) 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10373755B2 (en) 2015-11-30 2019-08-06 Eagle Harbor Technologies, Inc. High voltage transformer
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP2019504481A (ja) 2015-12-07 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャックを使用した基板の固定と開放のための方法及び装置
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
JP6385915B2 (ja) 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
JP6392266B2 (ja) 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10672596B2 (en) 2016-03-28 2020-06-02 Tokyo Electron Limited Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
JP6741461B2 (ja) 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6689674B2 (ja) 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2017223118A1 (en) 2016-06-21 2017-12-28 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
CN109804459B (zh) 2016-09-06 2023-08-04 东京毅力科创株式会社 准原子层蚀刻方法
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018046179A (ja) 2016-09-15 2018-03-22 株式会社東芝 静電チャック及び半導体製造装置
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
JP2018078515A (ja) 2016-11-11 2018-05-17 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3563646A4 (en) 2016-12-30 2020-01-22 Eagle Harbor Technologies, Inc. INDUCTIVE HIGH VOLTAGE ADDER
US20180190501A1 (en) 2017-01-05 2018-07-05 Tokyo Electron Limited Plasma processing apparatus
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US20180218905A1 (en) 2017-02-02 2018-08-02 Applied Materials, Inc. Applying equalized plasma coupling design for mura free susceptor
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10923379B2 (en) 2017-02-15 2021-02-16 Lam Research Corporation Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
JP7029340B2 (ja) 2017-04-25 2022-03-03 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10460916B2 (en) 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
EP3631886A1 (en) 2017-05-30 2020-04-08 Titan Advanced Energy Solutions, Inc. Battery life assessment and capacity restoration
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6832800B2 (ja) 2017-06-21 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
KR102557528B1 (ko) 2017-08-17 2023-07-19 도쿄엘렉트론가부시키가이샤 산업용 제조 장비에서 특성을 실시간 감지하기 위한 장치 및 방법
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP3665775A4 (en) 2017-08-25 2020-07-22 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
CN111263858B (zh) 2017-09-26 2022-03-01 先进能源工业公司 用于等离子体激发的系统和方法
CN110770891B (zh) 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
CN111226309B (zh) 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
JP7210094B2 (ja) 2017-11-16 2023-01-23 東京エレクトロン株式会社 信号変調同期式プラズマ処理システム
KR20200100643A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 시스템에서 변조 공급기들의 개선된 적용
CN111868873B (zh) 2017-11-17 2023-06-16 先进工程解决方案全球控股私人有限公司 等离子体处理源和衬底偏置的同步的脉冲化
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
WO2019143474A1 (en) 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11137372B2 (en) 2018-03-08 2021-10-05 Eagle Harbor Technologies, Inc. Eddy current nondestructive evaluation device with rotating permanent magnets and pickup coils
DE102018204587B4 (de) 2018-03-26 2019-10-24 TRUMPF Hüttinger GmbH + Co. KG Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung
US11456160B2 (en) 2018-03-26 2022-09-27 Tokyo Electron Limited Plasma processing apparatus
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7061922B2 (ja) 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6910320B2 (ja) 2018-05-01 2021-07-28 東京エレクトロン株式会社 マイクロ波出力装置及びプラズマ処理装置
KR20200139842A (ko) 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 페데스탈들을 위한 rf 접지 구성
JP7061511B2 (ja) 2018-05-10 2022-04-28 東京エレクトロン株式会社 フィルタ装置及びプラズマ処理装置
US10555412B2 (en) * 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP2019216140A (ja) 2018-06-11 2019-12-19 東京エレクトロン株式会社 成膜装置及び成膜装置におけるクリーニング方法
JP6846384B2 (ja) 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
JP7357191B2 (ja) 2018-06-18 2023-10-06 東京エレクトロン株式会社 製造装置における特性の低干渉でのリアルタイム感知
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
JP7175239B2 (ja) 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP6842443B2 (ja) 2018-06-22 2021-03-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマを生成する方法
JP6846387B2 (ja) 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN113345788B (zh) 2018-06-22 2024-06-21 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP7038614B2 (ja) 2018-06-27 2022-03-18 東京エレクトロン株式会社 基板処理方法
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
WO2020017328A1 (ja) 2018-07-17 2020-01-23 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7175114B2 (ja) 2018-07-19 2022-11-18 東京エレクトロン株式会社 載置台及び電極部材
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7079686B2 (ja) 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7306886B2 (ja) 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
WO2020051064A1 (en) 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
WO2020101734A1 (en) 2018-11-14 2020-05-22 Advanced Energy Industries, Inc. Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP2020095793A (ja) 2018-12-10 2020-06-18 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
TWI783203B (zh) 2019-01-08 2022-11-11 美商鷹港科技股份有限公司 奈秒脈波產生器電路
WO2020145051A1 (ja) 2019-01-09 2020-07-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
US20200373114A1 (en) 2019-05-24 2020-11-26 Eagle Harbor Technologies, Inc. Klystron Driver
EP3994716A4 (en) 2019-07-02 2023-06-28 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
EP4035267A4 (en) 2019-09-25 2023-11-01 Eagle Harbor Technologies, Inc. HIGH VOLTAGE PULSE SHARPENING OF A NON-LINEAR TRANSMISSION LINE WITH ENERGY RECOVERY
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
US11742184B2 (en) 2020-02-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000507745A (ja) 1996-03-29 2000-06-20 ラム リサーチ コーポレイション 動的フィードバック静電ウエハ・チャック
JP2003510833A (ja) 1999-09-30 2003-03-18 ラム リサーチ コーポレーション プラズマリアクタにおける高周波電源調節のための電圧制御センサおよび制御インタフェース

Also Published As

Publication number Publication date
US20200161155A1 (en) 2020-05-21
KR20210065192A (ko) 2021-06-03
TW202322181A (zh) 2023-06-01
TWI794539B (zh) 2023-03-01
CN112868083A (zh) 2021-05-28
CN112868083B (zh) 2024-04-16
JP2022510584A (ja) 2022-01-27
TW202036647A (zh) 2020-10-01
KR102701241B1 (ko) 2024-08-29
US11476145B2 (en) 2022-10-18
WO2020106347A1 (en) 2020-05-28

Similar Documents

Publication Publication Date Title
JP7377264B2 (ja) パルスdcバイアスを使用した場合の自動esc静電気バイアス補償
US11462388B2 (en) Plasma processing assembly using pulsed-voltage and radio-frequency power
JP7516657B2 (ja) プラズマ処理用途のためのパルス電圧源
TWI846014B (zh) 電壓脈衝的時域多工
KR20240017919A (ko) 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어
JP7534528B2 (ja) 基板処理のためのパルス電圧ブースト
JP2024522091A (ja) イオンエネルギー制御を伴うプラズマ励起
KR20240134238A (ko) 펄스화 dc 바이어스 사용 시 자동 esc 바이어스 보상
JP7558385B2 (ja) 電圧パルスの時間領域多重化
US11328900B2 (en) Plasma ignition circuit
JP2024534361A (ja) 高周波プラズマ処理チャンバ内の歪み電流の緩和
TW202307909A (zh) 使用脈衝電漿增強蝕刻選擇性的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210802

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231017

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231027

R150 Certificate of patent or registration of utility model

Ref document number: 7377264

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150