JP2014513868A - 半導体ウェーハをプラズマ・ダイシングする方法及び装置 - Google Patents

半導体ウェーハをプラズマ・ダイシングする方法及び装置 Download PDF

Info

Publication number
JP2014513868A
JP2014513868A JP2013558089A JP2013558089A JP2014513868A JP 2014513868 A JP2014513868 A JP 2014513868A JP 2013558089 A JP2013558089 A JP 2013558089A JP 2013558089 A JP2013558089 A JP 2013558089A JP 2014513868 A JP2014513868 A JP 2014513868A
Authority
JP
Japan
Prior art keywords
workpiece
substrate
plasma
processing chamber
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013558089A
Other languages
English (en)
Other versions
JP6165635B2 (ja
JP2014513868A5 (ja
Inventor
ウェスターマン、ラッセル
ジョンソン、デイビッド
ジョンソン、クリス
マルティネス、リンネル
− ヴォラード、デイビッド ペイズ
Original Assignee
プラズマ − サーム、エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=46828800&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2014513868(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by プラズマ − サーム、エルエルシー filed Critical プラズマ − サーム、エルエルシー
Publication of JP2014513868A publication Critical patent/JP2014513868A/ja
Publication of JP2014513868A5 publication Critical patent/JP2014513868A5/ja
Application granted granted Critical
Publication of JP6165635B2 publication Critical patent/JP6165635B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

本発明は、基板をプラズマ・ダイシングする方法を提供する。この方法は、壁を有する処理チャンバを供給するステップと、処理チャンバの壁に隣接してプラズマ源を供給するステップと、処理チャンバ内に加工物支持部を供給するステップと、基板をフレーム上の支持フィルム上へ配置して加工物を形成するステップと、加工物を加工物支持部上へロードするステップと、加工物の上方に配置されたカバー・リングを設けるステップと、プラズマ源によってプラズマを生成するステップと、生成されたプラズマによって加工物をエッチングするステップとを含む。

Description

本願は、本願の権利者が所有する2011年3月14日出願の「Apparatus for Plasma Dicing a Semi−conductor Wafer」という名称の米国仮特許出願第61/452,450号に関し、その優先権を主張する。同特許仮出願は、参照により本明細書に組み込まれている。
本発明は、半導体ウェーハから個々のデバイス・チップを形成する装置の使用に関し、より詳細には、プラズマ・エッチングを使用してウェーハを個々のダイに分離する装置に関する。
半導体デバイスは、薄いウェーハの形の基板上に製作される。一般にはケイ素が基板材料として使用されるが、III−V族化合物(たとえばGaAs及びInP)などの他の材料も使用される。場合によっては(たとえばLEDの製造)、基板はサファイア又は炭化ケイ素のウェーハであり、その上に薄い半導電性材料層が堆積される。そのような基板の寸法は、直径5.08cm(2インチ)及び7.62cm(3インチ)から200mm、300mm、及び450mmまでの範囲であり、そのような基板寸法を記述するために多くの標準(たとえばSEMI)が存在する。
プラズマ・エッチング機器は、これらの基板を処理して半導体デバイスを作製する際に広範囲にわたって使用されている。そのような機器は通常、費用効果の高い製造に必要な速いエッチング速度を確保するために使用される誘導結合プラズマ(ICP)などの高密度プラズマ源を備えた真空チャンバを含む。処理中に生成される熱を取り除くために、基板は通常、冷却された支持部に固定される。基板と支持部との間では冷却ガス(通常はヘリウム)が維持されて、熱を取り除くための熱伝導経路を提供する。基板の上側に下方への力を加える機械的クランプ機構が使用されることがあるが、クランプと基板との間の接触のため、汚染を引き起こすことがある。クランプ力を提供するには、静電チャック(ESC)がより頻繁に使用される。
エッチングすべき材料に適当な多数のガス状の化学物質が開発されてきた。これらの化学物質では、ハロゲン(フッ素、塩素、臭素、若しくはヨウ素)又はハロゲン含有ガスを、エッチングの品質(たとえば、エッチング異方性、マスク選択性、及びエッチング均一性)を改善するために添加される追加のガスとともに用いることが多い。ケイ素を高速でエッチングするには、SF、F、又はNFなどのフッ素含有ガスが使用される。具体的には、ケイ素内へ深い形体(feature)をエッチングするには、高速ケイ素エッチング・ステップと、エッチング側壁を制御するための不活性化ステップとを交互に行う処理(ボッシュ又はTDM)が一般に使用される。III−V族材料をエッチングするには、塩素及び臭素含有ガスが一般に使用される。
プラズマ・エッチングは、半導電性の基板及びデバイスに限定されるものではない。この技法は、基板をエッチングするのに適したガス状の化学物質を利用できる任意の基板タイプに適用することができる。他の基板タイプは、炭素含有基板(高分子基板を含む)、セラミック基板(たとえば、AlTiC及びサファイア)、金属基板、並びにガラス基板を含むことができる。
一貫した結果を確保するために、製造処理では通常、破損率が低く、動作が容易な、ロボットによるウェーハの取扱いが使用される。取扱い装置は、起こりうる汚染を最小にし、粒子の生成を低減させるために、最小の接触でウェーハを支持するように設計される。通常は、縁部接点が単独で用いられるか、いくつかの位置のみでのウェーハ縁部に近い位置への下面接点(通常、ウェーハ縁部から3〜6mm内)が用いられる。ウェーハ・カセット、ロボット・アームを含み、処理チャンバ用具内にウェーハ支持部及びESCを含む取扱い方式は、前述の標準的なウェーハ寸法を取り扱うように設計されている。
基板上に製作後、パッケージング、又は他の電子回路内で用いられる前に、個々のデバイス(ダイ又はチップ)は互いに分離される。長年にわたって、ダイを互いから分離するには機械的手段が使用されてきた。そのような機械的手段は、基板結晶軸に位置合わせされたけがき線に沿ってウェーハを破断すること、又は高速ダイヤモンド・ソーを使用してダイ間の領域(ストリート)内で基板の内部まで又は貫通して切ることを含む。より最近では、スクライビング処理を容易にするために、レーザが使用されている。
そのような機械的なウェーハ・ダイシング技法には、この手法の費用効果に影響を与える制限がある。ダイ縁部に沿って生じる欠け及び破損は、良好なダイの作製数を低減させる可能性があり、ウェーハの厚さが減少するにつれてより大きな問題になる。ソーの歯(ひき目)によって消費される面積は100ミクロンより大きくなることがあり、これは、ダイの作製に使用することはできない貴重な面積である。小さいダイを含む(たとえば、個々の半導体デバイスのダイ寸法が500ミクロン×500ミクロン)ウェーハの場合、これは20%より大きい損失になる可能性がある。さらに、多くの小さいダイを有し、したがって多数のストリートを有するウェーハの場合、各ストリートが個々に切断されるため、ダイシング時間が増大し、生産性が減少する。機械的手段はまた、直線に沿って行われる分離及び正方形又は長方形の形状のチップの作製に制限される。これでは、下にあるデバイスのトポロジ(たとえば、高出力ダイオードは円形である)にならないことがあり、したがって、ダイの形式が直線である場合、使用可能な基板面積の著しい損失をもたらす。またレーザ・ダイシングには、ダイの表面上に残留材料を残すこと、又はダイ内へ応力を誘発することによる制限がある。
ソーイング技法とレーザ・ダイシング技法はどちらも本質的に連続的な動作であることに留意することが重要である。したがって、デバイス寸法が減少するにつれて、ウェーハをダイシングする時間は、ウェーハ上の全体的なダイシング・ストリートの長さに比べ比例して増大する。
最近では、ダイを分離し、これらの制限のいくつかを克服する手段として、プラズマ・エッチング技法が提案されている。デバイス製作後、基板は、ダイ間の開いた領域を残して、適したマスク材料でマスキングされる。次いで、マスキングされた基板は、ダイ間に露出された基板材料をエッチングする反応ガス・プラズマを使用して処理される。基板のプラズマ・エッチングは、基板の一部又は全体で行うことができる。部分的プラズマ・エッチングの場合、ダイは後の劈開ステップによって分離され、個々のダイが分離される。この技法は、機械的ダイシングに勝る複数の利益を提供する。
1)破損及び欠けが低減される。
2)20ミクロンを十分に下回るまで、ひき目寸法を低減させることができる。
3)ダイの数が増大しても、処理時間はあまり増大しない。
4)ウェーハが薄ければ薄いほど、処理時間が低減される。
5)ダイのトポロジは直線の形式に制限されない。
デバイス製作後でダイの分離前に、基板は、機械的研磨又は類似の処理によって、数百ミクロン又はさらに百ミクロン未満の厚さまで薄膜化することができる。
ダイシング処理前、基板は通常、ダイシング用具上に取り付けられる。この用具は通常、接着剤膜を支持する剛性フレームから構成される。ダイシングすべき基板は、膜に接着される。この用具は、続く後段の作業のために分離されたダイを保持する。ウェーハのダイシングに使用される大部分の工具(ソー又はレーザ・ベースの工具)は、この構成で基板を取り扱うように設計されており、複数の標準的な用具が確立されたが、そのような用具は、用具が支持する基板とは大きく異なる。そのような用具は、現在のウェーハ・ダイシング機器で使用するために最適化されているが、標準的な基板を処理するように設計された機器内では処理することができない。したがって、現在の自動化されたプラズマ・エッチング機器は、ダイシングのために固定された基板を処理するのに適しておらず、ダイの分離に関してプラズマ・エッチング技法が有するはずの利益を実現するのが困難である。
いくつかのグループが、プラズマを使用してウェーハ基板からダイを個片化することを企図している。米国特許第6,642,127号は、第1に接着材料を介して基板ウェーハをキャリア・ウェーハに取り付けてから、シリコン・ウェーハを処理するように設計された機器内でプラズマ処理を行う、プラズマ・ダイシング技法について記載している。この技法は、ダイシングすべき基板の形状因子を標準的なウェーハ処理機器に適合するように適応させることを提案している。この技法では、標準的なプラズマ機器でウェーハをダイシングすることができるが、提案された技法は、ダイシング動作の後段に位置する標準的な機器に適合していない。後段の機器を適応させ、又は標準的な後段の機器に合わせて基板の形状因子を戻すには、追加のステップを必要とするであろう。
米国特許出願第2010/0048001号は、薄い膜に接着されてフレーム内に支持されたウェーハの使用を考慮している。しかし、第2010/0048001号の応用例では、マスキング処理は、プラズマ処理の前に、ウェーハの裏側にマスク材料を接着させ、レーザを使用してエッチング・ストリートを画定することによって実現される。基板を前側から個片化する標準的なダイシング技法とは対照的に、この技法は付加的な複雑且つ高価なステップを導入しており、プラズマ・ダイシングの利点のいくつかを無効にすることがある。この技法はまた、裏側のマスクと前側のデバイス・パターンを位置合わせするという付加的な要求を必要とする。
したがって、半導体基板を個々のダイへダイシングするために使用でき、テープ上に取り付けられてフレーム内に支持された基板を取り扱うための確立されたウェーハ・ダイシング技法に適合しており、標準的な前側マスキング技法とも両立する、プラズマ・エッチング装置が必要とされている。
従来技術の内容では、本発明に伴う利益を何も提供しない。
米国特許第6,642,127号 米国特許出願第2010/0048001号 米国特許第6,982,175号 米国特許第7,101,805号 米国特許第7,150,796号
したがって、本発明の目的は、従来技術デバイスの欠点を克服し、プラズマ・エッチング装置を使用して半導体基板のダイシングの進歩に著しく寄与する改善を提供することである。
本発明の別の目的は、基板をプラズマ・ダイシングする方法を提供することであり、この方法は、壁を有する処理チャンバを供給するステップと、処理チャンバの壁に隣接してプラズマ源を供給するステップと、処理チャンバ内に加工物支持部を供給するステップと、基板をキャリア支持部上に配置して加工物を形成するステップと、加工物を加工物支持部上へロードするステップと、加工物の上に配置されたカバー・リングを供給するステップと、プラズマ源によってプラズマを生成するステップと、生成されたプラズマによって加工物をエッチングするステップとを含む。
本発明のさらに別の目的は、基板をプラズマ・ダイシングする方法を提供することであり、この方法は、壁を有する処理チャンバを供給するステップと、処理チャンバの壁に隣接してプラズマ源を供給するステップと、処理チャンバ内に、静電チャックを有する加工物支持部を供給するステップと、基板をキャリア支持部上に配置して加工物を形成するステップと、加工物を加工物支持部上へロードするステップと、静電チャックによって加工物を加工物支持部に固定するステップと、加工物の上に配置されたカバー・リングを供給するステップと、プラズマ源によってプラズマを生成するステップと、生成されたプラズマによって加工物をエッチングするステップと、エッチング・ステップ中にカバー・リングの温度を制御するステップとを含む。
本発明のさらに別の目的は、基板をプラズマ・ダイシングする方法を提供することであり、この方法は、基板をキャリア支持部上に配置して加工物を形成するステップと、加工物をプラズマ処理チャンバ内へロードするステップと、第1のエッチング剤ガスを使用して第1のプラズマ・エッチング処理にプラズマ処理チャンバ内の加工物を露出させるステップと、個片化後に第1のプラズマ・エッチング処理を終了するステップと、第2のエッチング剤ガスを使用して第2のプラズマ・エッチング処理にプラズマ処理チャンバ内の加工物を露出させるステップとを含む。
本発明の別の目的は、基板をプラズマ・ダイシングする装置を提供することであり、この装置は、壁を有する処理チャンバと、処理チャンバの壁に隣接するプラズマ源と、静電チャックを有する処理チャンバ内の加工物支持部と、キャリア支持部上に基板を有し、加工物支持部上へ配置される加工物と、加工物の上に配置され、処理チャンバの壁に熱結合されるカバー・リングとを備える。
上記では、本発明の関連する目的のいくつかについて略述した。これらの目的は、意図する本発明のより顕著な特徴及び応用例のいくつかの例示にすぎないと解釈されるべきである。開示の本発明を異なる形で適用することによって、又は本開示の範囲内で本発明を修正することによって、多くの他の有益な結果も達成することができる。したがって、本発明の他の目的及びより完全な理解は、添付の図面と併せて、特許請求の範囲によって定義する本発明の範囲に加えて、本発明の概要及び好ましい実施例の詳細な説明を参照することによって得ることができる。
本発明は、半導体基板のプラズマ・ダイシングを可能にするプラズマ処理装置について記載する。デバイスの製作及びウェーハの薄膜化後、従来のマスキング技法を使用して基板の前側(回路側)がマスキングされ、それによって回路構成要素を保護し、ダイ間に保護されていない領域を残す。基板は、剛性フレーム内に支持された薄いテープ上に取り付けられる。基板/テープ/フレーム・アセンブリは、真空の処理チャンバ内へ移動され、反応ガス・プラズマに露出されて、ダイ間の保護されていない領域がエッチング除去される。この処理中、フレーム及びテープは、反応ガス・プラズマによる損傷から保護される。この処理により、ダイは完全に分離される。エッチング後、基板/テープ/フレーム・アセンブリはプラズマにさらに露出され、それによって潜在的に損傷を与えうる残留物を基板表面から取り除く。基板/テープ/フレーム・アセンブリを処理チャンバから移動させた後、ダイはよく知られている技法を使用してテープから取り外され、次いで必要に応じてさらに処理(たとえばパッケージング)される。
本発明の別の特徴は、基板をプラズマ・ダイシングする方法を提供することである。基板は、ケイ素などの半導電層を有することができ、且つ/又は基板は、GaAsなどのIII−V族層を有することができる。基板は、基板の回路側にパターン形成されたフォトレジスト層などの保護層を有することができる。壁を有する処理チャンバが供給され、処理チャンバの壁にプラズマ源が隣接している。プラズマ源は、高密度プラズマ源とすることができる。処理チャンバと流体的に連通している真空ポンプと、処理チャンバと流体的に連通しているガス入口とを供給することができる。処理チャンバ内の加工物支持部が供給される。基板をキャリア支持部上に配置することによって、加工物が形成される。加工物は、基板を支持フィルムに接着させ、次いで基板を支持フィルムとともにフレームに取り付けることによって形成することができる。支持フィルムは、高分子層及び/又は導電層を有することができる。支持フィルムは、標準的なダイシング・テープとすることができる。フレームは、導電層及び/又は金属層を有することができる。次いで、加工物は、プラズマ処理のために加工物支持部上へロードされる。加工物支持部にRF電源を結合して、加工物の周りにプラズマを生じさせることができる。加工物支持部から加工物へヘリウムなどの加圧ガスを供給することによって、加工物と加工物支持部との間に熱的連通を提供することができる。加工物支持部内へ静電チャックを組み込むことができ、それによって、静電チャックは支持フィルムを静電チャックへ固定することができる。静電チャックは、単極又は多極のクランプ電極を有することができ、クーロン効果又はジョンセン・ラーベック効果によってクランプ力を提供することができる。静電チャックは、基板の直径より大きい直径を有することができる。静電チャックは、フレームの内径より小さい直径を有することができる。静電チャックは、平坦な上面を有することができる。静電チャックは、個片化されたダイ寸法より小さい特徴を有することができる。加工物支持部内へリフト機構を組み込むことができ、それによりリフト機構上へ加工物がロードされる。リフト機構は、加工物のフレームのみに接触するように設計することができる。充填リングを設けることができ、充填リングは、静電チャックの外径からリフト機構まで延びる。充填リングは、誘電体材料から作ることができる。高密度源と加工物との間に、機械的な区画を設けることができる。その区画はスクリーンとすることができ、スクリーンは、アルミニウムから作ることができる導電性のものとすることができる。加工物の上にカバー・リングが配置される。カバー・リングの内径は、基板の外径より小さくすることができる。処理チャンバ内の圧力は、真空ポンプによって減少させることができ、ガス入口を通って処理チャンバ内へ処理ガスを導入することができる。プラズマ源によってプラズマが生成され、それによって加工物は、生成されたプラズマによってエッチングされる。カバー・リングの温度は、プラズマ・エッチング・ステップ中に制御することができる。プラズマ・エッチング・ステップ中、カバー・リングは80℃より低い温度まで冷却することができる。カバー・リングは、処理チャンバの壁及び/又はヒート・シンクを使用することによって冷却することができる。カバー・リングは、温度制御された流体に接触することによって温度制御することができる。カバー・リングは、複数の孔、耐プラズマ性の層、金属層、及び/又はセラミック層を有することができる。処理チャンバと連通する真空に適合した移動モジュールを設けることができる。加工物は、真空に適合した移動モジュール内の移動アーム上へロードすることができ、それによって、真空に適合した移動モジュールから処理チャンバへの加工物の移動中、処理チャンバは真空下で維持される。移動アームは、移動中、平坦にし、フレームに割り出しされ、フレームのみに接触し、且つ/又は基板に対して実質上共平面のままにすることができる。加工物は、処理チャンバ内へ移動させる前に、機械的位置合わせ及び/又は光学的位置合わせによって位置合わせすることができる。フレーム及び/又は基板は、処理チャンバ内へ移動させる前に、位置合わせすることができる。
本発明のさらに別の特徴は、基板をプラズマ・ダイシングする方法を提供することである。基板は、ケイ素などの半導電層を有することができ、且つ/又は基板は、GaAsなどのIII−V族層を有することができる。基板は、基板の回路側にパターン形成されたフォトレジスト層などの保護層を有することができる。壁を有する処理チャンバが供給され、処理チャンバの壁にプラズマ源が隣接している。プラズマ源は、高密度プラズマ源とすることができる。処理チャンバと流体的に連通している真空ポンプと、処理チャンバと流体的に連通しているガス入口とを供給することができる。処理チャンバ内の加工物支持部が供給される。基板をキャリア支持部上に配置することによって、加工物が形成される。加工物は、基板を支持フィルムに接着させ、次いで基板を支持フィルムとともにフレームに取り付けることによって形成することができる。支持フィルムは、高分子層及び/又は導電層を有することができる。支持フィルムは、標準的なダイシング・テープとすることができる。フレームは、導電層及び/又は金属層を有することができる。次いで、加工物は、プラズマ処理のために加工物支持部上へロードされる。加工物支持部にRF電源を結合して、加工物の周りにプラズマを生じさせることができる。加工物支持部から加工物へヘリウムなどの加圧ガスを供給することによって、加工物と加工物支持部との間に熱的連通を提供することができる。加工物支持部内へ静電チャックが組み込まれ、それによって、静電チャックは加工物を静電チャックへ固定する。静電チャックは、単極又は多極とすることができる。静電チャックは、クーロン効果又はジョンセン・ラーベック効果を使用して基板を固定することができる。静電チャックは、基板の直径より大きい直径を有することができる。静電チャックは、フレームの内径より小さい直径を有することができる。静電チャックは、平坦な上面を有することができる。静電チャックは、個片化されたダイ寸法より小さい特徴を有することができる。加工物支持部内へリフト機構を組み込むことができ、それによりリフト機構上へ加工物がロードされる。リフト機構は、加工物のフレームのみに接触するように設計することができる。充填リングを設けることができ、充填リングは、静電チャックの外径からリフト機構まで延びる。充填リングは、誘電体材料から作ることができる。高密度源と加工物との間に、機械的な区画を設けることができる。その区画はスクリーンとすることができ、スクリーンは、アルミニウムから作ることができる導電性のものとすることができる。加工物の上にカバー・リングが配置される。カバー・リングの内径は、基板の外径より小さくすることができる。処理チャンバ内の圧力は、真空ポンプによって減少させることができ、ガス入口を通って処理チャンバ内へ処理ガスを導入することができる。プラズマ源によってプラズマが生成され、それによって加工物は、生成されたプラズマによってエッチングされる。カバー・リングの温度は、プラズマ・エッチング・ステップ中に制御される。プラズマ・エッチング・ステップ中、カバー・リングは80℃より低い温度まで冷却することができる。カバー・リングは、処理チャンバの壁及び/又はヒート・シンクを使用することによって冷却することができる。カバー・リングは、複数の孔、耐プラズマ性の層、金属層、及び/又はセラミック層を有することができる。処理チャンバと連通する真空に適合した移動モジュールを設けることができる。加工物は、真空に適合した移動モジュール内の移動アーム上へロードすることができ、それによって、真空に適合した移動モジュールから処理チャンバへの加工物の移動中、処理チャンバは真空下で維持される。移動アームは、移動中、平坦にされ、フレームに割り出しされ、フレームのみに接触し、且つ/又は基板に対して共平面のままにすることができる。加工物は、処理チャンバ内へ移動させる前に、機械的位置合わせ及び/又は光学的位置合わせによって位置合わせすることができる。フレーム及び/又は基板は、処理チャンバ内へ移動させる前に、位置合わせすることができる。
本発明のさらに別の特徴は、基板をプラズマ・ダイシングする方法を提供することである。基板をキャリア支持部上に配置することによって、加工物が形成される。加工物は、基板を支持フィルムに接着させ、次いで基板を支持フィルムとともにフレームに取り付けることによって形成することができる。支持フィルムは、高分子層及び/又は導電層を有することができる。支持フィルムは、標準的なダイシング・テープとすることができる。フレームは、導電層及び/又は金属層を有することができる。加工物は、プラズマ処理チャンバ内へロードされる。加工物の上方にカバー・リングを配置することができる。加工物は、プラズマ処理チャンバ内で第1のエッチング剤ガスを使用して第1のプラズマ・エッチング処理に露出される。第1のエッチング剤ガスは、フッ素含有ガス又は塩素含有ガスなどのハロゲン含有ガスとすることができる。第1のエッチング処理は、時分割多重式のエッチング処理とすることができる。第1のプラズマ・エッチング処理は、ダイが個片化された後に終了される。第1のプラズマ・エッチング処理の終了は、基板と支持フィルムとの間の境界面で行うことができ、この境界面は、標準的な終点技法を使用して決定することができる。次いで、加工物は、プラズマ処理チャンバ内で第2のエッチング剤ガスを使用する第2のプラズマ・エッチング処理に露出させることができる。第2のプラズマ・エッチング処理は、アンダーカットを低減させるように設計されたエッチング速度のより遅い処理とすることができる。第2のエッチング剤ガスは、フッ素含有ガス又は塩素含有ガスなどの第2のハロゲン含有ガスとすることができる。第2のエッチング処理は、第2の時分割多重式のエッチング処理とすることができる。次いで、加工物は、プラズマ処理チャンバ内で第3のエッチング剤ガスを使用する第3のプラズマ・エッチング処理に露出させることができる。第3のプラズマ・エッチング処理は、第1のプラズマ・エッチング処理及び/又は第2のプラズマ・エッチング処理への露出後にデバイス上に存在する望ましくない残留物を取り除くことができる。第3のエッチング剤ガスは、水素含有ガスとすることができる。
本発明の別の特徴は、基板をプラズマ・ダイシングする装置を提供することであり、この装置は壁を有する処理チャンバを備え、処理チャンバの壁にプラズマ源が隣接している。プラズマ源は、高密度プラズマ源とすることができる。処理チャンバは、処理チャンバと流体的に連通している真空ポンプと、処理チャンバと流体的に連通しているガス入口とを有することができる。処理チャンバ内の加工物支持部は、静電チャックを有する。キャリア支持部上に取り付けられた基板を有する加工物が、加工物支持部上へ配置される。加工物は、支持フィルム上に基板を有することができ、次いでこの基板がフレーム上に取り付けられる。支持フィルムは、高分子層及び/又は導電層を有することができる。支持フィルムは、標準的なダイシング・テープとすることができる。フレームは、導電層及び/又は金属層を有することができる。加工物の上方にカバー・リングが配置され、カバー・リングは、処理チャンバの壁及び/又はヒート・シンクに熱結合される。カバー・リングは、複数の孔を有することができる。高密度源と加工物との間に、機械的な区画を配置することができる。加工物支持部内にリフト機構を配置することができる。静電チャックの外径からリフト機構まで延びる充填リングを含むことができる。
上記では、以下の本発明の詳細な説明をよりよく理解でき、したがって当技術分野に対する本発明の寄与をより完全に理解できるように、本発明のより関連する重要な特徴についてかなり大まかに概略した。本発明の追加の特徴については以下に説明する。この説明は、本発明の特許請求の範囲の主題を形成する。開示する概念及び特有の実施例は、本発明の同じ目的を実施するために他の構造を修正又は設計する基礎として容易に利用できることが、当業者には理解されるはずである。また、そのような等価の構造は、添付の特許請求の範囲に述べる本発明の趣旨及び範囲から逸脱しないことが、当業者には理解されるはずである。
ストリートによって分離された個々のデバイスを示す半導体基板の上面図である。 ストリートによって分離された個々のデバイスを示す半導体基板の横断面図である。 テープ及びフレームに取り付けられた半導体基板の横断面図である。 テープ及びフレームに取り付けられた半導体基板がプラズマ処理によってエッチングされている横断面図である。 テープ及びフレームに取り付けられた半導体デバイスが分離された横断面図である。 真空処理チャンバの横断面図である。 処理位置にあるウェーハ/フレームの横断面図である。 真空処理チャンバ内のフレーム及びカバー・リングの拡大横断面図である。 カバー・リングがチャンバ壁に取り付けられている、チャンバの内側の一部分の横断面図である。 カバー・リングが内部のヒート・シンクに取り付けられている、チャンバの内側の一部分の横断面図である。 テープ及びフレームに取り付けられた半導体基板が移動アームによって支持されている上面図である。 テープ及びフレームに取り付けられた半導体基板が移動アームによって支持されている横断面図である。 移動位置にあるウェーハ/フレームの横断面図である。 スクリーンの上面図である。 静電チャックの横断面図である。 移動位置にあるチャンバの概略図である。
いくつかの図面全体にわたって、類似の参照文字は同様の部分を指す。
デバイス製作後の典型的な半導体基板を図1に示す。基板(1)は、その表面上に、ストリート領域(3)によって分離されたデバイス構造(2)を含む複数の領域を有し、ストリート領域(3)には構造がなく、それによってデバイス構造を個々のダイに分離することができる。一般には基板材料としてケイ素が使用されるが、特定の特性のために選択された他の材料が用いられることも多い。そのような基板材料には、ガリウム砒素及び他のIII−V族材料、又は半導電層を上に堆積させた半導体でない基板が含まれる。
本発明では、図2の横断面図に示すように、デバイス構造(2)は次いで保護材料(4)で覆われるが、ストリート領域(3)は保護されないままである。この保護材料(4)はフォトレジストとすることができ、よく知られている技法によって塗布され且つパターン形成されることができる。いくつかのデバイスは、最終処理ステップとして、基板全体にわたって塗布される二酸化ケイ素又はPSGなどの保護誘電体層で被覆される。この保護誘電体層は、当業界ではよく知られているように、フォトレジストでパターン形成し、誘電体材料をエッチングすることによって、ストリート領域(3)から選択的に取り除くことができる。これにより、デバイス構造(2)は誘電体材料によって保護されるが、ストリート領域(3)では基板(1)は実質上保護されない。場合によっては、ウェーハ品質を確認するための試験用形体をストリート領域(3)内に配置できることに留意されたい。特有のウェーハ製作処理の流れに応じて、これらの試験用形体は、ウェーハ・ダイシング処理中に保護してもしなくてもよい。図示のデバイス・パターンは長方形のダイを示すが、これは必須ではなく、個々のデバイス構造(2)は、基板(1)を最適に利用するのに最もよく適した六角形などの任意の他の形状をとることができる。前の例では、保護フィルムとして誘電体材料について考慮したが、本発明は、半導電性及び導電性の保護フィルムを含む広い範囲の保護フィルムで実施できることに留意することが重要である。さらに、保護層は複数の材料から構成することもできる。また、保護フィルムの一部分は、最終のデバイス構造(たとえば、不活性化誘電体、金属接合パッドなど)の一体部分とすることもできることに留意することが重要である。
基板(1)は、通常は研磨処理によって薄膜化することができ、それにより、基板の厚さを数百ミクロンから約30ミクロン以下の薄さにまで低減させる。図3に示すように、薄膜化された基板(1)は、次いでテープ(5)に接着され、テープ(5)は剛性フレーム(6)内に取り付けられて、加工物(1A)を形成する。テープ(5)は通常、炭素含有高分子材料から作られており、その表面には薄い導電層をさらに塗布することができる。テープ(5)は、破損なく取り扱うには普通なら脆弱すぎる薄膜化された基板(1)に対して支持を行う。パターン形成、薄膜化、次いで取付けの順序は重要ではなく、これらのステップは、特定のデバイス及び基板並びに使用される処理機器に最もよく適合するように調整できることに留意されたい。前の例では、基板(1)を接着テープ(5)上に取り付けてから接着テープ(5)をフレーム(6)に取り付けることから構成される加工物(1A)を考えたが、本発明は、このウェーハ及びキャリアの構成によって限定されないことに留意することが重要である。ウェーハ・キャリアは、様々な材料から構成することができる。キャリアは、プラズマ・ダイシング処理中に基板を支持する。さらに、ウェーハは、接着剤を使用してキャリアに取り付ける必要はなく、ウェーハをキャリアに保持し、基板からカソードへの熱的連通の手段を可能にする任意の方法で十分である(たとえば、静電気で固定されるキャリア、機械的クランプ機構を有するキャリアなど)。
基板(1)をテープ(5)でダイシング・フレーム(6)内に取り付けた後、加工物(1A)は真空処理チャンバ内へ移動される。理想的には、移動モジュールも真空下にあり、それによって移動中に処理チャンバを真空で維持することができ、処理時間を低減させ、大気への処理チャンバの露出及び起こりうる汚染を防止する。図6に示すように、真空処理チャンバ(10)は、ガス入口(11)と、誘導結合プラズマ(ICP)などの高密度プラズマを生成するための高密度プラズマ源(12)と、加工物(1A)を支持するための加工物支持部(13)と、加工物支持部(13)を通して加工物(1A)にRF電力を結合するためのRF電源(14)と、処理チャンバ(10)からガスを排気するための真空ポンプ(15)とを備える。処理中、基板(1)の保護されていない領域は、図4に示す反応プラズマ・エッチング処理(7)を使用してエッチング除去される。これにより、図5に示すように、デバイス(2)は個々のダイ(8)に分離される。本発明の別の実施例では、基板(1)の保護されていない領域は、反応プラズマ・エッチング処理(7)を使用して部分的にエッチング除去される。この場合、機械的な破断動作などの後段の動作を使用して、ダイの分離を完了することができる。これらの後段の方法は、当技術分野ではよく知られている。
前の例では、本発明について、高密度プラズマとともに真空チャンバを使用すると説明したが、広い範囲のプラズマ処理を使用して基板の保護されていない領域をエッチングすることも可能である。たとえば、真空チャンバ内で低密度プラズマ源を使用し、又はさらに大気圧若しくはその付近でプラズマを使用する本発明の変形形態を、当業者であれば想到することができる。
基板/テープ/フレーム・アセンブリ(1A)がプラズマ処理位置にあるとき、フレーム(6)はプラズマ(7)への露出から保護されていることが重要である。プラズマ(7)への露出は、フレーム(6)の加熱を引き起こし、フレーム(6)の加熱は取付けテープ(5)の局部的な加熱を引き起こす。約100℃を上回る温度では、テープ(5)の物性及びその接着能力が劣化することがあり、もはやフレーム(6)に接着しなくなる。さらに、反応プラズマ・ガスへのフレーム(6)の露出は、フレーム(6)の劣化を引き起こすことがある。フレーム(6)は通常、ウェーハ・ダイシング後に再利用されるため、これはフレーム(6)の有効寿命を制限することがある。プラズマ(7)へのフレーム(6)の露出はまた、エッチング処理に悪影響を与えることがあり、たとえばフレームの材料が処理ガスと反応し、それによってプラズマ内の処理ガスの濃度を実質的に低減させ、基板材料のエッチング速度を低減させ、したがって処理時間を増大させることがある。フレーム(6)を保護するために、図6、図7、及び図8に示すように、保護カバー・リング(20)がフレーム(6)の上に位置決めされる。フレーム(6)との接触(処理チャンバ(10)内への移動中に起こりうる)は望ましくない粒子を生成する可能性があるため、カバー・リング(20)はフレーム(6)に接触しない。
図8では、寸法(A)はカバー・リング(20)とフレーム(6)との間の距離を表す。この寸法は、約0.5mm未満から約5mmより大きい範囲とすることができ、最適の値は1.5mmである。距離(A)が大きすぎる場合、プラズマ(7)がフレーム(6)に接触し、カバー・リング(20)の利益が失われる。
カバー・リング(20)は温度制御されることが重要であり、そうでない場合、カバー・リング(20)の温度はプラズマ(7)への露出のために増大し、それが放射加熱によってテープ(5)及びフレーム(6)を加熱し、上記のように劣化を引き起こす。カバー・リング(20)が冷却される場合、カバー・リング(20)の冷却は、図9に示す処理チャンバ壁(10W)又は図10に示す処理チャンバ(10)内に位置するヒート・シンク(30)などの冷却された物体に、カバー・リング(20)を直接接触させることによって実現される。カバー・リング(20)からヒート・シンク(30)へ熱が十分に取り除かれることを確実にするために、カバー・リング(20)は良好な熱伝導性を有する材料から作られるべきである。そのような材料には、多くの金属、たとえばアルミニウムが含まれるが、窒化アルミニウム及び他のセラミックなどの他の熱伝導性の材料を使用することもできる。カバー・リング材料は、使用されるプラズマ処理ガスに適合するように選択される。フッ素ベースの処理の場合、アルミニウムで十分であるが、塩素ベースの処理が使用されるときは、窒化アルミニウムなどの代替材料、又は酸化アルミニウムなどの保護被覆の追加が必要になることもある。プラズマ処理中のカバー・リング(20)の動作温度は通常80℃より低く、それによってテープ(5)及びフレーム(6)への熱放射を最小にし、テープ(5)がその機械的な完全性を維持することを確実にする。別の法方として、カバー・リング(20)は、カバー・リング(20)を温度制御された流体に接触させることによって温度制御することもできる。この流体は、液体又は気体とすることができる。カバー・リング(20)の温度が流体によって制御される場合、カバー・リング(20)は、熱伝達を容易にするために複数の流体チャネルを含むことができる。これらの流体チャネルは、カバー・リング(20)の内部に位置することができ、外部に取り付けることができ、又はこれら2つを組み合わせることができる。
一例では、カバー・リング(20)は、基板の直径からチャンバの内径まで連続して延びることができる。処理チャンバ(10)内の圧力制御に悪影響を与える可能性のあるポンピング導通性の損失を回避するために、カバー・リング(20)に複数の孔(21)を加えることができ、それによって、処理ガスの十分な導通性を可能にしながら、それでもなお、カバー・リング(20)から熱を取り除く経路を提供する。図9及び図10では、特有の幾何形状で構成された複数の孔(21)を示すが、孔(21)の密度、寸法、パターン、及び対称性は、処理チャンバ(10)の寸法及び必要なポンピング導通性に応じて変動することができる。
基板/テープ/フレーム・アセンブリ(1A)は、図11及び図12に示すように共平面で維持されるように、フレーム(6)及び基板(1)を支持する移動アーム(40)によって処理チャンバ(10)の内外へ移動される。移動アーム(40)は、テープ(5)とフレーム(6)の両方又はフレーム(6)のみを支持することができるが、薄膜化された基板(1)の脆弱な性質のため、アセンブリ(1A)は基板(1)の領域の下だけでは支持されないことが重要である。移動アーム(40)には位置合わせ用具(41)が取り付けられており、位置合わせ用具(41)は、処理チャンバ(10)内へ移動させる前にフレーム(6)を繰返し可能な位置に位置合わせする。フレーム(6)はまた、半導体処理でよく知られている他の技法(たとえば、光学的位置合わせ)によって位置合わせすることもできる。位置合わせはまた、そのようなよく知られている技法によって、基板(1)上で実行することもできる。基板/テープ/フレーム・アセンブリ(1A)は、後述するような処理の誤りを回避するために、処理チャンバ(10)内に配置する前に位置合わせされることが重要である。
図8では、寸法(D)は、基板(1)の外径とフレーム(6)の内径との間の距離を表す。これは20mm〜30mmとすることができる(たとえば、Disco Corporationのダイシング・フレームは200mmの基板に対して250mmであり、したがって寸法(D)は公称で25mmである)。フレーム(6)内のテープ(5)上にウェーハ(1)を取り付ける間、ウェーハ(1)の配置のずれは多くても2mmとすることができ、したがって、基板(1)の外径とカバー・リング(20)の内径との間の距離である寸法(E)もまた、アセンブリごとに最大2mmまで変動することがある。ある点で(E)が0より小さい場合、カバー・リング(20)は基板(1)の縁部に重なる。この点は陰になってエッチングされず、これはダイ分離を妨げ、後の処理ステップで問題を引き起こす可能性がある。そのような問題を防止するには、移動前の基板/テープ/フレーム・アセンブリ(1A)の位置合わせが必要である。さらに、寸法(E)がゼロ以上であることをさらに確実にするために、カバー・リングの内径は、基板(1)の直径より大きくするべきであり、好ましい直径は基板より5mm大きい(たとえば、200mmの基板の場合、カバー・リングの内径は205mmである)。図8の寸法(F)は、カバー・リング(20)の内径からフレーム(6)の内径までの距離を表す。処理チャンバ(10)内へ移動させる前にフレーム(6)を位置合わせすることで、基板(1)の円周全体にわたって(F)を一定のままにし、テープ(5)のうち、静電チャック(ESC)(16)が接触しないあらゆる部分がプラズマ(7)からの陰になるようにする。
基板/テープ/フレーム・アセンブリ(1A)は、処理チャンバ(10)内へ移動されるとき、リフト機構(17)上へ配置されて、移動アーム(40)から取り外される。基板/テープ/フレーム・アセンブリ(1A)を処理チャンバ(10)から移動させる際には、逆の処理が行われる。リフト機構(17)はフレーム(6)の領域に接触し、基板(1)に対する点接触を提供しない。基板(1)に対する点接触は、特にダイの分離及び基板/テープ/フレーム・アセンブリ(1A)のアンロード後は、テープ(5)の可撓性によりダイが互いに接触して損傷が生じるため、基板(1)の損傷を引き起こす可能性がある。図13は、リフト機構(17)が下側からフレーム(6)に接触することを示すが、フレーム(6)はまた、クランプ・デバイスを使用して上面又は外径に接触することによって、移動アーム(40)から取り外すこともできる。基板(1)を処理するために、フレーム(6)、加工物支持部(13)、及びカバー・リング(20)は互いに対して動く。これは、カバー・リング(20)、加工物支持部(13)、若しくはリフト機構(17)、又はこれら3つの任意の組合せを動かすことによって実現することができる。
プラズマ処理中、基板(1)、テープ(5)、及びフレーム(6)を含めて、プラズマ(7)が接触するすべての表面へ熱が伝達される。カバー・リング(20)は、テープ(5)及びフレーム(6)の領域への熱伝達を最小にするが、基板(1)は、処理のためにプラズマ(7)に露出されたままにしなければならない。図6に示すように、基板(1)とプラズマ(7)との間には、導電スクリーン(25)(たとえば、アルミニウムから作られ、又は適当な耐プラズマ性の被覆でアルミニウム被覆される)を配置することができる。これにより、基板(1)上のイオン衝撃を低減させ、したがって基板(1)の加熱を低減させる。図14は、スクリーン(25)が複数の孔(26)を備え、それでもなおプラズマ(7)からの中性種が基板(1)に到達でき、したがってエッチング速度はわずかにしか低減されないことを示す。孔(27)により、スクリーン(25)を処理チャンバ(10)へ取り付けることができる。
静電チャック(ESC)(16)の使用によって、基板(1)の追加の冷却が提供される。そのようなESC(16)は、基板(1)に下方への力を加えるために半導体処理で一般に使用されるが、基板(1)と電極との間にはヘリウムなどの加圧ガスが維持される。これにより、基板(1)と冷却された電極との間で熱伝達を行うことを確実にすることができる。通常、ESC(16)の寿命を減少させる可能性のある潜在的に腐食性のプラズマ・ガスに対するESC(16)の表面の望ましくない露出を防止するために、ESC(16)は基板(1)と同じ直径であり、又は基板(1)より小さい。基板/テープ/フレーム・アセンブリ(1A)では、基板(1)の直径の外側の領域がテープ(5)である。典型的なESC(16)を使用することで、カバー・リング(20)が基板(1)の直径より大きいため、ESC(16)によって固定及び冷却されておらず、又はカバー・リング(20)によってプラズマ(7)から遮蔽されていないため、プラズマ処理に露出されるテープ(5)の領域があるはずである。テープ(5)のそのような領域は高温に到達し、場合によっては機能しなくなるはずである。したがって、図8は、領域(E)でプラズマに露出されたいかなるテープ(5)も固定及び冷却されるように、基板の直径より故意に大きくしたESC(16)を使用することを示す。この直径は、フレーム(6)の外径まで外側へ延ばすことができるが、フレーム(6)の内径より2mm小さいことが好ましい。
図8は、充填リング(18)がESC(16)の外径からリフト機構(17)まで延びることを示す。この充填リング(18)は、露出されたいかなるテープ(5)の裏面にもプラズマ(7)が接触するのを防止するために使用される。別個の充填リング(18)を示すが、ESC(16)を延ばすことでも、テープ(5)の裏側に対するプラズマ(7)の露出を防止するであろう。充填リング(18)は通常、低い熱伝導性及び低い電導性の両方のために選択されるセラミック(たとえば、酸化アルミニウム)などの誘電体材料、又はプラスチック材料(たとえば、ポリテトラフルオロエチレン(PTFE、Teflon))から作られる。半導体処理で使用される典型的なESC(16)は、ヘリウムの分配を容易にするため、又は基板(1)の裏側との接触を最小にして粒子の形成を低減させるため、表面上に製作された浅い形体のパターンを有する。そのようなESC(16)は、基板(1)を複数のダイに分離するとき、プラズマ・ダイシングに使用することができ、ダイ寸法より小さいESC表面上の形体寸法を提供することができる。ダイ寸法がESCの形体寸法に接近し、ESCの形体寸法より小さくなったとき、テープは形体に合わせて曲がり、場合によってはダイを互いに接触させ、損傷を引き起こす可能性がある。実質上共平面のESC表面を使用することでこの問題は解消される。前の例では、プラズマ・エッチング処理を容易にするためにより高い温度を必要とするいくつかの材料(たとえば、インジウム含有基板の場合は約180℃)の場合、ESCが基板を冷却することについて説明したが、ESC(16)の温度がより高温で制御されることが望ましいこともあることに留意されたい。
典型的なESC(16)(図15のクーロン設計)は、高い電圧(19)が印加される1つ又は複数の電極(33)から構成されており、電極(33)は、厚い絶縁層(32)によって加工物支持部(13)から分離され、薄い誘電体材料層(34)によって固定すべき材料から分離される。静電力によって生成されるクランプ力は、この誘電体層(34)の厚さが減少するにつれて増大し、印加される電圧が増大するにつれて増大する。この例では、基板(1)が絶縁テープ(5)上に取り付けられるとき、テープ(5)の厚さにより、電極(33)と基板(1)との間に介在する全体的な誘電体の厚さが増加する。テープの厚さは変動する可能性が高く、その結果、クランプ性能も変動しうるため、この全体的な厚さはテープの厚さによって主に決定されるべきではない。むしろ、テープの厚さに左右されずにクランプ性能を維持するには、ESC誘電体(34)を比較的厚くするべきである(数百ミクロン程度)。高いクランプ力は、高いクランプ電圧(最高約10kV)で動作させることによって実現することができる。
プラズマ処理中、基板(1)上のイオン衝撃を制御し、エッチング特性を制御するように、基板(1)にはRF電力(14)が結合される。このRFの周波数は、数百MHzから数百kHzまで変動することがある。基板材料を絶縁層(この例では取付けテープ)までエッチングするとき、絶縁層の帯電に関連するエッチングの問題はよく知られている。そのような問題は、基板/絶縁体の境界面における局部的な重大なアンダーカットを含み、これは個片化されたダイの性能に影響を与えるため、ダイの分離中には望ましくない。当技術分野ではよく知られているように、そのような帯電の問題は、低いRF周波数で動作し、さらにこのRF電力を低周波数でパルス化又は変調することによって軽減させることができる。そのような低周波数のRF結合は厚い誘電体材料(32)では効率的ではないため、基板(1)に対するRF結合は、RF電力のかかった加工物支持部(13)ではなく、1つ又は複数のESC電極を介して、たとえば結合キャパシタ(35)を介して行われることが好ましい。基板(1)に対する均一のRF結合を維持するために、1つ又は複数のESC電極は基板(1)の裏側に均一に配置されるべきである。これは、複数の電極が使用される場合実現するのが困難である。それは電極間の必要な間隙が、エッチングの品質に悪影響を与えるRF結合の局部的な変動をもたらし、特に基板/テープの境界面のアンダーカットをもたらすためである。したがって、ESC設計の好ましい実施例は、クランプ力を提供するために単一の電極が使用されるいわゆる単極設計を具体化する。さらに、この電極を通じて起こりうる侵入(たとえば、ピン・リフトに関する)は、同じくRF結合を妨げ、エッチング性能を劣化させるため、できるだけ少なくするべきである。
基板は、半導体業界でよく知られている技法を使用して処理することができる。シリコン基板は通常、SFなどのフッ素ベースの化学物質を使用して処理される。ケイ素をエッチングするには、SF/Oの化学物質が、高速且つ異方性のプロファイルのために一般に使用されている。この化学物質の欠点は、マスキング材料、たとえばフォトレジストに対する選択性が15〜20:1と比較的低いことである。別法として、高異方性の深いプロファイルを作製するために、堆積とエッチングを交互に行う時分割多重化(TDM)処理を使用することができる。たとえば、ケイ素をエッチングする交互の処理では、Cステップを使用して、シリコン基板のすべての露出表面(すなわち、マスク表面、エッチング側壁、及びエッチング床面)上に高分子を堆積させ、次いでSFステップを使用して、エッチング床面から高分子を選択的に取り除き、次いでわずかな量のケイ素を等方的にエッチング除去する。これらのステップは、終了されるまで繰り返される。そのようなTDM処理では、200:1より大きいマスキング層に対する選択性で、ケイ素まで深く入り込む異方性の形体を作製することができる。このため、TDM処理は、シリコン基板のプラズマ分離にとって所望の手法である。本発明は、フッ素含有化学物質又は時分割多重化(TDM)処理の使用に限定されるものではないことに留意されたい。たとえば、シリコン基板は、当技術分野では知られているように、Cl、HBr、又はIを含有する化学物質でエッチングすることもできる。
GaAsなどのIII−V族の基板の場合、塩素ベースの化学物質が半導体業界で広範囲にわたって使用されている。RF無線デバイスの製作の際、薄膜化されたGaAs基板は、デバイス側を下に向けてキャリア上へ取り付けられ、次いで基板は薄膜化され、フォトレジストでパターン形成される。GaAsはエッチング除去され、前側の回路に対する電気接点を露出させる。このよく知られている処理はまた、前述の本発明に記載の前側の処理によってデバイスを分離するために使用することもできる。前述の本発明では、他の半導体基板及び適当なプラズマ処理をダイの分離に使用することもできる。
基板/テープの境界面における帯電に関連する問題をさらに軽減させるために、この処理は、第2の処理に境界面が露出される点で変更することができ、第2の処理は、アンダーカットを生じさせる傾向がより小さく、通常はエッチング速度のより遅い処理である。変更が行われる時点は基板の厚さに依存しており、これは変動する可能性が高い。この変動性を補償するために、基板/テープの境界面に到達する時間は、終点技法を使用して検出される。プラズマ発光を監視する光学的技法は、終点を検出するために一般的に使用されており、米国特許第6,982,175号及び第7,101,805号は、TDM処理に適当なそのような終点技法について記載している。
半導体基板の個片化後、デバイス上に望ましくない残留物が存在する可能性がある。半導体デバイスに対する電気接点としてはアルミニウムが一般的に使用されており、フッ素ベースのプラズマに露出されたときは、その表面上にAlF層が形成される。AlFは正常なプラズマ処理条件下では不揮発性であり、基板から離れてシステムの外へ排気されることはなく、処理後も表面上に残る。アルミニウム上のAlFは、電気接点に対するワイアの接合強度が大きく低減されるため、デバイスに対する障害の一般的な原因である。したがって、プラズマ処理後に電気接点の表面からAlFを取り除くことが重要である。湿式方法を使用することができるが、分離されたダイの性質が脆弱であり、テープに起こりうる損傷がダイの解放を引き起こすため、この方法は困難になる。したがって、基板を真空チャンバ内に残したまま、この処理を第3の処理に、すなわち形成されたあらゆるAlFを取り除くように設計された処理に変更することができる。米国特許第7,150,796号は、水素ベースのプラズマを使用してAlFをその場で取り除く方法について記載している。同様に、他のハロゲン含有ガスを使用して基板をエッチングするとき、その場の処理を使用して、他のハロゲン含有残留物を取り除くことができる。
本開示は、添付の特許請求の範囲内に含有される内容、並びに上記の説明の内容を含む。本発明について、本発明の好ましい形態においてある程度の詳細さで説明したが、好ましい形態の本開示は例示のみを目的とし、本発明の趣旨及び範囲から逸脱することなく、構造並びに部分の組合せ及び構成の詳細に多数の変更を用いることができることが理解される。
以上で、本発明について説明した。

Claims (32)

  1. 基板をプラズマ・ダイシングする方法であって、
    壁を有する処理チャンバを供給するステップと、
    前記処理チャンバの前記壁に隣接してプラズマ源を供給するステップと、
    前記処理チャンバ内に加工物支持部を供給するステップと、
    前記基板をキャリア支持部上に配置して加工物を形成するステップと、
    前記加工物を前記加工物支持部上へロードするステップと、
    前記加工物の上方に配置されたカバー・リングを設けるステップと、
    前記プラズマ源によってプラズマを生成するステップと、
    前記生成されたプラズマによって前記加工物をエッチングするステップとを含む方法。
  2. 前記基板をフレーム上の支持フィルム上へ配置して前記加工物を形成するステップをさらに含む、請求項1に記載の方法。
  3. 前記加工物支持部に結合されたRF電源を供給するステップをさらに含む、請求項1に記載の方法。
  4. 前記加工物支持部が静電チャックをさらに備える、請求項3に記載の方法。
  5. 前記加工物支持部内にリフト機構をさらに備え、前記リフト機構上へ前記加工物がロードされる、請求項4に記載の方法。
  6. 前記静電チャックの外径から前記リフト機構まで延びる充填リングをさらに備える、請求項5に記載の方法。
  7. 前記高密度源と前記加工物との間に機械的な区画を供給するステップをさらに含む、請求項1に記載の方法。
  8. 前記エッチング・ステップ中に前記カバー・リングの温度を制御するステップをさらに含む、請求項1に記載の方法。
  9. 前記カバー・リングが複数の孔をさらに備える、請求項8に記載の方法。
  10. 基板をプラズマ・ダイシングする方法であって、
    壁を有する処理チャンバを供給するステップと、
    前記処理チャンバの前記壁に隣接してプラズマ源を供給するステップと、
    前記処理チャンバ内に、静電チャックを有する加工物支持部を供給するステップと、
    前記基板をキャリア支持部上に配置して加工物を形成するステップと、
    前記加工物を前記加工物支持部上へロードするステップと、
    前記静電チャックによって前記加工物を前記加工物支持部に固定するステップと、
    前記加工物の上方に配置されたカバー・リングを供給するステップと、
    前記プラズマ源によってプラズマを生成するステップと、
    前記生成されたプラズマによって前記加工物をエッチングするステップと、
    前記エッチング・ステップ中に前記カバー・リングの温度を制御するステップとを含む方法。
  11. 前記基板をフレーム上の支持フィルム上へ配置して前記加工物を形成するステップをさらに含む、請求項10に記載の方法。
  12. 前記カバー・リングが複数の孔をさらに備える、請求項10に記載の方法。
  13. 前記高密度源と前記加工物との間に機械的な区画を供給するステップをさらに含む、請求項10に記載の方法。
  14. 前記加工物支持部内にリフト機構をさらに備え、前記リフト機構上へ前記加工物がロードされる、請求項10に記載の方法。
  15. 前記静電チャックの外径から前記リフト機構まで延びる充填リングをさらに備える、請求項10に記載の方法。
  16. 前記静電チャックが単極である、請求項10に記載の方法。
  17. 前記静電チャックが多極である、請求項10に記載の方法。
  18. 前記静電チャックが実質上共平面の表面を有する、請求項10に記載の方法。
  19. 基板の直径と、
    静電チャックの直径とをさらに含み、前記静電チャックの直径が前記基板の直径より大きい、
    請求項10に記載の方法。
  20. 基板をプラズマ・ダイシングする方法であって、
    前記基板をキャリア支持部上に配置して加工物を形成するステップと、
    前記加工物をプラズマ処理チャンバ内へロードするステップと、
    第1のエッチング剤ガスを使用する第1のプラズマ・エッチング処理に前記プラズマ処理チャンバ内の前記加工物を露出させるステップと、
    個片化後に前記第1のプラズマ・エッチング処理を終了するステップと、
    第2のエッチング剤ガスを使用して第2のプラズマ・エッチング処理に前記プラズマ処理チャンバ内の前記加工物を露出させるステップとを含む方法。
  21. 前記基板をフレーム上の支持フィルム上へ配置して前記加工物を形成するステップをさらに含む、請求項20に記載の方法。
  22. 前記加工物の上に配置されたカバー・リングを設けるステップをさらに含む、請求項20に記載の方法。
  23. 前記第1のエッチング剤ガスがハロゲン含有ガスであり、前記第2のエッチング剤ガスが水素含有ガスである、請求項22に記載の方法。
  24. 前記第1のエッチング処理が時分割多重式のエッチング処理である、請求項23に記載の方法。
  25. 前記第2のエッチング処理が第2の時分割多重式のエッチング処理である、請求項24に記載の方法。
  26. 基板をプラズマ・ダイシングする装置であって、
    壁を有する処理チャンバと、
    前記処理チャンバの前記壁に隣接するプラズマ源と、
    静電チャックを有する前記処理チャンバ内の加工物支持部と、
    キャリア支持部上に前記基板を有し、前記加工物支持部上へ配置される加工物と、
    前記加工物の上方に配置され、前記処理チャンバの前記壁に熱結合されるカバー・リングとを備える装置。
  27. 前記加工物が、フレーム上の支持フィルム上に前記基板をさらに備える、請求項26に記載の装置。
  28. 前記カバー・リングがヒート・シンクに熱結合される、請求項26に記載の装置。
  29. 前記カバー・リングが複数の孔をさらに備える、請求項26に記載の装置。
  30. 前記高密度源と前記加工物との間に機械的な区画をさらに備える、請求項26に記載の装置。
  31. 前記加工物支持部内にリフト機構をさらに備え、前記リフト機構上へ前記加工物が配置される、請求項26に記載の装置。
  32. 前記静電チャックの外径から前記リフト機構まで延びる充填リングをさらに備える、請求項31に記載の装置。
JP2013558089A 2011-03-14 2012-03-12 半導体ウェーハをプラズマ・ダイシングする方法及び装置 Active JP6165635B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161452450P 2011-03-14 2011-03-14
US61/452,450 2011-03-14
US13/412,119 2012-03-05
US13/412,119 US8802545B2 (en) 2011-03-14 2012-03-05 Method and apparatus for plasma dicing a semi-conductor wafer
PCT/US2012/028771 WO2012125560A2 (en) 2011-03-14 2012-03-12 Method and apparatus for plasma dicing a semi-conductor wafer

Related Child Applications (7)

Application Number Title Priority Date Filing Date
JP2015093177A Division JP2015146463A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093178A Division JP2015146464A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093179A Division JP2015173279A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093180A Division JP2015179851A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093181A Division JP6072851B2 (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017073392A Division JP2017143294A (ja) 2011-03-14 2017-04-03 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017073391A Division JP2017152713A (ja) 2011-03-14 2017-04-03 半導体ウェーハをプラズマ・ダイシングする方法及び装置

Publications (3)

Publication Number Publication Date
JP2014513868A true JP2014513868A (ja) 2014-06-05
JP2014513868A5 JP2014513868A5 (ja) 2015-05-28
JP6165635B2 JP6165635B2 (ja) 2017-07-19

Family

ID=46828800

Family Applications (10)

Application Number Title Priority Date Filing Date
JP2013558089A Active JP6165635B2 (ja) 2011-03-14 2012-03-12 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093179A Pending JP2015173279A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093177A Pending JP2015146463A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093180A Pending JP2015179851A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093178A Pending JP2015146464A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093181A Active JP6072851B2 (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017073391A Pending JP2017152713A (ja) 2011-03-14 2017-04-03 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017073392A Pending JP2017143294A (ja) 2011-03-14 2017-04-03 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017104134A Active JP6336658B2 (ja) 2011-03-14 2017-05-26 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017147610A Active JP6427236B2 (ja) 2011-03-14 2017-07-31 半導体ウェーハをプラズマ・ダイシングする方法及び装置

Family Applications After (9)

Application Number Title Priority Date Filing Date
JP2015093179A Pending JP2015173279A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093177A Pending JP2015146463A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093180A Pending JP2015179851A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093178A Pending JP2015146464A (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2015093181A Active JP6072851B2 (ja) 2011-03-14 2015-04-30 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017073391A Pending JP2017152713A (ja) 2011-03-14 2017-04-03 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017073392A Pending JP2017143294A (ja) 2011-03-14 2017-04-03 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017104134A Active JP6336658B2 (ja) 2011-03-14 2017-05-26 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2017147610A Active JP6427236B2 (ja) 2011-03-14 2017-07-31 半導体ウェーハをプラズマ・ダイシングする方法及び装置

Country Status (6)

Country Link
US (10) US8802545B2 (ja)
EP (6) EP2698812A3 (ja)
JP (10) JP6165635B2 (ja)
CN (6) CN105047599B (ja)
TW (7) TWI569317B (ja)
WO (1) WO2012125560A2 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014063810A (ja) * 2012-09-20 2014-04-10 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2015050334A (ja) * 2013-09-02 2015-03-16 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP2015225889A (ja) * 2014-05-26 2015-12-14 パナソニックIpマネジメント株式会社 プラズマ処理方法及び装置
JP2016510168A (ja) * 2013-02-14 2016-04-04 プラズマ − サーム、エルエルシー 半導体ウェハをプラズマ・ダイシングするための方法及び装置
JP2016048715A (ja) * 2014-08-27 2016-04-07 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP2016143722A (ja) * 2015-01-30 2016-08-08 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、剥離方法、プログラム、および情報記憶媒体
JP2017168766A (ja) * 2016-03-18 2017-09-21 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
US9779986B2 (en) 2015-09-07 2017-10-03 Panasonic Intellectual Property Management Co., Ltd. Plasma treatment method and method of manufacturing electronic component
JP2018041765A (ja) * 2016-09-05 2018-03-15 株式会社ディスコ ウエーハの加工方法
JP2018078168A (ja) * 2016-11-08 2018-05-17 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
JP2018133540A (ja) * 2017-02-17 2018-08-23 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP2018137483A (ja) * 2018-05-23 2018-08-30 Sppテクノロジーズ株式会社 プラズマ加工方法及びこの方法を用いて製造された基板
JP2018190902A (ja) * 2017-05-10 2018-11-29 株式会社ディスコ 加工方法
JP2019079837A (ja) * 2017-10-20 2019-05-23 パナソニックIpマネジメント株式会社 プラズマ処理方法
US10546783B2 (en) 2017-06-28 2020-01-28 Panasonic Intellectual Property Management Co., Ltd. Manufacturing process of element chip and substrate heating apparatus
US10796960B2 (en) 2017-12-25 2020-10-06 Panasonic Intellectual Property Management Co., Ltd. Manufacturing process of element chip
US10923357B2 (en) 2017-02-23 2021-02-16 Panasonic Intellectual Property Management Co., Ltd. Element chip and manufacturing process thereof
US11101112B2 (en) 2017-08-30 2021-08-24 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device and plasma processing method
US11335564B2 (en) 2019-08-23 2022-05-17 Panasonic Intellectual Property Management Co., Ltd. Element chip smoothing method and element chip manufacturing method

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8691702B2 (en) * 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9129904B2 (en) 2011-06-15 2015-09-08 Applied Materials, Inc. Wafer dicing using pulse train laser with multiple-pulse bursts and plasma etch
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8507363B2 (en) 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8946057B2 (en) 2012-04-24 2015-02-03 Applied Materials, Inc. Laser and plasma etch wafer dicing using UV-curable adhesive film
US9048309B2 (en) 2012-07-10 2015-06-02 Applied Materials, Inc. Uniform masking for wafer dicing using laser and plasma etch
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US8940619B2 (en) 2012-07-13 2015-01-27 Applied Materials, Inc. Method of diced wafer transportation
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US9368404B2 (en) * 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
US9252057B2 (en) 2012-10-17 2016-02-02 Applied Materials, Inc. Laser and plasma etch wafer dicing with partial pre-curing of UV release dicing tape for film frame wafer application
US9484260B2 (en) * 2012-11-07 2016-11-01 Semiconductor Components Industries, Llc Heated carrier substrate semiconductor die singulation method
US9136173B2 (en) * 2012-11-07 2015-09-15 Semiconductor Components Industries, Llc Singulation method for semiconductor die having a layer of material along one major surface
US8975162B2 (en) 2012-12-20 2015-03-10 Applied Materials, Inc. Wafer dicing from wafer backside
JP6110136B2 (ja) * 2012-12-28 2017-04-05 株式会社ディスコ ウエーハのレーザー加工方法およびレーザー加工装置
US9236305B2 (en) 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
US9034734B2 (en) 2013-02-04 2015-05-19 Avago Technologies General Ip (Singapore) Pte. Ltd. Systems and methods for plasma etching compound semiconductor (CS) dies and passively aligning the dies
EP3594998B1 (en) * 2013-03-06 2022-01-05 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
WO2014159464A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc. Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch
US9214423B2 (en) * 2013-03-15 2015-12-15 Semiconductor Components Industries, Llc Method of forming a HEMT semiconductor device and structure therefor
JP5934939B2 (ja) * 2013-05-09 2016-06-15 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5962921B2 (ja) 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US20150011073A1 (en) * 2013-07-02 2015-01-08 Wei-Sheng Lei Laser scribing and plasma etch for high die break strength and smooth sidewall
JP6226117B2 (ja) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6226118B2 (ja) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
CN104347391B (zh) * 2013-08-09 2017-05-24 无锡华润上华半导体有限公司 一种深沟槽刻蚀设备及其方法
US9105710B2 (en) 2013-08-30 2015-08-11 Applied Materials, Inc. Wafer dicing method for improving die packaging quality
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
JP6083529B2 (ja) * 2013-09-02 2017-02-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9224650B2 (en) 2013-09-19 2015-12-29 Applied Materials, Inc. Wafer dicing from wafer backside and front side
US20150087131A1 (en) * 2013-09-20 2015-03-26 Infineon Technologies Ag Method for processing a chip
US9460966B2 (en) 2013-10-10 2016-10-04 Applied Materials, Inc. Method and apparatus for dicing wafers having thick passivation polymer layer
US9041198B2 (en) 2013-10-22 2015-05-26 Applied Materials, Inc. Maskless hybrid laser scribing and plasma etching wafer dicing process
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5938716B2 (ja) 2013-11-01 2016-06-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
WO2015068597A1 (ja) * 2013-11-06 2015-05-14 シャープ株式会社 半導体素子の製造方法および半導体素子
JP6441025B2 (ja) * 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
TWI671812B (zh) * 2013-11-13 2019-09-11 東芝股份有限公司 半導體晶片之製造方法、半導體晶片及半導體裝置
US9312177B2 (en) 2013-12-06 2016-04-12 Applied Materials, Inc. Screen print mask for laser scribe and plasma etch wafer dicing process
US9299614B2 (en) 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9293304B2 (en) 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
US9018079B1 (en) 2014-01-29 2015-04-28 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean
US9299611B2 (en) 2014-01-29 2016-03-29 Applied Materials, Inc. Method of wafer dicing using hybrid laser scribing and plasma etch approach with mask plasma treatment for improved mask etch resistance
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US8991329B1 (en) 2014-01-31 2015-03-31 Applied Materials, Inc. Wafer coating
JP2017515316A (ja) * 2014-03-07 2017-06-08 プラズマ − サーム、エルエルシー 半導体ウエハをプラズマ・ダイシングするための方法及び装置
US9275902B2 (en) 2014-03-26 2016-03-01 Applied Materials, Inc. Dicing processes for thin wafers with bumps on wafer backside
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US8932939B1 (en) 2014-04-14 2015-01-13 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US8912078B1 (en) 2014-04-16 2014-12-16 Applied Materials, Inc. Dicing wafers having solder bumps on wafer backside
US8999816B1 (en) 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US8912075B1 (en) 2014-04-29 2014-12-16 Applied Materials, Inc. Wafer edge warp supression for thin wafer supported by tape frame
US9159621B1 (en) 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
JP6364933B2 (ja) * 2014-05-01 2018-08-01 住友電気工業株式会社 半導体光デバイスを製造する方法
EP3920200A1 (en) 2014-05-05 2021-12-08 3D Glass Solutions, Inc. 2d and 3d inductors antenna and transformers fabricating photoactive substrates
US8980727B1 (en) 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US9112050B1 (en) 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US20150334812A1 (en) * 2014-05-16 2015-11-19 John Mazzocco Design to manage charge and discharge of wafers and wafer carrier rings
JP2015220366A (ja) * 2014-05-19 2015-12-07 株式会社ディスコ ウェーハの加工方法
US9034771B1 (en) 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
JP6319687B2 (ja) * 2014-05-26 2018-05-09 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9093518B1 (en) 2014-06-30 2015-07-28 Applied Materials, Inc. Singulation of wafers having wafer-level underfill
US9165832B1 (en) 2014-06-30 2015-10-20 Applied Materials, Inc. Method of die singulation using laser ablation and induction of internal defects with a laser
US9142459B1 (en) 2014-06-30 2015-09-22 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination
US9130057B1 (en) 2014-06-30 2015-09-08 Applied Materials, Inc. Hybrid dicing process using a blade and laser
CN105336561B (zh) * 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
US9349648B2 (en) 2014-07-22 2016-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using a rectangular shaped two-dimensional top hat laser beam profile or a linear shaped one-dimensional top hat laser beam profile laser scribing process and plasma etch process
US9196498B1 (en) 2014-08-12 2015-11-24 Applied Materials, Inc. Stationary actively-cooled shadow ring for heat dissipation in plasma chamber
US9117868B1 (en) * 2014-08-12 2015-08-25 Applied Materials, Inc. Bipolar electrostatic chuck for dicing tape thermal management during plasma dicing
US10283334B2 (en) * 2014-08-22 2019-05-07 Applied Materials, Inc. Methods and apparatus for maintaining low non-uniformity over target life
US9385041B2 (en) 2014-08-26 2016-07-05 Semiconductor Components Industries, Llc Method for insulating singulated electronic die
US9281244B1 (en) 2014-09-18 2016-03-08 Applied Materials, Inc. Hybrid wafer dicing approach using an adaptive optics-controlled laser scribing process and plasma etch process
US9177861B1 (en) 2014-09-19 2015-11-03 Applied Materials, Inc. Hybrid wafer dicing approach using laser scribing process based on an elliptical laser beam profile or a spatio-temporal controlled laser beam profile
US11195756B2 (en) * 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US9196536B1 (en) 2014-09-25 2015-11-24 Applied Materials, Inc. Hybrid wafer dicing approach using a phase modulated laser beam profile laser scribing process and plasma etch process
US9543157B2 (en) * 2014-09-30 2017-01-10 Infineon Technologies Ag Method for processing a carrier, a method for operating a plasma processing chamber, and a method for processing a semiconductor wafer
US9130056B1 (en) 2014-10-03 2015-09-08 Applied Materials, Inc. Bi-layer wafer-level underfill mask for wafer dicing and approaches for performing wafer dicing
US9245803B1 (en) 2014-10-17 2016-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a bessel beam shaper laser scribing process and plasma etch process
JP6254509B2 (ja) * 2014-11-07 2017-12-27 信越化学工業株式会社 電磁波シールド性支持基材付封止材及び封止後半導体素子搭載基板、封止後半導体素子形成ウエハ並びに半導体装置
US10692765B2 (en) 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
CN105789008B (zh) * 2014-12-22 2017-12-19 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体刻蚀方法
CN105789010B (zh) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US9330977B1 (en) 2015-01-05 2016-05-03 Applied Materials, Inc. Hybrid wafer dicing approach using a galvo scanner and linear stage hybrid motion laser scribing process and plasma etch process
US9159624B1 (en) 2015-01-05 2015-10-13 Applied Materials, Inc. Vacuum lamination of polymeric dry films for wafer dicing using hybrid laser scribing and plasma etch approach
US9355907B1 (en) 2015-01-05 2016-05-31 Applied Materials, Inc. Hybrid wafer dicing approach using a line shaped laser beam profile laser scribing process and plasma etch process
DE102015100783A1 (de) 2015-01-20 2016-07-21 Infineon Technologies Ag Verfahren zum Zertrennen eines Wafers und Halbleiterchip
US9941132B2 (en) * 2015-03-31 2018-04-10 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
CN106024565B (zh) * 2015-03-31 2019-11-19 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US9601375B2 (en) 2015-04-27 2017-03-21 Applied Materials, Inc. UV-cure pre-treatment of carrier film for wafer dicing using hybrid laser scribing and plasma etch approach
US9721839B2 (en) 2015-06-12 2017-08-01 Applied Materials, Inc. Etch-resistant water soluble mask for hybrid wafer dicing using laser scribing and plasma etch
US9478455B1 (en) 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
KR102382823B1 (ko) * 2015-09-04 2022-04-06 삼성전자주식회사 에어 홀을 갖는 링 부재 및 그를 포함하는 기판 처리 장치
JP6492287B2 (ja) * 2015-10-01 2019-04-03 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
GB201518756D0 (en) 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
JP6469854B2 (ja) * 2015-11-09 2019-02-13 古河電気工業株式会社 半導体チップの製造方法及びこれに用いるマスク一体型表面保護テープ
WO2017106209A1 (en) 2015-12-13 2017-06-22 GenXComm, Inc. Interference cancellation methods and apparatus
JP6467592B2 (ja) * 2016-02-04 2019-02-13 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法ならびに電子部品実装構造体
US9972575B2 (en) 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
JP2017162901A (ja) * 2016-03-08 2017-09-14 株式会社ディスコ ウエーハの分割方法
US9852997B2 (en) 2016-03-25 2017-12-26 Applied Materials, Inc. Hybrid wafer dicing approach using a rotating beam laser scribing process and plasma etch process
US9793132B1 (en) 2016-05-13 2017-10-17 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
US10257746B2 (en) 2016-07-16 2019-04-09 GenXComm, Inc. Interference cancellation methods and apparatus
US20180143332A1 (en) 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
JP6340655B2 (ja) * 2017-01-10 2018-06-13 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP2018156973A (ja) * 2017-03-15 2018-10-04 株式会社ディスコ ウェーハの加工方法
JP6861570B2 (ja) * 2017-04-27 2021-04-21 東京エレクトロン株式会社 基板処理装置
US10373869B2 (en) 2017-05-24 2019-08-06 Semiconductor Components Industries, Llc Method of separating a back layer on a substrate using exposure to reduced temperature and related apparatus
US11158540B2 (en) 2017-05-26 2021-10-26 Applied Materials, Inc. Light-absorbing mask for hybrid laser scribing and plasma etch wafer singulation process
US10363629B2 (en) 2017-06-01 2019-07-30 Applied Materials, Inc. Mitigation of particle contamination for wafer dicing processes
CN107248499B (zh) * 2017-06-20 2019-11-26 上海华力微电子有限公司 静电释放装置及制造方法、聚焦离子束设备及使用方法
JP7069605B2 (ja) * 2017-08-29 2022-05-18 富士電機株式会社 半導体装置の製造方法
NL2019623B1 (en) * 2017-09-25 2019-04-01 Suss Microtec Lithography Gmbh Wafer support system, wafer support device, system comprising a wafer and a wafer support device as well as mask aligner
JP6782215B2 (ja) * 2017-10-18 2020-11-11 古河電気工業株式会社 プラズマダイシング用マスク材、マスク一体型表面保護テープおよび半導体チップの製造方法
CA3084818C (en) 2017-12-15 2023-01-17 3D Glass Solutions, Inc. Coupled transmission line resonate rf filter
KR102600200B1 (ko) 2018-01-04 2023-11-10 3디 글래스 솔루션즈 인코포레이티드 고효율 rf 회로들을 위한 임피던스 정합 도전성 구조
US10665494B2 (en) * 2018-01-31 2020-05-26 Applied Materials, Inc. Automated apparatus to temporarily attach substrates to carriers without adhesives for processing
US10535561B2 (en) 2018-03-12 2020-01-14 Applied Materials, Inc. Hybrid wafer dicing approach using a multiple pass laser scribing process and plasma etch process
CN110277343B (zh) * 2018-03-14 2023-06-30 Toto株式会社 静电吸盘
US10916474B2 (en) * 2018-06-25 2021-02-09 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
CN110634796A (zh) * 2018-06-25 2019-12-31 半导体元件工业有限责任公司 用于处理电子管芯的方法及半导体晶圆和管芯的切单方法
US11355394B2 (en) 2018-09-13 2022-06-07 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate breakthrough treatment
US10607889B1 (en) * 2018-09-19 2020-03-31 Semiconductor Components Industries, Llc Jet ablation die singulation systems and related methods
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
TWI675413B (zh) * 2018-10-08 2019-10-21 華邦電子股份有限公司 切割晶圓的方法
US11150409B2 (en) 2018-12-27 2021-10-19 GenXComm, Inc. Saw assisted facet etch dicing
CA3107810A1 (en) 2018-12-28 2020-07-02 3D Glass Solutions, Inc. Heterogenous integration for rf, microwave and mm wave systems in photoactive glass substrates
US10818551B2 (en) * 2019-01-09 2020-10-27 Semiconductor Components Industries, Llc Plasma die singulation systems and related methods
WO2020206323A1 (en) 2019-04-05 2020-10-08 3D Glass Solutions, Inc. Glass based empty substrate integrated waveguide devices
US11373908B2 (en) * 2019-04-18 2022-06-28 3D Glass Solutions, Inc. High efficiency die dicing and release
US10727945B1 (en) 2019-07-15 2020-07-28 GenXComm, Inc. Efficiently combining multiple taps of an optical filter
US11011424B2 (en) 2019-08-06 2021-05-18 Applied Materials, Inc. Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process
US11342226B2 (en) 2019-08-13 2022-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process
US10903121B1 (en) 2019-08-14 2021-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process
KR102366179B1 (ko) * 2019-08-23 2022-02-22 세메스 주식회사 반송 장치 및 이를 가지는 기판 처리 장치
US11215755B2 (en) 2019-09-19 2022-01-04 GenXComm, Inc. Low loss, polarization-independent, large bandwidth mode converter for edge coupling
US11539394B2 (en) 2019-10-29 2022-12-27 GenXComm, Inc. Self-interference mitigation in in-band full-duplex communication systems
US11600492B2 (en) 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
TWI712104B (zh) * 2020-01-10 2020-12-01 力成科技股份有限公司 晶片固定裝置
WO2021211855A1 (en) 2020-04-17 2021-10-21 3D Glass Solutions, Inc. Broadband inductor
US11796737B2 (en) 2020-08-10 2023-10-24 GenXComm, Inc. Co-manufacturing of silicon-on-insulator waveguides and silicon nitride waveguides for hybrid photonic integrated circuits
US11838056B2 (en) 2021-10-25 2023-12-05 GenXComm, Inc. Hybrid photonic integrated circuits for ultra-low phase noise signal generators

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
JP2004087744A (ja) * 2002-08-27 2004-03-18 Hitachi Ltd 半導体装置の製造方法
JP2004172365A (ja) * 2002-11-20 2004-06-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2006513586A (ja) * 2003-05-30 2006-04-20 ピーエスケー・インコーポレーテッド 半導体製造工程でのフォトレジスト除去方法
US7150796B2 (en) * 2001-09-28 2006-12-19 Infineon Technologies Richmond, Lp Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
JP2009141276A (ja) * 2007-12-10 2009-06-25 Fuji Electric Device Technology Co Ltd 半導体装置およびその製造方法
JP2010507252A (ja) * 2006-10-19 2010-03-04 アプライド マテリアルズ インコーポレイテッド 基板処理構成部品からの残留物の除去

Family Cites Families (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5676242A (en) * 1979-11-26 1981-06-23 Tokyo Ohka Kogyo Co Ltd Treating apparatus using gas plasma reaction
US4377436A (en) * 1980-05-13 1983-03-22 Bell Telephone Laboratories, Incorporated Plasma-assisted etch process with endpoint detection
JPS59147433A (ja) * 1983-02-14 1984-08-23 Hitachi Ltd エツチング装置
US4496425A (en) * 1984-01-30 1985-01-29 At&T Technologies, Inc. Technique for determining the end point of an etching process
DE3615361C2 (de) * 1986-05-06 1994-09-01 Santos Pereira Ribeiro Car Dos Vorrichtung zur Oberflächenbehandlung von Werkstücken
US4717446A (en) * 1986-09-18 1988-01-05 Motorola Inc. Method of detecting the endpoint of the etch of epitaxially grown silicon
US4687539A (en) * 1986-10-29 1987-08-18 International Business Machines Corp. End point detection and control of laser induced dry chemical etching
JPH0291958A (ja) * 1988-09-29 1990-03-30 Tokyo Electron Ltd 搬送装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
JPH0750700B2 (ja) 1989-06-27 1995-05-31 三菱電機株式会社 半導体チップの製造方法
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US4975141A (en) * 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5389182A (en) * 1993-08-02 1995-02-14 Texas Instruments Incorporated Use of a saw frame with tape as a substrate carrier for wafer level backend processing
US5405488A (en) * 1993-09-13 1995-04-11 Vlsi Technology, Inc. System and method for plasma etching endpoint detection
TW357404B (en) 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3118497B2 (ja) * 1993-12-24 2000-12-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07312362A (ja) * 1994-05-17 1995-11-28 Nissin Electric Co Ltd ドライエッチング装置
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP2718901B2 (ja) 1994-10-31 1998-02-25 ローム株式会社 半導体装置の製造方法
DE19505906A1 (de) 1995-02-21 1996-08-22 Siemens Ag Verfahren zum Damage-Ätzen der Rückseite einer Halbleiterscheibe bei geschützter Scheibenvorderseite
JP3350278B2 (ja) * 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 基板処理装置
DE69510032T2 (de) * 1995-03-31 2000-01-27 Ibm Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
TW309503B (ja) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH09129607A (ja) * 1995-11-01 1997-05-16 Canon Inc マイクロ波プラズマエッチング装置及び方法
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
US5669977A (en) 1995-12-22 1997-09-23 Lam Research Corporation Shape memory alloy lift pins for semiconductor processing equipment
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
ATE251341T1 (de) 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JPH10150025A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
JPH10172954A (ja) * 1996-12-06 1998-06-26 Hitachi Ltd プラズマ処理装置
EP0860513A3 (en) * 1997-02-19 2000-01-12 Canon Kabushiki Kaisha Thin film forming apparatus and process for forming thin film using same
US6332359B1 (en) 1997-04-24 2001-12-25 Fuji Electric Co., Ltd. Semiconductor sensor chip and method for producing the chip, and semiconductor sensor and package for assembling the sensor
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6339206B1 (en) * 1997-10-15 2002-01-15 Tokyo Electron Limited Apparatus and method for adjusting density distribution of a plasma
JPH11176813A (ja) * 1997-12-12 1999-07-02 Nec Kyushu Ltd ドライエッチング装置
JP4035225B2 (ja) * 1998-03-20 2008-01-16 株式会社日立製作所 プラズマ処理方法
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
JPH11307513A (ja) * 1998-04-20 1999-11-05 Sony Corp 絶縁体基板対応プラズマ処理装置
US6068783A (en) * 1998-04-28 2000-05-30 Winbond Electronics Corp In-situ and non-intrusive method for monitoring plasma etch chamber condition utilizing spectroscopic technique
US6126848A (en) * 1998-05-06 2000-10-03 International Business Machines Corporation Indirect endpoint detection by chemical reaction and chemiluminescence
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
JP2000021861A (ja) * 1998-07-03 2000-01-21 Sony Corp ドライエッチング装置
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
ATE458261T1 (de) * 1998-12-11 2010-03-15 Surface Technology Systems Plc Plasmabehandlungsgerät
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
JP2000286328A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd ガス処理装置
US6339028B2 (en) * 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP4288767B2 (ja) * 1999-07-07 2009-07-01 東京エレクトロン株式会社 半導体装置の製造方法
US6992876B1 (en) 1999-07-08 2006-01-31 Lam Research Corporation Electrostatic chuck and its manufacturing method
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
US6176931B1 (en) * 1999-10-29 2001-01-23 International Business Machines Corporation Wafer clamp ring for use in an ionized physical vapor deposition apparatus
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2001168087A (ja) * 1999-12-10 2001-06-22 Nec Corp プラズマ処理装置およびプラズマ処理装置のステージ製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
US6221166B1 (en) 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
DE10031252A1 (de) * 2000-06-27 2002-01-10 Bosch Gmbh Robert Verfahren zur Zertrennung eines Substratwafers in eine Anzahl von Substratchips
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
JP3605009B2 (ja) * 2000-08-03 2004-12-22 三洋電機株式会社 半導体装置の製造方法
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
JP3379518B2 (ja) 2000-08-14 2003-02-24 株式会社村田製作所 圧電素子の製造方法
JP2002093752A (ja) * 2000-09-14 2002-03-29 Tokyo Electron Ltd 半導体素子分離方法及び半導体素子分離装置
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
JP2002246354A (ja) * 2001-02-14 2002-08-30 Enya Systems Ltd ウエ−ハの処理方法及びそれに用いるメカニカルチャック装置
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
JP2002270598A (ja) 2001-03-13 2002-09-20 Tokyo Electron Ltd プラズマ処理装置
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6686225B2 (en) 2001-07-27 2004-02-03 Texas Instruments Incorporated Method of separating semiconductor dies from a wafer
JP4518712B2 (ja) * 2001-08-13 2010-08-04 キヤノンアネルバ株式会社 トレイ式マルチチャンバー基板処理装置
TWI220423B (en) 2001-08-30 2004-08-21 Hrl Lab Llc A method of fabrication of a sensor
JP2003077699A (ja) * 2001-09-04 2003-03-14 Canon Inc プラズマ処理方法及び装置
JP2003100708A (ja) * 2001-09-27 2003-04-04 Mitsubishi Electric Corp 終点判別方法、半導体処理装置および半導体装置の製造方法
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
US6949395B2 (en) 2001-10-22 2005-09-27 Oriol, Inc. Method of making diode having reflective layer
JP2003257896A (ja) * 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
JP2003273082A (ja) 2002-03-14 2003-09-26 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6946410B2 (en) 2002-04-05 2005-09-20 E. I. Du Pont De Nemours And Company Method for providing nano-structures of uniform length
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US6818532B2 (en) 2002-04-09 2004-11-16 Oriol, Inc. Method of etching substrates
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US6784544B1 (en) * 2002-06-25 2004-08-31 Micron Technology, Inc. Semiconductor component having conductors with wire bondable metalization layers
JP2004047511A (ja) * 2002-07-08 2004-02-12 Tokyo Electron Ltd 離脱方法、処理方法、静電吸着装置および処理装置
JP2004047823A (ja) 2002-07-12 2004-02-12 Tokyo Seimitsu Co Ltd ダイシングテープ貼付装置およびバックグラインド・ダイシングテープ貼付システム
JP2004090534A (ja) * 2002-09-02 2004-03-25 Tokyo Electron Ltd 基板の加工装置および加工方法
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
JP4013745B2 (ja) * 2002-11-20 2007-11-28 松下電器産業株式会社 プラズマ処理方法
US6897128B2 (en) 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
JP4013753B2 (ja) 2002-12-11 2007-11-28 松下電器産業株式会社 半導体ウェハの切断方法
JP3991872B2 (ja) * 2003-01-23 2007-10-17 松下電器産業株式会社 半導体装置の製造方法
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
WO2004102642A2 (en) 2003-05-09 2004-11-25 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050029221A1 (en) * 2003-08-09 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench etching using HDP chamber
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
JP2005166820A (ja) * 2003-12-01 2005-06-23 Toshiba Ceramics Co Ltd 静電チャック装置
US20050221586A1 (en) 2003-12-18 2005-10-06 Mulligan Rose A Methods and apparatus for laser dicing
US20050136622A1 (en) 2003-12-18 2005-06-23 Mulligan Rose A. Methods and apparatus for laser dicing
JP4515755B2 (ja) * 2003-12-24 2010-08-04 東京エレクトロン株式会社 処理装置
JP2005191039A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 半導体ウェハの処理方法
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US6933212B1 (en) 2004-01-13 2005-08-23 National Semiconductor Corporation Apparatus and method for dicing semiconductor wafers
JP4365227B2 (ja) * 2004-01-14 2009-11-18 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7169691B2 (en) * 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4018088B2 (ja) 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
JP4559801B2 (ja) 2004-09-06 2010-10-13 東京エレクトロン株式会社 ウエハチャック
US7375434B2 (en) 2004-09-13 2008-05-20 Infineon Technologies Ag Semiconductor chip with flexible contacts at a face
JP2006120834A (ja) 2004-10-21 2006-05-11 Disco Abrasive Syst Ltd ウェーハの分割方法
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP2006173462A (ja) 2004-12-17 2006-06-29 Disco Abrasive Syst Ltd ウェーハの加工装置
JP4338650B2 (ja) 2005-01-12 2009-10-07 パナソニック株式会社 半導体チップの製造方法
TW200633037A (en) * 2005-01-24 2006-09-16 Matsushita Electric Ind Co Ltd Manufacturing method for semiconductor chips, and semiconductor chip
JP2006210401A (ja) 2005-01-25 2006-08-10 Disco Abrasive Syst Ltd ウェーハの分割方法
JP4275096B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
JP4275095B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
JP4288252B2 (ja) 2005-04-19 2009-07-01 パナソニック株式会社 半導体チップの製造方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP4721794B2 (ja) * 2005-07-11 2011-07-13 キヤノンアネルバ株式会社 微細構造物の作製方法
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
EP1929315A2 (en) 2005-08-26 2008-06-11 Camtek Ltd. Wafer inspection system and a method for translating wafers
JP2007073589A (ja) * 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体ウエハ処理方法
JP4508054B2 (ja) 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
JP4589853B2 (ja) * 2005-09-22 2010-12-01 東京エレクトロン株式会社 基板搬送システム及び基板搬送方法
US20070190747A1 (en) 2006-01-23 2007-08-16 Tessera Technologies Hungary Kft. Wafer level packaging to lidded chips
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP2007294812A (ja) * 2006-04-27 2007-11-08 Fujikura Ltd 冷却装置およびプラズマ処理装置
JP4887913B2 (ja) * 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP4698519B2 (ja) 2006-07-31 2011-06-08 日東電工株式会社 半導体ウエハマウント装置
JP2008041985A (ja) * 2006-08-08 2008-02-21 Tokyo Ohka Kogyo Co Ltd サポートプレート
JP5023614B2 (ja) 2006-08-24 2012-09-12 パナソニック株式会社 半導体チップの製造方法及び半導体ウエハの処理方法
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
JP4544231B2 (ja) 2006-10-06 2010-09-15 パナソニック株式会社 半導体チップの製造方法
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP5064985B2 (ja) * 2006-12-05 2012-10-31 古河電気工業株式会社 半導体ウェハの処理方法
JP4840174B2 (ja) * 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
KR101397124B1 (ko) * 2007-02-28 2014-05-19 주성엔지니어링(주) 기판지지프레임 및 이를 포함하는 기판처리장치, 이를이용한 기판의 로딩 및 언로딩 방법
JP4840200B2 (ja) * 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US7838424B2 (en) 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7989319B2 (en) 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
US7781310B2 (en) 2007-08-07 2010-08-24 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8859396B2 (en) 2007-08-07 2014-10-14 Semiconductor Components Industries, Llc Semiconductor die singulation method
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
JP4858395B2 (ja) * 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
US8680653B2 (en) 2007-11-12 2014-03-25 Infineon Technologies Ag Wafer and a method of dicing a wafer
JP4882971B2 (ja) * 2007-11-16 2012-02-22 パナソニック株式会社 プラズマダイシング装置
JP4882970B2 (ja) * 2007-11-16 2012-02-22 パナソニック株式会社 半導体チップの製造方法
TW200935506A (en) 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
JP5283370B2 (ja) * 2007-11-29 2013-09-04 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP2009176793A (ja) 2008-01-22 2009-08-06 Disco Abrasive Syst Ltd ウエーハの分割方法
JP2009260272A (ja) 2008-03-25 2009-11-05 Panasonic Corp 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
JP5254653B2 (ja) * 2008-04-04 2013-08-07 株式会社ディスコ 保護膜被覆装置
JP5325457B2 (ja) * 2008-05-22 2013-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5272648B2 (ja) * 2008-10-27 2013-08-28 大日本印刷株式会社 半導体素子の製造方法、及び半導体基板の加工方法
JP5253996B2 (ja) * 2008-12-26 2013-07-31 株式会社ディスコ ワーク分割方法およびテープ拡張装置
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
KR101680751B1 (ko) * 2009-02-11 2016-12-12 어플라이드 머티어리얼스, 인코포레이티드 비-접촉 기판 프로세싱
JP5308213B2 (ja) * 2009-03-31 2013-10-09 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 半導体装置の製造方法
JP5246001B2 (ja) 2009-04-10 2013-07-24 パナソニック株式会社 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
JP5071437B2 (ja) * 2009-05-18 2012-11-14 パナソニック株式会社 プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
JP5288555B2 (ja) * 2009-05-27 2013-09-11 サムコ株式会社 誘導結合プラズマ処理装置及びプラズマエッチング方法
KR101094450B1 (ko) 2009-06-05 2011-12-15 에스티에스반도체통신 주식회사 플라즈마를 이용한 다이싱 방법
JP2011023505A (ja) * 2009-07-15 2011-02-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2011035199A (ja) * 2009-08-03 2011-02-17 Tokyo Electron Ltd 基板載置機構およびそれを用いた基板処理装置
JP2011035281A (ja) * 2009-08-05 2011-02-17 Disco Abrasive Syst Ltd ワーク収納機構および研削装置
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8343371B2 (en) * 2010-01-15 2013-01-01 Tokyo Electron Limited Apparatus and method for improving photoresist properties using a quasi-neutral beam
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9165833B2 (en) 2010-01-18 2015-10-20 Semiconductor Components Industries, Llc Method of forming a semiconductor die
JP5562065B2 (ja) * 2010-02-25 2014-07-30 Sppテクノロジーズ株式会社 プラズマ処理装置
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8361884B2 (en) 2010-06-22 2013-01-29 Infineon Technologies Ag Plasma dicing and semiconductor devices formed thereof
KR101744668B1 (ko) * 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
KR101372805B1 (ko) * 2012-11-30 2014-03-19 로체 시스템즈(주) 웨이퍼 식각 시스템 및 이를 이용한 웨이퍼 식각 공정
US9236305B2 (en) 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
JP5934939B2 (ja) * 2013-05-09 2016-06-15 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6094813B2 (ja) * 2013-09-02 2017-03-15 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5938716B2 (ja) * 2013-11-01 2016-06-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US20150170955A1 (en) * 2013-12-17 2015-06-18 Applied Materials, Inc. Actively-cooled shadow ring for heat dissipation in plasma chamber
US9293304B2 (en) * 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US7150796B2 (en) * 2001-09-28 2006-12-19 Infineon Technologies Richmond, Lp Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
JP2004087744A (ja) * 2002-08-27 2004-03-18 Hitachi Ltd 半導体装置の製造方法
JP2004172365A (ja) * 2002-11-20 2004-06-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2006513586A (ja) * 2003-05-30 2006-04-20 ピーエスケー・インコーポレーテッド 半導体製造工程でのフォトレジスト除去方法
JP2010507252A (ja) * 2006-10-19 2010-03-04 アプライド マテリアルズ インコーポレイテッド 基板処理構成部品からの残留物の除去
JP2009141276A (ja) * 2007-12-10 2009-06-25 Fuji Electric Device Technology Co Ltd 半導体装置およびその製造方法

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014063810A (ja) * 2012-09-20 2014-04-10 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2016510168A (ja) * 2013-02-14 2016-04-04 プラズマ − サーム、エルエルシー 半導体ウェハをプラズマ・ダイシングするための方法及び装置
JP2015050334A (ja) * 2013-09-02 2015-03-16 パナソニックIpマネジメント株式会社 プラズマ処理装置
US11145494B2 (en) 2013-09-02 2021-10-12 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
JP2015225889A (ja) * 2014-05-26 2015-12-14 パナソニックIpマネジメント株式会社 プラズマ処理方法及び装置
JP2016048715A (ja) * 2014-08-27 2016-04-07 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US11398372B2 (en) 2014-08-27 2022-07-26 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
JP2016143722A (ja) * 2015-01-30 2016-08-08 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、剥離方法、プログラム、および情報記憶媒体
US9779986B2 (en) 2015-09-07 2017-10-03 Panasonic Intellectual Property Management Co., Ltd. Plasma treatment method and method of manufacturing electronic component
JP2017168766A (ja) * 2016-03-18 2017-09-21 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
US10297489B2 (en) 2016-03-18 2019-05-21 Panasonic Intellectual Property Management Co., Ltd. Plasma processing method and plasma processing apparatus
JP2018041765A (ja) * 2016-09-05 2018-03-15 株式会社ディスコ ウエーハの加工方法
JP2018078168A (ja) * 2016-11-08 2018-05-17 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
JP2018133540A (ja) * 2017-02-17 2018-08-23 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US10361111B2 (en) 2017-02-17 2019-07-23 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
US10923357B2 (en) 2017-02-23 2021-02-16 Panasonic Intellectual Property Management Co., Ltd. Element chip and manufacturing process thereof
JP2018190902A (ja) * 2017-05-10 2018-11-29 株式会社ディスコ 加工方法
US10546783B2 (en) 2017-06-28 2020-01-28 Panasonic Intellectual Property Management Co., Ltd. Manufacturing process of element chip and substrate heating apparatus
US11101112B2 (en) 2017-08-30 2021-08-24 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device and plasma processing method
US10714356B2 (en) 2017-10-20 2020-07-14 Panasonic Intellectual Property Management Co., Ltd. Plasma processing method
JP2019079837A (ja) * 2017-10-20 2019-05-23 パナソニックIpマネジメント株式会社 プラズマ処理方法
US10796960B2 (en) 2017-12-25 2020-10-06 Panasonic Intellectual Property Management Co., Ltd. Manufacturing process of element chip
JP2018137483A (ja) * 2018-05-23 2018-08-30 Sppテクノロジーズ株式会社 プラズマ加工方法及びこの方法を用いて製造された基板
US11335564B2 (en) 2019-08-23 2022-05-17 Panasonic Intellectual Property Management Co., Ltd. Element chip smoothing method and element chip manufacturing method

Also Published As

Publication number Publication date
CN104979265A (zh) 2015-10-14
CN105047599B (zh) 2018-02-06
TW201530637A (zh) 2015-08-01
EP2698814A3 (en) 2014-07-02
JP6165635B2 (ja) 2017-07-19
EP2698816A3 (en) 2014-06-18
CN103460350A (zh) 2013-12-18
US8796154B2 (en) 2014-08-05
US20160111332A1 (en) 2016-04-21
TWI528433B (zh) 2016-04-01
US9564366B2 (en) 2017-02-07
JP2015188091A (ja) 2015-10-29
TWI528435B (zh) 2016-04-01
CN105047558A (zh) 2015-11-11
CN104810274B (zh) 2017-11-07
EP2698813A2 (en) 2014-02-19
US20140154869A1 (en) 2014-06-05
TW201246335A (en) 2012-11-16
WO2012125560A2 (en) 2012-09-20
JP2015146464A (ja) 2015-08-13
US10297427B2 (en) 2019-05-21
EP2698816A2 (en) 2014-02-19
JP2017143294A (ja) 2017-08-17
TWI550700B (zh) 2016-09-21
TW201530635A (zh) 2015-08-01
EP2686877B1 (en) 2019-08-07
US20150371878A1 (en) 2015-12-24
CN104821289A (zh) 2015-08-05
US8980764B2 (en) 2015-03-17
JP2015146463A (ja) 2015-08-13
CN104810274A (zh) 2015-07-29
US8802545B2 (en) 2014-08-12
EP2698815A2 (en) 2014-02-19
EP2686877A2 (en) 2014-01-22
US10707060B2 (en) 2020-07-07
CN104979265B (zh) 2019-12-17
EP2698814A2 (en) 2014-02-19
JP2017157861A (ja) 2017-09-07
TWI601192B (zh) 2017-10-01
US9202721B2 (en) 2015-12-01
TW201530636A (zh) 2015-08-01
EP2698812A3 (en) 2014-06-18
JP6427236B2 (ja) 2018-11-21
CN105047599A (zh) 2015-11-11
US20130230970A1 (en) 2013-09-05
TW201530638A (zh) 2015-08-01
EP2698815A3 (en) 2014-06-25
US8785332B2 (en) 2014-07-22
JP2015179851A (ja) 2015-10-08
TWI569317B (zh) 2017-02-01
EP2698812A2 (en) 2014-02-19
JP2017152713A (ja) 2017-08-31
EP2698813B1 (en) 2020-07-15
US20130230968A1 (en) 2013-09-05
TW201709305A (zh) 2017-03-01
TW201530634A (zh) 2015-08-01
JP2017216473A (ja) 2017-12-07
US20130230969A1 (en) 2013-09-05
US20190013243A1 (en) 2019-01-10
US20130230972A1 (en) 2013-09-05
TWI556302B (zh) 2016-11-01
JP2015173279A (ja) 2015-10-01
US9202720B2 (en) 2015-12-01
US8778806B2 (en) 2014-07-15
JP6336658B2 (ja) 2018-06-06
WO2012125560A3 (en) 2013-07-04
US20120238073A1 (en) 2012-09-20
JP6072851B2 (ja) 2017-02-01
US20130065378A1 (en) 2013-03-14
EP2698814B1 (en) 2020-07-15
EP2698813A3 (en) 2014-07-02

Similar Documents

Publication Publication Date Title
JP6336658B2 (ja) 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP6463278B2 (ja) 半導体ウェハをプラズマ・ダイシングするための方法及び装置
USRE46339E1 (en) Method and apparatus for plasma dicing a semi-conductor wafer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140514

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150410

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160906

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20161202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20170405

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170606

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170621

R150 Certificate of patent or registration of utility model

Ref document number: 6165635

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250