CN104979265B - 用于对基板进行等离子切割的方法 - Google Patents

用于对基板进行等离子切割的方法 Download PDF

Info

Publication number
CN104979265B
CN104979265B CN201510187553.7A CN201510187553A CN104979265B CN 104979265 B CN104979265 B CN 104979265B CN 201510187553 A CN201510187553 A CN 201510187553A CN 104979265 B CN104979265 B CN 104979265B
Authority
CN
China
Prior art keywords
substrate
plasma
workpiece
frame
cover ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510187553.7A
Other languages
English (en)
Other versions
CN104979265A (zh
Inventor
克里斯·约翰逊
大卫·约翰逊
鲁塞尔·韦斯特曼
林内尔·马丁内斯
大卫·佩斯-沃拉德
戈登·格里夫纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Plasma Therm LLC
Original Assignee
Plasma Therm LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=46828800&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN104979265(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Plasma Therm LLC filed Critical Plasma Therm LLC
Publication of CN104979265A publication Critical patent/CN104979265A/zh
Application granted granted Critical
Publication of CN104979265B publication Critical patent/CN104979265B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

本发明提供了一种用于对基板进行等离子切割的方法,包括:提供具有壁的处理室;提供与所述处理室的壁相邻的等离子源,该等离子源在所述处理室中产生等离子;在所述处理室内提供工件支架;将基板放置到框架上的支撑膜上以形成工件;在工件支架内提供升降机构;使用所述升降机构将工件放置到工件支架上,所述升降机构接触工件的与所述框架重叠的部分;在等离子源与工件之间提供导电屏蔽网,该导电屏蔽网减少了所述基板上的离子轰击,该导电屏蔽网减少了所述基板的加热;通过所述等离子源产生等离子;以及,在所述导电屏蔽网位于等离子源下方且所述导电屏蔽网位于工件上方的情况下,通过从所述等离子源产生的等离子来蚀刻所述工件。

Description

用于对基板进行等离子切割的方法
分案申请
本申请是申请号为201280013642.4的中国专利申请的分案申请,上述申请的申请日为2012年3月12日,发明名称为“用于对半导体晶圆进行等离子切割的方法和设备”。
相关申请的交叉引用
本申请要求2011年3月14日提交的发明名称为“Apparatus for Plasma Dicing aSemi-conductor Wafer(用于对半导体晶圆进行等离子切割的设备)”的共同拥有的美国临时专利申请No.61/452,450的优先权并且涉及该临时专利申请,该临时专利申请通过引用并入本文。
技术领域
本发明涉及用于从半导体晶圆形成单独的器件芯片的设备的使用,具体地,涉及使用等离子蚀刻将晶圆分离成单独的裸片的设备。
背景技术
在以薄晶圆的形式的基板上制造半导体器件。通常使用硅作为基板材料,但是,也使用其它材料,诸如III-V化合物(例如GaAs和InP)。在某些情况下(例如,LED的制造),基板是半传导性材料的薄层沉积在其上的蓝宝石或碳化硅晶圆。这样的基板的尺寸的范围从2英寸和3英寸到200mm、300mm、和450mm直径,并且存在用来描述这样的基板尺寸的许多标准(例如,SEMI)。
在这些基板的处理中广泛地使用等离子刻蚀设备来生产半导体器件。这样的设备典型地包括装配有诸如电感耦合等离子体(ICP)的高密度等离子源的真空室,所述高密度等离子源被用来确保符合成本效益制造所必需的高蚀刻速率。为了去除在处理期间产生的热量,通常将基板卡合到冷却的支架。冷却气体(通常为氦)被维持在基板与支架之间,以为热量去除提供热传导路径。可以使用机械卡紧机构,其中,向下力被施加到基板的顶侧,但是,由于夹具与基板之间的接触,这可能导致污染。更频繁地,使用静电吸盘(ESC)来提供吸附力。
已经研发出适合于将被蚀刻的材料的若干气体化学成分。这些频繁地利用卤素(氟、氯、溴、或碘)或含卤素的气体连同添加的额外的气体以提高蚀刻的质量(例如,蚀刻各向异性、掩蔽选择性和蚀刻均匀性)。含氟气体,诸如SF6、F2或NF3被用来以高速率蚀刻硅。具体地,将高速率硅蚀刻步骤与钝化步骤交替以控制蚀刻侧壁的工艺(Bosch或TDM)通常用来在硅中蚀刻出深特征(features)。通常使用含氯气体和含溴气体来蚀刻III-V材料。
等离子蚀刻并不限于半导体基板和器件。该技术可以适用于其中蚀刻基板的适当的气体化学剂可用的任何基板类型。其它基板类型可以包括含碳基板(包括聚合体基板)、陶瓷基板(例如,AlTiC和蓝宝石)、金属基板、和玻璃基板。
为了确保一致的结果、低破坏和操作的简便性,在制造过程中通常使用机器人晶圆处理。处理器被设计为以最少的接触支撑晶圆,以最小化可能的污染和减少微粒的产生。一般仅在少许位置(典型地,在晶圆边缘的3-6mm内)采用边缘接触或靠近晶圆边缘的下侧接触。包括晶圆匣、机械臂和在处理室内的包括晶圆支架和ESC的固定装置的处理方案被设计用来处理如先前提出的标准晶圆尺寸。
在基板上制造之后,在封装或在其它电子电路系统中被采用之前,将单独的器件(裸片或芯片)相互分离。许多年来,机械装置已经用于来将裸片相互分开。这样的机械装置已经包括沿着与基板结晶轴线调准的划线破坏晶圆或通过使用高速金刚石锯锯入到或贯通在裸片之间的区域(迹道)中的基板。最近,已经使用激光器来促进划片处理。
这样的机械晶圆切割技术具有影响该方法的成本效益的限制。沿着裸片边缘的削片和破坏会降低生产的良好裸片的数量,并且随着晶圆厚度下降变得更加有问题。由锯条(锯口)消耗的区域可以是大于100微米,该区域是对于裸片生产不可用的有价值的区域。对于包含晶圆的小裸片(例如,具有500微米x500微米裸片尺寸的单独的半导体器件),这能够表示大于20%的损失。此外,对于具有许多小裸片并且因此具有若干迹道的晶圆,由于每个迹道被单独切割,所以切割时间增加,并且生产率下降。机械装置也受到沿着笔直线分离和产生正方形或椭圆形芯片的限制。这可能不表示底层器件拓扑结构(例如,高功率二极管是圆形的),并且因此,直线裸片形式导致可用基板区域的显著损失。激光切割也具有残留物留在裸片表面上或将应力引入到裸片中的限制。
重要的是要注意,锯切和激光切割技术基本上是连续的操作。因此,随着器件尺寸的减小,对晶圆进行切割的时间与在晶圆上的总切割迹道长度成比例地增加。
最近,等离子蚀刻技术已经被提出作为分离裸片和克服这些限制的方法。在器件制造之后,基板用适当的掩蔽材料掩蔽,在裸片之间留下敞开区域。然后,使用反应性气体等离子处理掩蔽的基板,所述反应性气体等离子蚀刻暴露在裸片之间基板材料。基板的等离子蚀刻可以部分或完全贯通基板进行。在部分等离子蚀刻的情况下,通过随后的劈开步骤将裸片分离,留下分离的单独的裸片。该技术在机械切割上提供多个益处:
1)破坏和碎屑减少;
2)锯口尺寸能够减少至显著小于20微米;
3)处理时间不随着裸片的数量增加而显著增加;
4)对于更薄的晶圆而言,处理时间被缩短;以及
5)裸片拓扑结构并不限于直线形式。
在器件制造之后,但是在裸片分离之前,通过机械研磨或类似的处理可以将基板打薄至几百微米或甚至小于一百微米的厚度。
在切割处理之前,通常将基板安装在切割固定装置上。该固定装置通常由支撑粘合剂膜的刚性框架构成。将被切割的基板附接到膜。该固定装置保持分离的裸片用于随后的下游操作。用于晶圆切割的大多数工具(锯子或基于激光的工具)被设置成处理具有这种构造的基板,并且已经规定了多种标准固定装置;然而,这样的固定装置与它们所支撑的基板迥然不同。但是,这样的固定装置被优化以便在目前的晶圆切割设备中使用,它们不能在已经被设计成处理标准基板的设备中被处理。因此,目前的自动化等离子蚀刻设备不适合处理用于切割固定的基板,并且难以实现等离子蚀刻技术对于裸片分离而言应有的益处。
一些小组已经设想到使用等离子来从晶圆基板切单裸片。美国专利6,642,127描述了一种等离子切割技术,在该技术中,在被设计用于处理硅晶圆的设备中进行等离子处理之前,首先将基板晶圆经由粘合剂材料附接到载体晶圆。该技术提出使将被切割的基板的形状因子适于与标准晶圆处理设备兼容。虽然该技术允许标准等离子设备对晶圆进行切割,但是,所提出的技术将不与切割操作下游的标准设备兼容。需要额外的步骤来使下游设备适应于标准下游设备或针对标准下游设备基板形状因子恢复基板形状因子。
美国专利申请2010/0048001考虑使用附接到薄膜并支撑在框架内的晶圆。然而,在2010/0048001应用中,通过将掩蔽材料附接到晶圆的后侧并且在等离子处理之前使用激光来限定蚀刻迹道来实现掩蔽处理。与从前侧切单基板的标准切割技术相比,该技术引入额外复杂且昂贵的可能取消等离子切割的一些优势的步骤。还需要额外的需求将后侧掩罩与前侧器件图案调准。
因此,所需要的是能够用于将半导体基板切割成单独的裸片且与处理安装于带上且支撑在框架中的基板的规定的晶圆切割技术相兼容并且还与标准前侧掩蔽技术相兼容的等离子蚀刻设备。
现有技术中没有提供本发明的益处。
因此,本发明的一个目的是提供克服现有技术器件的不足并且显著有助于使用等离子蚀刻设备对半导体基板进行切割的进步的改进。
根据本发明的一个方面,提供了一种用于对基板进行等离子切割的方法,包括:提供具有壁的处理室;提供与所述处理室的壁相邻的等离子源,该等离子源在所述处理室中产生等离子;在所述处理室内提供工件支架;将基板放置到框架上的支撑膜上以形成工件;在工件支架内提供升降机构;使用所述升降机构将工件放置到工件支架上,所述升降机构接触工件的与所述框架重叠的部分;在等离子源与工件之间提供导电屏蔽网,该导电屏蔽网减少了所述基板上的离子轰击,该导电屏蔽网减少了所述基板的加热;通过所述等离子源产生等离子;以及,在所述导电屏蔽网位于等离子源下方且所述导电屏蔽网位于工件上方的情况下,通过从所述等离子源产生的等离子来蚀刻所述工件。
本发明的另一个目的是提供用于对基板进行等离子切割的方法,该方法包括:提供具有壁的处理室;提供与所述处理室的壁相邻的等离子源;在所述处理室内提供工件支架;将所述基板放置到载体支架上以形成工件;将所述工件加载到所述工件支架上;提供布置在所述工件上方的盖环;通过所述等离子源产生等离子;以及通过所述产生的等离子来蚀刻所述工件。
本发明的又一个目的是提供用于对基板进行等离子切割的方法,该方法包括:提供具有壁的处理室;提供与所述处理室的壁相邻的等离子源;在所述处理室内设置工件支架,所述工件支架具有静电吸盘;将所述基板放置到载体支架上以形成工件;将所述工件加载到所述工件支架上;通过所述静电吸盘将所述工件吸附到所述工件支架;提供布置在所述工件上方的盖环;通过所述等离子源产生等离子;通过所述产生的等离子来蚀刻所述工件;以及在所述蚀刻步骤期间控制所述盖环的温度。
本发明的再一个目的是提供用于对基板进行等离子切割的方法,该方法包括:将所述基板放置到载体支架上以形成工件;将所述工件加载到等离子处理室中;将所述工件暴露于所述等离子处理室中以使用第一蚀刻气体进行第一等离子蚀刻处理;在切单之后终止所述第一等离子蚀刻处理;以及将所述工件暴露于所述等离子处理室中以使用第二蚀刻气体进行第二等离子蚀刻处理。
本发明的另一个目的是提供用于对基板进行等离子切割的设备,该设备包括:具有壁的处理室;与所述处理室的壁相邻的等离子源;在所述处理室内的工件支架,所述工件支架具有静电吸盘;具有在载体支架上的基板的工件,所述工件放置到所述工件支架上;以及布置在所述工件上方的盖环,所述盖环被导热联接到所述处理室的壁。
前述内容已经概述了本发明的一些相关目的。这些目的应被解释为例证预期的本发明的一些更加突出的特征和应用。通过以不同方式应用所公开的发明或在本公开的范围内修改本发明,能够获得许多其它有益的结果。因此,除了由权利要求结合附图所限定的本发明的范围之外,通过参照本发明的发明内容和优选实施例的详细描述,可以实现本发明的其它目的和更充分的理解。
发明内容
本发明描述了允许对半导体基板进行等离子切割的等离子处理设备。在器件制造和晶圆打薄之后,使用传统掩蔽技术来掩蔽基板的前侧(电路侧),该传统掩蔽技术保护电路部件并且在裸片之间留下无保护区域。基板安装在薄带上,该薄带被支撑在刚性框架内。基板/带/框架组件被转移到真空处理室中并暴露于反应气体等离子,在真空处理室中,裸片之间的无保护区域被蚀刻掉。在该过程中,反应气体等离子保护所述框架和带免受损害。该处理留下完全分离的裸片。此外,在蚀刻之后,使基板/带/框架组件另外地暴露于等离子,该等离子从基板表面去除潜在有破坏性的残渣。在所述基板/带/框架组件从处理室传送出来之后,使用公知的技术将裸片从所述带去除,然后,必要的话,对裸片进行进一步的处理(例如,包装)。
本发明的另一个特征是提供一种用于对基板进行等离子切割的方法。该基板能够具有诸如硅的半导电层,和/或该基板能够具有诸如GaAs的III-V层。该基板能够具有被图案化在基板的电路侧的、诸如光阻层的保护层。还设置有具有壁的处理室,且等离子源与处理室的壁相邻。等离子源能够是高密度等离子源。能够设置有与处理室流体连通的真空泵以及与处理室流体连通的气体进口。在处理室内设置有工件支架。通过将基板放置在载体支架上来形成工件。通过将基板附接到支撑膜并然后通过支撑膜将基板安装到框架,能够形成工件。支撑膜能够具有聚合物层和/或导电层。该支撑膜能够是标准的切割带。所述框架能够具有导电层和/或金属层。然后,将工件加载到工件支架上以进行等离子处理。RF功率源能够耦合到工件支架,以创造环绕工件的等离子。通过将诸如氦的加压气体从工件支架供应至工件,能够在工件与工件支架之间提供热连通。能够将静电吸盘合并到工件支架中,因此,静电吸盘能够将支撑膜吸附到静电吸盘。静电吸盘能够具有单极或多极吸附电极并通过库仑效应或Johnsen-Rahbek效应来提供吸附力。静电吸盘的直径能够大于基板的直径。静电吸盘的直径能够小于框架的内径。静电吸盘能够具有平坦的顶表面。静电吸盘能够具有小于单个裸片尺寸的特征。升降机构能够合并到工件支架中,在工件支架处,工件被加载到升降机构上。升降机构能够设计成使得它仅接触工件的框架。还能够设置有垫圈,其中,该垫圈从静电吸盘的外径延伸到升降机构。垫圈能够由介电材料制造。机械分隔部能够设置在高密度源与工件之间。该分隔部可以是能够导电的屏蔽网,该屏蔽网可以由铝制成。盖环布置在工件的上方。该盖环的内径能够小于基板的外径。能够通过真空泵降低处理室内的压力,并能够通过气体进口将处理气体引入到处理室中。等离子通过等离子源产生,因而,工件通过所产生的等离子被蚀刻。在等离子蚀刻步骤期间,该盖环的温度能够受到控制。在等离子蚀刻步骤期间,能够将盖环冷却到低于80℃的温度。通过利用处理室的壁和/或散热器,能够使盖环冷却。该盖环通过接触温度控制的流体能够被控制温度。盖环能够具有多个孔、等离子抗蚀层、金属层和/或陶瓷层。能够提供与处理室连通的真空兼容传递模块。能够将工件加载到真空兼容传递模块中的转移臂上,因此,在将工件从真空兼容传递模块传递到处理室期间,处理室维持在真空下。该转移臂能够是平坦的,转位到框架,在传递期间仅接触所述框架和/或与基板保持大致共面。在将工件传递到处理室中之前,通过机械调准和/或光学调准能够将工件调准。所述框架和/或基板在传递到处理室中之前能够被调准。
本发明的另一个特征是提供一种用于对基板进行等离子切割的方法。该基板能够具有诸如硅的半导电层,和/或基板能够具有诸如GaAs的III-V层。基板能够具有被图案化在基板的电路侧的、诸如光阻层的保护层。还设置有具有壁的处理室,且等离子源与该处理室的壁相邻。等离子源能够是高密度等离子源。能够设置有与处理室流体连通的真空泵和与处理室流体连通的气体进口。在处理室内设置有工件支架。通过将基板放置在载体支架上来形成工件。通过将基板附接到支撑膜并然后通过支撑膜将基板安装到框架,能够形成工件。支撑膜能够具有聚合物层和/或导电层。支撑膜能够是标准的切割带。所述框架能够具有导电层和/或金属层。然后,将工件加载到工件支架上用于等离子处理。RF功率源能够耦合到工件支架,以创造环绕工件的等离子。通过将诸如氦的加压气体从工件支架供应至工件,能够在工件与工件支架之间提供热连通。静电吸盘被合并到工件支架中,因此,静电吸盘将工件吸附到静电吸盘。静电吸盘能够是单极的或多极的。静电吸盘能够利用库仑(coulombic)效应或Johnsen-Rahbek效应来吸附所述基板。静电吸盘的直径能够大于基板的直径。静电吸盘的直径能够小于框架的内径。静电吸盘能够具有平坦顶表面。静电吸盘能够具有小于单个裸片尺寸的特征。能够将升降机构合并到工件支架中,在工件支架处,工件被加载到升降机构上。升降机构能够设计成使得它仅接触工件的框架。还能够设置有垫圈,其中,该垫圈从静电吸盘的外径延伸到升降机构。垫圈能够由介电材料制造。机械分隔部能够设置在高密度源与工件之间。该分隔部可以是能够导电的屏蔽网,该屏蔽网可以由铝制成。盖环布置在工件的上方。盖环的内径能够小于基板的外径。能够通过真空泵降低处理室内的压力,并能够通过气体进口将处理气体引入到处理室中。等离子由等离子源产生,因而,工件通过所产生的等离子被蚀刻。在等离子蚀刻步骤期间,所述盖环的温度受到控制。在等离子蚀刻步骤期间,能够将盖环冷却到低于80℃的温度。通过利用处理室的壁和/或散热器,能够使盖环冷却。盖环能够具有多个孔、等离子抗蚀层、金属层和/或陶瓷层。能够提供与处理室连通的真空兼容传递模块。能够将工件加载到真空兼容传递模块中的转移臂上,因此,在将工件从真空兼容传递模块传递到处理室期间,处理室维持在真空下。转移臂能够是平坦的,转位到框架,在传递期间仅接触所述框架和/或与基板保持共面。在将工件传递到处理室中之前,通过机械调准和/或光学调准能够将工件调准。框架和/或基板在传递到处理室中之前能够被调准。
本发明的又一个特征是提供一种用于对基板进行等离子切割的方法。通过将基板放置在载体支架上形成工件。通过将基板附接到支撑膜然后通过支撑膜将基板安装到框架,能够形成工件。支撑膜能够具有聚合物层和/或导电层。支撑膜能够是标准的切割带。框架能够具有导电层和/或金属层。工件被加载到等离子处理室中。盖环能够布置在工件的上方。使用在等离子处理室内的第一蚀刻气体将工件暴露于第一等离子蚀刻处理。第一蚀刻气体能够是诸如含氟气体或含氯气体的含卤素的气体。第一蚀刻处理能够是时分多路复用蚀刻处理。在裸片被切单之后,终止第一等离子蚀刻处理。第一等离子蚀刻处理的终止能够在基板与支撑膜之间的能够使用标准端点技术确定的界面处出现。然后,能够使用在等离子处理室内的第二蚀刻气体将工件暴露于第二等离子蚀刻处理。第二等离子蚀刻处理能够是被设计用以降低底切的较低的蚀刻速率处理。第二蚀刻气体能够是诸如含氟气体或含氯气体的含第二卤素的气体。第二蚀刻处理能够是第二时分多路复用蚀刻处理。然后,能够使用在等离子处理室内的第三蚀刻气体将工件暴露于第三等离子蚀刻处理。在暴露于第一等离子蚀刻处理和/或第二等离子蚀刻处理之后,第三等离子蚀刻处理能够去除存在于器件上的非期望的残渣。第三蚀刻气体能够是含氢气体。
本发明的另一个特征是提供一种用于对基板进行等离子切割的设备,该设备包括具有壁的处理室,且等离子源与处理室的壁相邻。等离子源能够是高密度等离子源。处理室能够具有与处理室流体连通的真空泵和与处理室流体连通的气体进口。在处理室内的工件支架具有静电吸盘。将具有安装在载体支架上的基板的工件放置到工件支架上。工件能够具有在支撑膜上的基板,该支撑膜安装在框架上。支撑膜能够具有聚合物层和/或导电层。支撑膜能够是标准的切割带。框架能够具有导电层和/或金属层。盖环布置在工件的上方,并且盖环被导热联接到处理室和/或散热器的壁。盖环能够具有多个孔。机械分隔部能够放置在高密度源与工件之间。升降机构能够放置在工件支架内。能够包括从静电吸盘的外径延伸到升降机构的垫圈。
前述内容已经相当广义地概述了本发明的较中肯且重要的特征,以便可以更好地理解本发明的下列详细描述,使得能够更加充分地理解目前对技术的贡献。将在下文中描述形成本发明的权利要求的主题的本发明的另外的特征。本领域的技术人员应领会,所公开的构思和特定实施例可以被容易地用作修改或设计其它结构的基础以便执行本发明的相同的目的。本领域的技术人员还应认识到,这样的等效结构不脱离如在所附权利要求中阐明的本发明的精神和范围。
附图说明
图1是半导体基板的俯视图,示出了由迹道分隔开的多个单独的器件;
图2是半导体基板的横截面视图,示出了由迹道分隔开的多个单独的器件;
图3是安装到带和框架的半导体基板的横截面视图;
图4是安装到带和框架的、正在通过等离子处理蚀刻的半导体基板的横截面视图;
图5是安装到带和框架的、彼此分隔开的半导体器件的横截面视图;
图6是真空处理室的横截面视图;
图7是处于处理位置的晶圆/框架的横截面;
图8是真空处理室中的框架和盖环的放大横截面视图;
图9是处理室内部的一部分的横截面视图,其中,盖环安装到处理室的壁;
图10是处理室内部的一部分的横截面视图,其中,盖环安装到内部散热器;
图11是安装到由转移臂支撑的带和框架的半导体基板的俯视图;
图12是安装到由转移臂支撑的带和框架的半导体基板的横截面视图;
图13是处于传递位置的晶圆/框架的横截面视图;
图14是屏蔽网的顶视图;
图15是静电吸盘的横截面视图;并且
图16是处于传递位置的室的示意图。
贯穿附图的几个视图,相同的参考标记指示相同的部件。
具体实施方式
图1示出了器件制造之后的典型的半导体基板。该基板(1)在其表面上具有包含器件结构(2)的多个区域,这些器件结构(2)由迹道区域(3)分隔开,在所述迹道区域中,不存在允许将器件结构分离成单独裸片的结构。尽管通常使用硅作为基板材料,但也经常采用因为它们的特殊性质而被挑选的其它材料。这样的基板材料包括砷化镓和其它III-V材料或其上已经沉积了半导电层的非半导体基板。
在本发明中,如图2中的横截面视图所示,然后用保护材料(4)覆盖器件结构(2),而迹道区域(3)保持无保护的状态。该保护材料(4)能够是通过熟知的技术施加并图案化的光阻材料。作为最终处理步骤,一些器件涂有横跨整个基板施加的诸如二氧化硅或PSG的保护介电层。通过用光阻材料进行图案化并蚀刻该介电材料,能够将保护介电层从迹道区域(3)选择性地去除,如本行业中熟知的。这留下了受到介电材料保护的器件结构(2)和在迹道区域(3)中的基本无保护的基板(1)。注意,在一些情况下,用以检验晶圆质量的试验特征(features)可以设在迹道区域(3)中。取决于特定的晶圆制造工艺流程,这些试验特征在晶圆切割处理期间可以受到或可以不受到保护。尽管图示的器件图案呈现为椭圆形裸片,但这不是必须的,并且,各个单独的器件结构(2)可以是任何其它形状,例如六边形,以适合于基板(1)的最佳利用。重要的是要注意,虽然先前示例考虑电介质材料作为保护膜,但也可通过包括半导体保护膜和导体保护膜的宽范围的保护膜来实施本发明。此外,该保护层能够包括多种材料。还重要的是要注意,该保护膜的一部分可以是最终器件的一体部分(例如,钝化电介质、金属焊盘等)。
通常可通过研磨处理来打薄基板(1),这将基板厚度减小到几百微米至约30微米或更薄。如图3所示,然后,将打薄的基板(1)附接到带(5)并且又安装在刚性框架(6)中,以形成工件(1A)。带(5)通常由含碳聚合物材料制成,此外,可以具有施加到其表面的薄导电层。带(5)为太易碎以至于无法在不破坏的情况下进行处理的、打薄的基板(1)提供支撑。应注意的是,图案化、打薄并然后安装的顺序不是关键的,并且可以对这些步骤进行调整以最佳地适合所使用的特定装置和基板和处理设备。重要的是要注意,虽然先前的示例考虑通过将基板(1)安装在粘合带(5)上而构成的工件(1A),该粘合带(5)又附接到框架(6),但是,本发明不受晶圆和载体的构造的限制。晶圆的载体能够包括各种材料。在等离子切割处理期间,该载体支撑基板。此外,不必使用粘合剂将晶圆附接到该载体——能够将晶圆保持于该载体并允许基板与阴极热连通的任何方法都是可以的(例如,静电吸附的载体,具有机械夹紧机构的载体等)。
在用带(5)将基板(1)安装在切割框架(6)中之后,将工件(1A)转移到真空处理室中。理想地,在转移期间,传递模块也处于真空下,这允许处理室保持在真空下,从而缩短处理时间并防止处理室暴露于大气和可能的污染。如图6所示,真空处理室(10)配备有:气体进口(11);高密度等离子源(12),该高密度等离子源(12)用于产生高密度等离子,如电感耦合等离子(ICP);工件支架(13),该工件支架(13)用于支撑工件(1A);RF功率源(14),该RF功率源(14)用于将RF功率通过工件支架(13)耦合到工件(1A);和真空泵(15),该真空泵(15)用于泵送来自处理室(10)的气体。在处理期间,使用如图4所示的反应等离子蚀刻处理(7)来蚀刻掉基板(1)的无保护区域。这留下了被分隔成单独裸片(8)的器件(2),如图5所示。在本发明的另一个实施例中,使用反应等离子蚀刻处理(7)来部分地蚀刻掉基板(1)的无保护区域。在这种情况下,诸如机械破坏操作的下游操作能够用来完成裸片分离。这些下游方法在本领域中是熟知的。
虽然先前的示例描述了使用真空室结合高密度等离子的本发明,但是,使用宽范围的等离子处理来蚀刻基板的无保护区域也是可以的。例如,本领域的技术人员能够想到本发明使用真空室中的低密度等离子源或甚至使用处于大气压力下或接近大气力下的等离子的变型例。
当所述基板/带/框架组件(1A)处于用于等离子处理的位置时,重要的是,保护框架(6)以免暴露于等离子(7)。暴露于等离子(7)将导致框架(6)被加热,进而将导致安装带(5)被局部加热。在大约100℃以上的温度下,该带(5)的物理性质及其粘合能力可能恶化,并且它将不再附着到框架(6)。另外,框架(6)暴露于反应等离子气体可能导致框架(6)的退化。由于框架(6)在晶圆切割之后通常被重新使用,所以这可能限制框架(6)的使用寿命。框架(6)暴露于等离子(7)还可能不利地影响蚀刻处理:例如,框架材料可能与处理气体发生反应,有效地减小处理气体在等离子中的浓度,这将降低基板材料的蚀刻速率,从而增加处理时间。为了保护框架(6),如图6、图7和图8所示,保护盖环(20)放置在框架(6)上方。由于与该框架接触(这将在转移到处理室(10)期间发生)可能会产生不期望的颗粒,所以盖环(20)不接触框架(6)。
在图8中,尺寸(A)表示盖环(20)与框架(6)之间的距离。该尺寸的范围能够从小于约0.5mm至大于约5mm,其最佳值为1.5mm。如果距离(A)太大,则等离子(7)将接触框架(6),并且盖环(20)的益处将消失。
重要的是,盖环(20)是温度控制的,另外,由于盖环(20)暴露于等离子(7)并且进而通过辐射加热而加热该带(5)和框架(6),所以其温度将上升,从而导致如上指出的退化。对于盖环(20)被冷却的情况,盖环(20)的冷却通过让盖环(20)直接接触冷却的主体来完成,该冷却的主体例如是图9所示的处理室壁(10W)或图10所示的位于处理室(10)内的散热器(30)。为了确保将热量从盖环(20)充分转移至散热器(30),盖环(20)应由具有良好导热性的材料制成。这样的材料包括许多种金属,例如铝,但也可使用诸如氮化铝的其它导热材料和其它陶瓷。对盖环材料的挑选应与所使用的等离子处理气体兼容。虽然铝对于氟基处理而言是令人满意的,但是,当使用氯基处理时,诸如氮化铝的替换材料或诸如氧化铝的另外的保护涂层可能是必要的。在等离子处理期间,盖环(20)的操作温度通常低于80℃,这最小化了到带(5)和框架(6)的热辐射并确保带(5)维持其机械完整性。替代地,通过使盖环(20)与温度控制的流体相接触,盖环(20)可以是温度控制的。该流体能够是液体或气体。在盖环(20)温度受到流体控制的情况下,盖环(20)可以包含多个流体通道以促进热传递。这些流体通道能够在盖环(20)的内部、或从外部附接到盖环(20),或这两种情形的一些组合。
在一个实例中,盖环(20)能够从基板直径处连续延伸到内室直径处。为了避免泵送导率的损失(它可能不利地影响处理室(10)内的压力控制),能够对盖环(20)添加多个孔(21),所述多个孔(21)允许处理气体的充分导率,同时仍提供从盖环(20)的排热路径。在图9和图10中,示出了以特定的几何结构布置的多个孔(21),但是取决于处理室(10)的尺寸和所需的泵送导率,孔(21)的密度、尺寸、图案和对称性能够变化。
所述基板/带/框架组件(1A)由转移臂(40)转移到处理室(10)中以及从处理室(10)中转移出,该转移臂(40)支撑框架(6)和基板(1)使得它们维持共面,如图11和图12所示。转移臂(40)可以支撑所述带(5)和框架(6)两者或仅支撑框架(6),但重要的是,因为打薄的基板(1)的易碎属性,所以组件(1A)未仅在基板(1)的区域下方被支撑。转移臂(40)具有调准固定装置(41),该调准固定装置(41)附接到转移臂(40),在被转移到处理室(10)中之前,该调准固定装置(41)将框架(6)在可重复的位置中调准。还能够通过半导体处理中的其它熟知的技术(例如,光学调准)来调准框架(6)。通过这些熟知的技术,也能够对基板(1)执行调准。重要的是,所述基板/带/框架组件(1A)在放置于处理室(10)中之前被调准,以避免下文说明的误处理。
在图8中,尺寸(D)表示基板(1)的外径与框架(6)的内径之间的距离。这可以是20mm至30mm(例如,对于200mm基板,Disco Corporation切割框架是250mm,使得该尺寸(D)名义上是25mm)。在将晶圆(1)在框架(6)内安装在带(5)上期间,晶圆(1)的放置偏差可至多是2mm,使得作为基板(1)的外径与盖环(20)的内径之间的距离的尺寸(E)从组件到组件也可以变化最多达2mm。如果在某点(E)处小于零,则盖环(20)将覆盖基板(1)的边缘。该点将被遮蔽并防止被蚀刻,这能够防止裸片分离并导致后续处理步骤中的问题。在进行转移之前必须进行基板/带/框架组件(1A)的调准,以防止这样的问题。此外,为了额外地确保尺寸(E)不小于零,盖环的内径应大于基板(1)的直径,且优选该内径比基板的直径大5mm(例如,对于200mm基板,盖环的内径为205mm)。图8中的尺寸(F)表示从盖环(20)的内径到框架(6)的内径的距离。在转移到处理室(10)中之前对框架(6)的调准确保了:对于环绕基板(1)的整个圆周而言,(F)保持常量,并且,带(5)的不与静电吸盘(ESC)(16)接触的任何部分均被遮蔽而免受等离子(7)。
当将基板/带/框架组件(1A)转移到处理室(10)中时,将其放置到升降机构(17)上并从转移臂(40)上移开。逆向过程在基板/带/框架组件(1A)从处理室(10)中转移出来期间发生。升降机构(17)接触框架(6)的区域并且不提供与基板(1)的点接触。由于带(5)的挠性将导致裸片相互接触并出现损害,所以与基板(1)的点接触能够导致对基板(1)的损害,特别地在裸片分离和卸下基板/带/框架组件(1A)之后。图13示出了从下侧接触框架(6)的升降机构(17):然而,通过使用夹紧装置与顶表面或外径接触,也能够使框架(6)离开转移臂(40)。为了对基板(1)进行处理,框架(6)、工件支架(13)、和盖环(20)彼此相对移动。这能够通过移动盖环(20)、工件支架(13)、或升降机构(17)中的任一个或三者的任意组合来实现。
在等离子处理期间,热量被传递至该等离子(7)所接触的所有表面,包括基板(1)、带(5)、和框架(6)。盖环(20)将最小化到带(5)和框架(6)的区域的热传递,但是基板(1)必须保持暴露于等离子(7)以用于处理。如图6所示,能够将导电屏蔽网(25)(例如,由铝或涂有适当的耐等离子涂层的铝制成)放置在基板(1)与等离子(7)之间。这将减少基板(1)上的离子轰击并因此减少基板(1)的加热。图14示出了设有多个孔(26)的屏蔽网(25),其仍然允许来自等离子(7)的中性粒子到达基板(1),使得蚀刻速率仅稍微减小。孔(27)允许将屏蔽网(25)安装到处理室(10)。
基板(1)的额外冷却通过使用静电吸盘(ESC)(16)来提供。一般在半导体处理中使用这样的ESC(16)来对基板(1)施加向下的力,而诸如氦的加压气体被维持在基板(1)与电极之间。这确保了热传递能够在基板(1)与冷却的电极之间出现。通常,ESC(16)的直径与基板(1)相同或小于基板(1),以防止ESC(16)表面意外地暴露于潜在的腐蚀性等离子气体,这会缩短ESC(16)的寿命。在基板/带/框架组件(1A)中,在基板(1)的直径外侧的区域是带(5)。使用典型的ESC(16),因为盖环(20)大于基板(1)的直径,将存在带(5)的暴露于等离子处理的区域,其不被夹紧并且由ESC(16)冷却或者由盖环(20)遮蔽而免受等离子(7)。带(5)的这种区域将达到高温并可能发生故障。因此,图8示出了对ESC(16)的使用,该ESC(16)被故意地制成大于基板直径,使得暴露于区域(E)中的等离子的任何带(5)也被夹紧并冷却。该直径能够向外延伸到框架(6)的外径,但优选比框架(6)的内径小2mm。
图8示出了从ESC(16)的外径延伸到升降机构(17)的垫圈(18)。该垫圈(18)用来防止任何暴露的带(5)的后表面接触等离子(7)。尽管示出了独立的垫圈(18),但是,ESC(16)的延伸部也将防止等离子(7)暴露于带(5)的后侧。垫圈(18)通常由诸如陶瓷(例如,氧化铝)或塑性材料(例如,聚四氟乙烯(PTFE,特氟隆))的介电材料制成,选择这两者是因为它们的低导热性及低导电性。在半导体处理中使用的典型的ESC(16)具有在它们的表面上制造的浅特征的图案,以促进氦分布或以最小化与基板(1)的后侧的接触来减少颗粒形成。当将基板(1)分离成多个裸片时,这种ESC(16)能够用于等离子切割,在ESC表上提供小于裸片尺寸的特征尺寸。当裸片尺寸接近于并变得小于ESC特征尺寸时,该带将符合所述特征并弯曲,可能促使裸片相互接触,这会导致损害。对基本共面的ESC表面的使用消除了该问题。注意,尽管先前示例描述了冷却该基板的ESC,但是,对于要求较高温度以促进等离子蚀刻处理的一些材料(例如,对于含铟基板,近似180℃)而言,可以期望较高温度控制的ESC(16)温度。
典型的ESC(16)(图15的库仑设计)由一个或多个电极(33)构成,对其施加高压(19),所述一个或多个电极(33)通过厚绝缘层(32)与工件支架(13)分离,并通过薄介电材料层(34)与要被吸附的材料隔开。由静电力产生的吸附力随着该介电层(34)的厚度的减小而增加,并随着所施加的电压的增加而增加。在本实例中,当将基板(1)安装在绝缘带(5)上时,带(5)的厚度增大了置于电极(33)与基板(1)之间的总电介质厚度。该总厚度应该不是主要由带厚度确定,因为带厚度有可能变化,导致可变的吸附性能。相反,ESC电介质(34)应是相对厚的(大约几百微米),以独立于带厚度维持吸附性能。通过在高的吸附电压(高达近似10kV)下操作,能够实现高的吸附力。
在等离子处理期间,RF功率(14)被耦合到基板(1),以控制基板(1)上的离子轰击并控制蚀刻特性。该RF的频率可以从几百MHz下至几百kHz不等。当向下蚀刻基板材料至绝缘层(在该实例中,安装带)时,与绝缘层的充电相关联的蚀刻问题是熟知的。这样的问题包括在基板/绝缘体界面处局部化的严重底切,这在界面裸片分离期间是不期望的,因为这影响切单的裸片的性能。如本领域中熟知的,通过在低的RF频率下操作并且此外在低频率下使RF功率跳动或调整RF功率,能够减轻这样的充电问题。由于在这样的低频率下通过厚介电材料(32)的RF耦合是无效的,所以RF耦合至基板(1)优选经由一个或多个ESC电极,例如经由耦合电容器而非经由RF供电工件支架(13)。为了维持均匀的RF耦合到基板(1),一个或多个ESC电极也应均匀布置在基板(1)后方。这在使用多个电极的情况下是难以实现的,因为在电极之间的必要的间隙导致RF耦合中的局部变化,这不利地影响蚀刻质量,特别地在基板/带界面处的底切。因此,ESC设计的优选实施例合并所谓的单极设计,在单极设计中,单电极用来提供吸附力。另外,应存在尽可能少的贯通该电极的贯通部(例如,关于销抬(pin lifts)),因为这些贯通部还将妨碍RF耦合并且使蚀刻性能退化。
能够使用半导体工业中熟知的技术来处理基板。一般使用诸如SF6的氟基化学成分来处理硅基板。SF6/O2化学成分因为其高速率和各向异性轮廓通常被用来蚀刻硅。该化学成分的缺点是其对掩蔽材料例如对15-20:1的光阻材料的相对低的选择性。替代地,能够使用时分复用(TDM)处理,该项处理在沉积与蚀刻之间交替以产生高度各向异性的深轮廓。例如,蚀刻硅的交替处理使用C4F8步骤将聚合物沉积在硅基板的所有暴露的表面上(即,掩蔽表面、蚀刻侧壁和蚀刻底板),然后,SF6步骤用于将聚合物从蚀刻底板选择性地去除,然后各向异性地蚀刻少量的硅。重复这些步骤直至中止。这样的TDM处理能够产生深入到硅中的各向异性特征,且具有大于200:1的掩蔽层的选择性。因此,这使得TDM处理实现硅基板的等离子分离的期望的方法。注意,本发明并不限于使用含氟化学成分或时分多路复用(TDM)处理。例如,还可以利用如本领域已知的含Cl、HBr或I的化学成分来蚀刻硅基板。
对于诸如GaAs的III-V基板,在半导体工业中广泛地使用氯基化学成分。在RF无线器件的制造中,打薄的GaAs基板安装在载体上且器件朝下,然后,利用光阻材料对GaAs基板进行打薄和图案化。将GaAs蚀刻掉以使电触头暴露于前侧电路。该熟知的处理能够用来通过在上述发明中描述的前侧处理来分离这些器件。其它半导体基板和适当的等离子处理也能够被用于上述发明中的裸片的分离。
为了进一步减轻与在基板/带界面处充电相关联的问题,处理能够在界面暴露于第二处理的点处被改变,该第二处理具有不易底切(undercut)的趋势并且典型地是较低蚀刻速率处理。改变发生的时间点取决于基板的厚度,其有可能变化。为了补偿该可变性,使用端点技术来检测到达基板/带界面的时间。监测等离子排放的光技术通常被用来检测端点,并且美国专利6,982,175和7,101,805描述适合于TDM处理的这样的端点技术。
在半导体基板的切单之后,在这些器件上可能存在非期望的残渣。通常使用铝作为半导体器件的电触头,并且,当半导体器件暴露于氟基等离子层时,一层AlF3形成在其表面上。A1F3在正常等离子处理条件下是不挥发的并且不被从基板抽走和从系统抽出,并且在处理之后保持在表面上。铝上的AlF3是器件出现故障的常见原因,因为电线到电触头的结合强度大大降低。因此,在等离子处理之后将AIF3从电触头的表面去除是重要的。能够使用湿方法;然而,这变得困难,因为分离的裸片的易碎属性,并且对带的可能的损害导致裸片释放。因此,在基板仍然在真空室内的同时,能够将处理改变成第三处理,改变成被设计用以去除所形成的任何AlF3的处理。美国专利7,150,796描述了一种用于使用氢基等离子原地除去AlF3的方法。同样,当使用其它含卤素的气体来蚀刻基板时,能够使用原地处理来除去其它含卤素的残渣。
本公开包括所附权利要求中所包含的内容以及前述描述的内容。尽管已经以其具有某种程度的特殊性的优选形式描述了本发明,但是,应理解,优选形式的本公开仅通过举例提出,并且在不脱离本发明的精神和范围的情况下,可以求助于构造的细节上的若干改变和零件的布置的组合。
现在,已经描述了本发明。

Claims (5)

1.一种用于对基板进行等离子切割的方法,所述方法包括:
提供转移臂;
提供具有壁的处理室;
提供与所述处理室的所述壁相邻的等离子源,所述等离子源在所述处理室中产生等离子;
在所述处理室内提供工件支架,所述工件支架具有升降机构;
使得所述基板具有一定的直径和顶表面,所述基板的顶表面具有多个器件结构和迹道区域;
提供具有下侧的框架;
将所述基板放置到所述框架上的支撑膜上,以形成工件;
利用所述转移臂将所述工件放置到所述处理室内及所述工件支架上,所述升降机构接触所述工件的框架的下侧;
提供保护盖环,所述保护盖环的内径大于所述基板的直径,所述保护盖环被设置在所述工件的框架的上方,所述保护盖环不接触所述框架,
在所述等离子源与所述工件之间提供屏蔽网;
通过所述等离子源产生等离子;
通过从所述等离子源产生的等离子来蚀刻所述等离子处理室中的所述工件的基板的顶表面上的无保护迹道区域,并且对所述盖环进行温度控制,以通过使得所述盖环直接接触所述处理室的壁来对所述盖环进行冷却,在等离子处理期间,使得所述盖环的操作温度低于80摄氏度,所述盖环具有多个孔,所述多个孔允许处理气体的充分传导,并提供从所述盖环排热的路径,同时所述盖环最小化到所述框架和所述支撑膜的区域的热传递,同时在等离子蚀刻步骤期间,所述屏蔽网减小所述基板上的离子轰击并减少所述基板的加热,
从所述处理室转移出所述工件。
2.根据权利要求1所述的方法,还包括:
在所述工件支架中提供静电吸盘,所述静电吸盘具有外径;并且
垫圈,所述垫圈从所述静电吸盘的外径延伸至所述升降机构,所述垫圈防止暴露的所述支撑膜的后表面接触所述等离子。
3.根据权利要求2所述的方法,其中,所述屏蔽网还涂有耐等离子涂层。
4.根据权利要求3所述的方法,其中,所述屏蔽网还包括多个孔,所述多个孔允许来自所述等离子的中性粒子到达所述基板。
5.根据权利要求4所述的方法,其中,将所述屏蔽网安装到所述处理室。
CN201510187553.7A 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法 Active CN104979265B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161452450P 2011-03-14 2011-03-14
US61/452,450 2011-03-14
US13/412,119 US8802545B2 (en) 2011-03-14 2012-03-05 Method and apparatus for plasma dicing a semi-conductor wafer
US13/412,119 2012-03-05
CN2012800136424A CN103460350A (zh) 2011-03-14 2012-03-12 用于对半导体晶圆进行等离子切割的方法和设备

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2012800136424A Division CN103460350A (zh) 2011-03-14 2012-03-12 用于对半导体晶圆进行等离子切割的方法和设备

Publications (2)

Publication Number Publication Date
CN104979265A CN104979265A (zh) 2015-10-14
CN104979265B true CN104979265B (zh) 2019-12-17

Family

ID=46828800

Family Applications (6)

Application Number Title Priority Date Filing Date
CN201510187545.2A Active CN105047599B (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN201510186311.6A Pending CN104821289A (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN201510187572.XA Pending CN105047558A (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN201510186312.0A Active CN104810274B (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN2012800136424A Pending CN103460350A (zh) 2011-03-14 2012-03-12 用于对半导体晶圆进行等离子切割的方法和设备
CN201510187553.7A Active CN104979265B (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法

Family Applications Before (5)

Application Number Title Priority Date Filing Date
CN201510187545.2A Active CN105047599B (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN201510186311.6A Pending CN104821289A (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN201510187572.XA Pending CN105047558A (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN201510186312.0A Active CN104810274B (zh) 2011-03-14 2012-03-12 用于对基板进行等离子切割的方法
CN2012800136424A Pending CN103460350A (zh) 2011-03-14 2012-03-12 用于对半导体晶圆进行等离子切割的方法和设备

Country Status (6)

Country Link
US (10) US8802545B2 (zh)
EP (6) EP2698813B1 (zh)
JP (10) JP6165635B2 (zh)
CN (6) CN105047599B (zh)
TW (7) TWI601192B (zh)
WO (1) WO2012125560A2 (zh)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8691702B2 (en) * 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8946058B2 (en) * 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8507363B2 (en) 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film
US8912077B2 (en) 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US9129904B2 (en) 2011-06-15 2015-09-08 Applied Materials, Inc. Wafer dicing using pulse train laser with multiple-pulse bursts and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8946057B2 (en) 2012-04-24 2015-02-03 Applied Materials, Inc. Laser and plasma etch wafer dicing using UV-curable adhesive film
US9048309B2 (en) 2012-07-10 2015-06-02 Applied Materials, Inc. Uniform masking for wafer dicing using laser and plasma etch
US8940619B2 (en) 2012-07-13 2015-01-27 Applied Materials, Inc. Method of diced wafer transportation
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
JP5849232B2 (ja) * 2012-09-20 2016-01-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9368404B2 (en) * 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
US9252057B2 (en) 2012-10-17 2016-02-02 Applied Materials, Inc. Laser and plasma etch wafer dicing with partial pre-curing of UV release dicing tape for film frame wafer application
US9136173B2 (en) * 2012-11-07 2015-09-15 Semiconductor Components Industries, Llc Singulation method for semiconductor die having a layer of material along one major surface
US9484260B2 (en) 2012-11-07 2016-11-01 Semiconductor Components Industries, Llc Heated carrier substrate semiconductor die singulation method
US8975162B2 (en) 2012-12-20 2015-03-10 Applied Materials, Inc. Wafer dicing from wafer backside
JP6110136B2 (ja) * 2012-12-28 2017-04-05 株式会社ディスコ ウエーハのレーザー加工方法およびレーザー加工装置
US9236305B2 (en) 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
US9034734B2 (en) 2013-02-04 2015-05-19 Avago Technologies General Ip (Singapore) Pte. Ltd. Systems and methods for plasma etching compound semiconductor (CS) dies and passively aligning the dies
EP2965349A2 (en) * 2013-03-06 2016-01-13 Plasma-Therm, Llc Method and apparatus for plasma dicing a semi-conductor wafer
WO2014159464A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc. Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch
US9214423B2 (en) * 2013-03-15 2015-12-15 Semiconductor Components Industries, Llc Method of forming a HEMT semiconductor device and structure therefor
JP5962921B2 (ja) 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5934939B2 (ja) * 2013-05-09 2016-06-15 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US20150011073A1 (en) * 2013-07-02 2015-01-08 Wei-Sheng Lei Laser scribing and plasma etch for high die break strength and smooth sidewall
JP6226117B2 (ja) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6226118B2 (ja) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
CN104347391B (zh) * 2013-08-09 2017-05-24 无锡华润上华半导体有限公司 一种深沟槽刻蚀设备及其方法
US9105710B2 (en) 2013-08-30 2015-08-11 Applied Materials, Inc. Wafer dicing method for improving die packaging quality
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
JP6083529B2 (ja) * 2013-09-02 2017-02-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6094813B2 (ja) 2013-09-02 2017-03-15 パナソニックIpマネジメント株式会社 プラズマ処理装置
US9224650B2 (en) 2013-09-19 2015-12-29 Applied Materials, Inc. Wafer dicing from wafer backside and front side
US20150087131A1 (en) * 2013-09-20 2015-03-26 Infineon Technologies Ag Method for processing a chip
US9460966B2 (en) 2013-10-10 2016-10-04 Applied Materials, Inc. Method and apparatus for dicing wafers having thick passivation polymer layer
US9041198B2 (en) 2013-10-22 2015-05-26 Applied Materials, Inc. Maskless hybrid laser scribing and plasma etching wafer dicing process
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5938716B2 (ja) 2013-11-01 2016-06-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
WO2015068597A1 (ja) * 2013-11-06 2015-05-14 シャープ株式会社 半導体素子の製造方法および半導体素子
JP6441025B2 (ja) * 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
TWI671813B (zh) * 2013-11-13 2019-09-11 東芝股份有限公司 半導體晶片之製造方法
US9312177B2 (en) 2013-12-06 2016-04-12 Applied Materials, Inc. Screen print mask for laser scribe and plasma etch wafer dicing process
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9293304B2 (en) 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
US9299611B2 (en) 2014-01-29 2016-03-29 Applied Materials, Inc. Method of wafer dicing using hybrid laser scribing and plasma etch approach with mask plasma treatment for improved mask etch resistance
US9018079B1 (en) 2014-01-29 2015-04-28 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean
US8991329B1 (en) 2014-01-31 2015-03-31 Applied Materials, Inc. Wafer coating
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
WO2015134111A1 (en) * 2014-03-07 2015-09-11 Plasma-Therm, Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9275902B2 (en) 2014-03-26 2016-03-01 Applied Materials, Inc. Dicing processes for thin wafers with bumps on wafer backside
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US8932939B1 (en) 2014-04-14 2015-01-13 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US8912078B1 (en) 2014-04-16 2014-12-16 Applied Materials, Inc. Dicing wafers having solder bumps on wafer backside
US8999816B1 (en) 2014-04-18 2015-04-07 Applied Materials, Inc. Pre-patterned dry laminate mask for wafer dicing processes
US8912075B1 (en) 2014-04-29 2014-12-16 Applied Materials, Inc. Wafer edge warp supression for thin wafer supported by tape frame
US9159621B1 (en) 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
JP6364933B2 (ja) * 2014-05-01 2018-08-01 住友電気工業株式会社 半導体光デバイスを製造する方法
US10665377B2 (en) 2014-05-05 2020-05-26 3D Glass Solutions, Inc. 2D and 3D inductors antenna and transformers fabricating photoactive substrates
US8980727B1 (en) 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US9112050B1 (en) 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US20150334812A1 (en) * 2014-05-16 2015-11-19 John Mazzocco Design to manage charge and discharge of wafers and wafer carrier rings
JP2015220366A (ja) * 2014-05-19 2015-12-07 株式会社ディスコ ウェーハの加工方法
US9034771B1 (en) 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
JP6399435B2 (ja) * 2014-05-26 2018-10-03 パナソニックIpマネジメント株式会社 プラズマ処理方法及び装置
JP6319687B2 (ja) * 2014-05-26 2018-05-09 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9142459B1 (en) 2014-06-30 2015-09-22 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination
US9165832B1 (en) 2014-06-30 2015-10-20 Applied Materials, Inc. Method of die singulation using laser ablation and induction of internal defects with a laser
US9093518B1 (en) 2014-06-30 2015-07-28 Applied Materials, Inc. Singulation of wafers having wafer-level underfill
US9130057B1 (en) 2014-06-30 2015-09-08 Applied Materials, Inc. Hybrid dicing process using a blade and laser
CN105336561B (zh) * 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
US9349648B2 (en) 2014-07-22 2016-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using a rectangular shaped two-dimensional top hat laser beam profile or a linear shaped one-dimensional top hat laser beam profile laser scribing process and plasma etch process
US9117868B1 (en) * 2014-08-12 2015-08-25 Applied Materials, Inc. Bipolar electrostatic chuck for dicing tape thermal management during plasma dicing
US9196498B1 (en) 2014-08-12 2015-11-24 Applied Materials, Inc. Stationary actively-cooled shadow ring for heat dissipation in plasma chamber
US10283334B2 (en) 2014-08-22 2019-05-07 Applied Materials, Inc. Methods and apparatus for maintaining low non-uniformity over target life
US9385041B2 (en) 2014-08-26 2016-07-05 Semiconductor Components Industries, Llc Method for insulating singulated electronic die
JP6296297B2 (ja) 2014-08-27 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US9281244B1 (en) 2014-09-18 2016-03-08 Applied Materials, Inc. Hybrid wafer dicing approach using an adaptive optics-controlled laser scribing process and plasma etch process
US11195756B2 (en) 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US9177861B1 (en) 2014-09-19 2015-11-03 Applied Materials, Inc. Hybrid wafer dicing approach using laser scribing process based on an elliptical laser beam profile or a spatio-temporal controlled laser beam profile
US9196536B1 (en) 2014-09-25 2015-11-24 Applied Materials, Inc. Hybrid wafer dicing approach using a phase modulated laser beam profile laser scribing process and plasma etch process
US9543157B2 (en) * 2014-09-30 2017-01-10 Infineon Technologies Ag Method for processing a carrier, a method for operating a plasma processing chamber, and a method for processing a semiconductor wafer
US9130056B1 (en) 2014-10-03 2015-09-08 Applied Materials, Inc. Bi-layer wafer-level underfill mask for wafer dicing and approaches for performing wafer dicing
US9245803B1 (en) 2014-10-17 2016-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a bessel beam shaper laser scribing process and plasma etch process
US10692765B2 (en) 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
JP6254509B2 (ja) * 2014-11-07 2017-12-27 信越化学工業株式会社 電磁波シールド性支持基材付封止材及び封止後半導体素子搭載基板、封止後半導体素子形成ウエハ並びに半導体装置
CN105789008B (zh) * 2014-12-22 2017-12-19 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体刻蚀方法
CN105789010B (zh) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US9159624B1 (en) 2015-01-05 2015-10-13 Applied Materials, Inc. Vacuum lamination of polymeric dry films for wafer dicing using hybrid laser scribing and plasma etch approach
US9330977B1 (en) 2015-01-05 2016-05-03 Applied Materials, Inc. Hybrid wafer dicing approach using a galvo scanner and linear stage hybrid motion laser scribing process and plasma etch process
US9355907B1 (en) 2015-01-05 2016-05-31 Applied Materials, Inc. Hybrid wafer dicing approach using a line shaped laser beam profile laser scribing process and plasma etch process
DE102015100783A1 (de) 2015-01-20 2016-07-21 Infineon Technologies Ag Verfahren zum Zertrennen eines Wafers und Halbleiterchip
JP6367727B2 (ja) * 2015-01-30 2018-08-01 東京エレクトロン株式会社 洗浄装置、剥離システム、洗浄方法、剥離方法、プログラム、および情報記憶媒体
CN106024565B (zh) * 2015-03-31 2019-11-19 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
CN106024566B (zh) * 2015-03-31 2019-07-05 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US9601375B2 (en) 2015-04-27 2017-03-21 Applied Materials, Inc. UV-cure pre-treatment of carrier film for wafer dicing using hybrid laser scribing and plasma etch approach
US9721839B2 (en) 2015-06-12 2017-08-01 Applied Materials, Inc. Etch-resistant water soluble mask for hybrid wafer dicing using laser scribing and plasma etch
US9478455B1 (en) 2015-06-12 2016-10-25 Applied Materials, Inc. Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber
KR102382823B1 (ko) * 2015-09-04 2022-04-06 삼성전자주식회사 에어 홀을 갖는 링 부재 및 그를 포함하는 기판 처리 장치
US9779986B2 (en) 2015-09-07 2017-10-03 Panasonic Intellectual Property Management Co., Ltd. Plasma treatment method and method of manufacturing electronic component
JP6492287B2 (ja) * 2015-10-01 2019-04-03 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
GB201518756D0 (en) 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
CN113675131A (zh) * 2015-11-09 2021-11-19 古河电气工业株式会社 半导体芯片的制造方法和用于该制造方法的掩模一体型表面保护带
WO2017106209A1 (en) 2015-12-13 2017-06-22 GenXComm, Inc. Interference cancellation methods and apparatus
JP6467592B2 (ja) * 2016-02-04 2019-02-13 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法ならびに電子部品実装構造体
US9972575B2 (en) 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
JP2017162901A (ja) * 2016-03-08 2017-09-14 株式会社ディスコ ウエーハの分割方法
JP6519802B2 (ja) * 2016-03-18 2019-05-29 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
US9852997B2 (en) 2016-03-25 2017-12-26 Applied Materials, Inc. Hybrid wafer dicing approach using a rotating beam laser scribing process and plasma etch process
US9793132B1 (en) 2016-05-13 2017-10-17 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
US10257746B2 (en) 2016-07-16 2019-04-09 GenXComm, Inc. Interference cancellation methods and apparatus
JP6822802B2 (ja) * 2016-09-05 2021-01-27 株式会社ディスコ ウエーハの加工方法
JP6807558B2 (ja) * 2016-11-08 2021-01-06 パナソニックIpマネジメント株式会社 プラズマ処理方法およびプラズマ処理装置
US20180143332A1 (en) 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
JP6340655B2 (ja) * 2017-01-10 2018-06-13 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6650593B2 (ja) * 2017-02-17 2020-02-19 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP6524562B2 (ja) 2017-02-23 2019-06-05 パナソニックIpマネジメント株式会社 素子チップおよびその製造方法
JP2018156973A (ja) * 2017-03-15 2018-10-04 株式会社ディスコ ウェーハの加工方法
JP6861570B2 (ja) * 2017-04-27 2021-04-21 東京エレクトロン株式会社 基板処理装置
JP6899252B2 (ja) * 2017-05-10 2021-07-07 株式会社ディスコ 加工方法
US10373869B2 (en) 2017-05-24 2019-08-06 Semiconductor Components Industries, Llc Method of separating a back layer on a substrate using exposure to reduced temperature and related apparatus
US11158540B2 (en) 2017-05-26 2021-10-26 Applied Materials, Inc. Light-absorbing mask for hybrid laser scribing and plasma etch wafer singulation process
US10363629B2 (en) 2017-06-01 2019-07-30 Applied Materials, Inc. Mitigation of particle contamination for wafer dicing processes
CN107248499B (zh) * 2017-06-20 2019-11-26 上海华力微电子有限公司 静电释放装置及制造方法、聚焦离子束设备及使用方法
JP6524564B2 (ja) 2017-06-28 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法および基板加熱装置
JP7069605B2 (ja) * 2017-08-29 2022-05-18 富士電機株式会社 半導体装置の製造方法
JP7045635B2 (ja) 2017-08-30 2022-04-01 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
NL2019623B1 (en) * 2017-09-25 2019-04-01 Suss Microtec Lithography Gmbh Wafer support system, wafer support device, system comprising a wafer and a wafer support device as well as mask aligner
JP6782215B2 (ja) * 2017-10-18 2020-11-11 古河電気工業株式会社 プラズマダイシング用マスク材、マスク一体型表面保護テープおよび半導体チップの製造方法
JP6982837B2 (ja) * 2017-10-20 2021-12-17 パナソニックIpマネジメント株式会社 プラズマ処理方法
JP7008824B2 (ja) 2017-12-15 2022-01-25 スリーディー グラス ソリューションズ,インク 接続伝送線路共振rfフィルタ
JP6998545B2 (ja) 2017-12-25 2022-01-18 パナソニックIpマネジメント株式会社 素子チップの製造方法
US11677373B2 (en) 2018-01-04 2023-06-13 3D Glass Solutions, Inc. Impedence matching conductive structure for high efficiency RF circuits
US10665494B2 (en) * 2018-01-31 2020-05-26 Applied Materials, Inc. Automated apparatus to temporarily attach substrates to carriers without adhesives for processing
US10535561B2 (en) 2018-03-12 2020-01-14 Applied Materials, Inc. Hybrid wafer dicing approach using a multiple pass laser scribing process and plasma etch process
CN110277343B (zh) * 2018-03-14 2023-06-30 Toto株式会社 静电吸盘
JP2018137483A (ja) * 2018-05-23 2018-08-30 Sppテクノロジーズ株式会社 プラズマ加工方法及びこの方法を用いて製造された基板
US10916474B2 (en) * 2018-06-25 2021-02-09 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
CN110634796A (zh) * 2018-06-25 2019-12-31 半导体元件工业有限责任公司 用于处理电子管芯的方法及半导体晶圆和管芯的切单方法
US11355394B2 (en) 2018-09-13 2022-06-07 Applied Materials, Inc. Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate breakthrough treatment
US10607889B1 (en) * 2018-09-19 2020-03-31 Semiconductor Components Industries, Llc Jet ablation die singulation systems and related methods
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
TWI675413B (zh) * 2018-10-08 2019-10-21 華邦電子股份有限公司 切割晶圓的方法
US11150409B2 (en) 2018-12-27 2021-10-19 GenXComm, Inc. Saw assisted facet etch dicing
JP7241433B2 (ja) 2018-12-28 2023-03-17 スリーディー グラス ソリューションズ,インク 光活性ガラス基板におけるrf、マイクロ波及びmm波システムのためのヘテロジニアスインテグレーション
US10818551B2 (en) * 2019-01-09 2020-10-27 Semiconductor Components Industries, Llc Plasma die singulation systems and related methods
JP7140435B2 (ja) 2019-04-05 2022-09-21 スリーディー グラス ソリューションズ,インク ガラスベースの空基板集積導波路デバイス
US11373908B2 (en) * 2019-04-18 2022-06-28 3D Glass Solutions, Inc. High efficiency die dicing and release
US10727945B1 (en) 2019-07-15 2020-07-28 GenXComm, Inc. Efficiently combining multiple taps of an optical filter
US11011424B2 (en) 2019-08-06 2021-05-18 Applied Materials, Inc. Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process
US11342226B2 (en) 2019-08-13 2022-05-24 Applied Materials, Inc. Hybrid wafer dicing approach using an actively-focused laser beam laser scribing process and plasma etch process
US10903121B1 (en) 2019-08-14 2021-01-26 Applied Materials, Inc. Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process
KR102366179B1 (ko) * 2019-08-23 2022-02-22 세메스 주식회사 반송 장치 및 이를 가지는 기판 처리 장치
JP7281741B2 (ja) 2019-08-23 2023-05-26 パナソニックIpマネジメント株式会社 素子チップのスムージング方法および素子チップの製造方法
US11215755B2 (en) 2019-09-19 2022-01-04 GenXComm, Inc. Low loss, polarization-independent, large bandwidth mode converter for edge coupling
US11539394B2 (en) 2019-10-29 2022-12-27 GenXComm, Inc. Self-interference mitigation in in-band full-duplex communication systems
US11600492B2 (en) 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
TWI712104B (zh) * 2020-01-10 2020-12-01 力成科技股份有限公司 晶片固定裝置
KR20220164800A (ko) 2020-04-17 2022-12-13 3디 글래스 솔루션즈 인코포레이티드 광대역 인덕터
US11796737B2 (en) 2020-08-10 2023-10-24 GenXComm, Inc. Co-manufacturing of silicon-on-insulator waveguides and silicon nitride waveguides for hybrid photonic integrated circuits
WO2023075850A1 (en) 2021-10-25 2023-05-04 GenXComm, Inc. Hybrid photonic integrated circuits for ultra-low phase noise signal generators

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5385624A (en) * 1990-11-30 1995-01-31 Tokyo Electron Limited Apparatus and method for treating substrates

Family Cites Families (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5676242A (en) * 1979-11-26 1981-06-23 Tokyo Ohka Kogyo Co Ltd Treating apparatus using gas plasma reaction
US4377436A (en) * 1980-05-13 1983-03-22 Bell Telephone Laboratories, Incorporated Plasma-assisted etch process with endpoint detection
JPS59147433A (ja) * 1983-02-14 1984-08-23 Hitachi Ltd エツチング装置
US4496425A (en) * 1984-01-30 1985-01-29 At&T Technologies, Inc. Technique for determining the end point of an etching process
DE3615361C2 (de) * 1986-05-06 1994-09-01 Santos Pereira Ribeiro Car Dos Vorrichtung zur Oberflächenbehandlung von Werkstücken
US4717446A (en) * 1986-09-18 1988-01-05 Motorola Inc. Method of detecting the endpoint of the etch of epitaxially grown silicon
US4687539A (en) * 1986-10-29 1987-08-18 International Business Machines Corp. End point detection and control of laser induced dry chemical etching
JPH0291958A (ja) * 1988-09-29 1990-03-30 Tokyo Electron Ltd 搬送装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
JPH0750700B2 (ja) 1989-06-27 1995-05-31 三菱電機株式会社 半導体チップの製造方法
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US4975141A (en) * 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5389182A (en) * 1993-08-02 1995-02-14 Texas Instruments Incorporated Use of a saw frame with tape as a substrate carrier for wafer level backend processing
US5405488A (en) * 1993-09-13 1995-04-11 Vlsi Technology, Inc. System and method for plasma etching endpoint detection
TW357404B (en) 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3118497B2 (ja) * 1993-12-24 2000-12-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07312362A (ja) * 1994-05-17 1995-11-28 Nissin Electric Co Ltd ドライエッチング装置
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP2718901B2 (ja) 1994-10-31 1998-02-25 ローム株式会社 半導体装置の製造方法
DE19505906A1 (de) 1995-02-21 1996-08-22 Siemens Ag Verfahren zum Damage-Ätzen der Rückseite einer Halbleiterscheibe bei geschützter Scheibenvorderseite
JP3350278B2 (ja) * 1995-03-06 2002-11-25 大日本スクリーン製造株式会社 基板処理装置
DE69510032T2 (de) * 1995-03-31 2000-01-27 Ibm Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
TW309503B (zh) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH09129607A (ja) * 1995-11-01 1997-05-16 Canon Inc マイクロ波プラズマエッチング装置及び方法
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
US5669977A (en) 1995-12-22 1997-09-23 Lam Research Corporation Shape memory alloy lift pins for semiconductor processing equipment
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
EP1357584A3 (en) 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JPH10150025A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
JPH10172954A (ja) * 1996-12-06 1998-06-26 Hitachi Ltd プラズマ処理装置
EP0860513A3 (en) * 1997-02-19 2000-01-12 Canon Kabushiki Kaisha Thin film forming apparatus and process for forming thin film using same
US6332359B1 (en) 1997-04-24 2001-12-25 Fuji Electric Co., Ltd. Semiconductor sensor chip and method for producing the chip, and semiconductor sensor and package for assembling the sensor
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
JP2001520452A (ja) * 1997-10-15 2001-10-30 東京エレクトロン株式会社 プラズマの密度分布を調節する装置及び方法
JPH11176813A (ja) * 1997-12-12 1999-07-02 Nec Kyushu Ltd ドライエッチング装置
JP4035225B2 (ja) * 1998-03-20 2008-01-16 株式会社日立製作所 プラズマ処理方法
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
JPH11307513A (ja) * 1998-04-20 1999-11-05 Sony Corp 絶縁体基板対応プラズマ処理装置
US6068783A (en) * 1998-04-28 2000-05-30 Winbond Electronics Corp In-situ and non-intrusive method for monitoring plasma etch chamber condition utilizing spectroscopic technique
US6126848A (en) * 1998-05-06 2000-10-03 International Business Machines Corporation Indirect endpoint detection by chemical reaction and chemiluminescence
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
JP2000021861A (ja) * 1998-07-03 2000-01-21 Sony Corp ドライエッチング装置
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
KR100829288B1 (ko) * 1998-12-11 2008-05-13 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
JP2000286328A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd ガス処理装置
US6339028B2 (en) * 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
JP4288767B2 (ja) * 1999-07-07 2009-07-01 東京エレクトロン株式会社 半導体装置の製造方法
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
KR100635845B1 (ko) 1999-07-08 2006-10-18 램 리써치 코포레이션 정전기 척 및 그 제조 방법
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
US6176931B1 (en) * 1999-10-29 2001-01-23 International Business Machines Corporation Wafer clamp ring for use in an ionized physical vapor deposition apparatus
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2001168087A (ja) * 1999-12-10 2001-06-22 Nec Corp プラズマ処理装置およびプラズマ処理装置のステージ製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP2001335937A (ja) * 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 金属汚染低減方法及びプラズマ装置の再生方法
US6221166B1 (en) 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
DE10031252A1 (de) * 2000-06-27 2002-01-10 Bosch Gmbh Robert Verfahren zur Zertrennung eines Substratwafers in eine Anzahl von Substratchips
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
JP3605009B2 (ja) * 2000-08-03 2004-12-22 三洋電機株式会社 半導体装置の製造方法
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
JP3379518B2 (ja) 2000-08-14 2003-02-24 株式会社村田製作所 圧電素子の製造方法
JP2002093752A (ja) * 2000-09-14 2002-03-29 Tokyo Electron Ltd 半導体素子分離方法及び半導体素子分離装置
US6726804B2 (en) * 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
JP2002246354A (ja) * 2001-02-14 2002-08-30 Enya Systems Ltd ウエ−ハの処理方法及びそれに用いるメカニカルチャック装置
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
JP2002270598A (ja) 2001-03-13 2002-09-20 Tokyo Electron Ltd プラズマ処理装置
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6686225B2 (en) 2001-07-27 2004-02-03 Texas Instruments Incorporated Method of separating semiconductor dies from a wafer
JP4518712B2 (ja) * 2001-08-13 2010-08-04 キヤノンアネルバ株式会社 トレイ式マルチチャンバー基板処理装置
TWI220423B (en) 2001-08-30 2004-08-21 Hrl Lab Llc A method of fabrication of a sensor
JP2003077699A (ja) * 2001-09-04 2003-03-14 Canon Inc プラズマ処理方法及び装置
JP2003100708A (ja) * 2001-09-27 2003-04-04 Mitsubishi Electric Corp 終点判別方法、半導体処理装置および半導体装置の製造方法
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
US6949395B2 (en) 2001-10-22 2005-09-27 Oriol, Inc. Method of making diode having reflective layer
JP2003257896A (ja) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
JP2003273082A (ja) 2002-03-14 2003-09-26 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6946410B2 (en) 2002-04-05 2005-09-20 E. I. Du Pont De Nemours And Company Method for providing nano-structures of uniform length
US6818532B2 (en) 2002-04-09 2004-11-16 Oriol, Inc. Method of etching substrates
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US6784544B1 (en) * 2002-06-25 2004-08-31 Micron Technology, Inc. Semiconductor component having conductors with wire bondable metalization layers
JP2004047511A (ja) * 2002-07-08 2004-02-12 Tokyo Electron Ltd 離脱方法、処理方法、静電吸着装置および処理装置
JP2004047823A (ja) 2002-07-12 2004-02-12 Tokyo Seimitsu Co Ltd ダイシングテープ貼付装置およびバックグラインド・ダイシングテープ貼付システム
JP2004087744A (ja) * 2002-08-27 2004-03-18 Hitachi Ltd 半導体装置の製造方法
JP2004090534A (ja) * 2002-09-02 2004-03-25 Tokyo Electron Ltd 基板の加工装置および加工方法
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
JP4013745B2 (ja) * 2002-11-20 2007-11-28 松下電器産業株式会社 プラズマ処理方法
JP3966168B2 (ja) * 2002-11-20 2007-08-29 松下電器産業株式会社 半導体装置の製造方法
US6897128B2 (en) 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
JP4013753B2 (ja) 2002-12-11 2007-11-28 松下電器産業株式会社 半導体ウェハの切断方法
JP3991872B2 (ja) * 2003-01-23 2007-10-17 松下電器産業株式会社 半導体装置の製造方法
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
KR100783829B1 (ko) 2003-05-02 2007-12-10 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마처리장치
ATE415702T1 (de) 2003-05-09 2008-12-15 Unaxis Usa Inc Endpunkt-erkennung in einem zeitlich gemultiplexten verfahren unter verwendung eines hüllkurvenalgorithmus
KR100542031B1 (ko) * 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050029221A1 (en) * 2003-08-09 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench etching using HDP chamber
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
JP2005166820A (ja) * 2003-12-01 2005-06-23 Toshiba Ceramics Co Ltd 静電チャック装置
US20050136622A1 (en) 2003-12-18 2005-06-23 Mulligan Rose A. Methods and apparatus for laser dicing
US20050221586A1 (en) 2003-12-18 2005-10-06 Mulligan Rose A Methods and apparatus for laser dicing
JP4515755B2 (ja) * 2003-12-24 2010-08-04 東京エレクトロン株式会社 処理装置
JP2005191039A (ja) * 2003-12-24 2005-07-14 Matsushita Electric Ind Co Ltd 半導体ウェハの処理方法
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US6933212B1 (en) 2004-01-13 2005-08-23 National Semiconductor Corporation Apparatus and method for dicing semiconductor wafers
JP4365227B2 (ja) * 2004-01-14 2009-11-18 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7169691B2 (en) * 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4018088B2 (ja) 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
JP4559801B2 (ja) 2004-09-06 2010-10-13 東京エレクトロン株式会社 ウエハチャック
US7375434B2 (en) 2004-09-13 2008-05-20 Infineon Technologies Ag Semiconductor chip with flexible contacts at a face
JP2006120834A (ja) 2004-10-21 2006-05-11 Disco Abrasive Syst Ltd ウェーハの分割方法
KR100663351B1 (ko) * 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
JP2006173462A (ja) 2004-12-17 2006-06-29 Disco Abrasive Syst Ltd ウェーハの加工装置
JP4338650B2 (ja) * 2005-01-12 2009-10-07 パナソニック株式会社 半導体チップの製造方法
CN100517645C (zh) * 2005-01-24 2009-07-22 松下电器产业株式会社 半导体芯片的制造方法及半导体芯片
JP2006210401A (ja) 2005-01-25 2006-08-10 Disco Abrasive Syst Ltd ウェーハの分割方法
JP4275096B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
JP4275095B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
JP4288252B2 (ja) 2005-04-19 2009-07-01 パナソニック株式会社 半導体チップの製造方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
JP4721794B2 (ja) * 2005-07-11 2011-07-13 キヤノンアネルバ株式会社 微細構造物の作製方法
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
US8281674B2 (en) 2005-08-26 2012-10-09 Camtek Ltd. Wafer inspection system and a method for translating wafers [PD]
JP2007073589A (ja) * 2005-09-05 2007-03-22 Matsushita Electric Ind Co Ltd 半導体製造装置および半導体ウエハ処理方法
JP4508054B2 (ja) 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
JP4589853B2 (ja) * 2005-09-22 2010-12-01 東京エレクトロン株式会社 基板搬送システム及び基板搬送方法
US20070190747A1 (en) 2006-01-23 2007-08-16 Tessera Technologies Hungary Kft. Wafer level packaging to lidded chips
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP2007294812A (ja) * 2006-04-27 2007-11-08 Fujikura Ltd 冷却装置およびプラズマ処理装置
JP4887913B2 (ja) * 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP4698519B2 (ja) 2006-07-31 2011-06-08 日東電工株式会社 半導体ウエハマウント装置
JP2008041985A (ja) * 2006-08-08 2008-02-21 Tokyo Ohka Kogyo Co Ltd サポートプレート
JP5023614B2 (ja) 2006-08-24 2012-09-12 パナソニック株式会社 半導体チップの製造方法及び半導体ウエハの処理方法
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
JP5590886B2 (ja) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
JP4544231B2 (ja) 2006-10-06 2010-09-15 パナソニック株式会社 半導体チップの製造方法
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP5064985B2 (ja) * 2006-12-05 2012-10-31 古河電気工業株式会社 半導体ウェハの処理方法
JP4840174B2 (ja) * 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
KR101397124B1 (ko) * 2007-02-28 2014-05-19 주성엔지니어링(주) 기판지지프레임 및 이를 포함하는 기판처리장치, 이를이용한 기판의 로딩 및 언로딩 방법
JP4840200B2 (ja) 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US7838424B2 (en) 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7989319B2 (en) 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8859396B2 (en) 2007-08-07 2014-10-14 Semiconductor Components Industries, Llc Semiconductor die singulation method
US7781310B2 (en) 2007-08-07 2010-08-24 Semiconductor Components Industries, Llc Semiconductor die singulation method
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
JP4858395B2 (ja) * 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
US8680653B2 (en) 2007-11-12 2014-03-25 Infineon Technologies Ag Wafer and a method of dicing a wafer
TW200935506A (en) 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
JP4882971B2 (ja) * 2007-11-16 2012-02-22 パナソニック株式会社 プラズマダイシング装置
JP4882970B2 (ja) * 2007-11-16 2012-02-22 パナソニック株式会社 半導体チップの製造方法
JP5283370B2 (ja) * 2007-11-29 2013-09-04 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP2009141276A (ja) * 2007-12-10 2009-06-25 Fuji Electric Device Technology Co Ltd 半導体装置およびその製造方法
JP2009176793A (ja) 2008-01-22 2009-08-06 Disco Abrasive Syst Ltd ウエーハの分割方法
JP2009260272A (ja) 2008-03-25 2009-11-05 Panasonic Corp 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
JP5254653B2 (ja) * 2008-04-04 2013-08-07 株式会社ディスコ 保護膜被覆装置
JP5325457B2 (ja) * 2008-05-22 2013-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5272648B2 (ja) * 2008-10-27 2013-08-28 大日本印刷株式会社 半導体素子の製造方法、及び半導体基板の加工方法
JP5253996B2 (ja) * 2008-12-26 2013-07-31 株式会社ディスコ ワーク分割方法およびテープ拡張装置
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8388853B2 (en) * 2009-02-11 2013-03-05 Applied Materials, Inc. Non-contact substrate processing
JP5308213B2 (ja) * 2009-03-31 2013-10-09 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 半導体装置の製造方法
JP5246001B2 (ja) 2009-04-10 2013-07-24 パナソニック株式会社 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
JP5071437B2 (ja) * 2009-05-18 2012-11-14 パナソニック株式会社 プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
JP5288555B2 (ja) * 2009-05-27 2013-09-11 サムコ株式会社 誘導結合プラズマ処理装置及びプラズマエッチング方法
KR101094450B1 (ko) 2009-06-05 2011-12-15 에스티에스반도체통신 주식회사 플라즈마를 이용한 다이싱 방법
JP2011023505A (ja) * 2009-07-15 2011-02-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2011035199A (ja) * 2009-08-03 2011-02-17 Tokyo Electron Ltd 基板載置機構およびそれを用いた基板処理装置
JP2011035281A (ja) * 2009-08-05 2011-02-17 Disco Abrasive Syst Ltd ワーク収納機構および研削装置
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8343371B2 (en) * 2010-01-15 2013-01-01 Tokyo Electron Limited Apparatus and method for improving photoresist properties using a quasi-neutral beam
US9165833B2 (en) 2010-01-18 2015-10-20 Semiconductor Components Industries, Llc Method of forming a semiconductor die
JP5562065B2 (ja) * 2010-02-25 2014-07-30 Sppテクノロジーズ株式会社 プラズマ処理装置
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8361884B2 (en) 2010-06-22 2013-01-29 Infineon Technologies Ag Plasma dicing and semiconductor devices formed thereof
WO2012166264A2 (en) * 2011-05-31 2012-12-06 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
KR101372805B1 (ko) * 2012-11-30 2014-03-19 로체 시스템즈(주) 웨이퍼 식각 시스템 및 이를 이용한 웨이퍼 식각 공정
US9236305B2 (en) 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
JP5934939B2 (ja) * 2013-05-09 2016-06-15 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6094813B2 (ja) * 2013-09-02 2017-03-15 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5938716B2 (ja) * 2013-11-01 2016-06-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9293304B2 (en) * 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
US20150170955A1 (en) * 2013-12-17 2015-06-18 Applied Materials, Inc. Actively-cooled shadow ring for heat dissipation in plasma chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5385624A (en) * 1990-11-30 1995-01-31 Tokyo Electron Limited Apparatus and method for treating substrates

Also Published As

Publication number Publication date
EP2698812A3 (en) 2014-06-18
EP2698814B1 (en) 2020-07-15
US8796154B2 (en) 2014-08-05
TW201709305A (zh) 2017-03-01
TWI550700B (zh) 2016-09-21
TW201246335A (en) 2012-11-16
CN104810274B (zh) 2017-11-07
JP2017143294A (ja) 2017-08-17
TWI601192B (zh) 2017-10-01
US10707060B2 (en) 2020-07-07
TW201530638A (zh) 2015-08-01
JP2015179851A (ja) 2015-10-08
US20120238073A1 (en) 2012-09-20
JP2014513868A (ja) 2014-06-05
TW201530636A (zh) 2015-08-01
JP2015146463A (ja) 2015-08-13
US8785332B2 (en) 2014-07-22
US8980764B2 (en) 2015-03-17
US20130230969A1 (en) 2013-09-05
EP2698815A3 (en) 2014-06-25
US9202720B2 (en) 2015-12-01
EP2698813B1 (en) 2020-07-15
CN105047599A (zh) 2015-11-11
EP2686877A2 (en) 2014-01-22
JP6427236B2 (ja) 2018-11-21
EP2698814A3 (en) 2014-07-02
TWI528433B (zh) 2016-04-01
TW201530637A (zh) 2015-08-01
US9564366B2 (en) 2017-02-07
US9202721B2 (en) 2015-12-01
JP2017216473A (ja) 2017-12-07
US20150371878A1 (en) 2015-12-24
EP2698812A2 (en) 2014-02-19
EP2698816A3 (en) 2014-06-18
CN104979265A (zh) 2015-10-14
CN104821289A (zh) 2015-08-05
CN105047558A (zh) 2015-11-11
EP2698813A3 (en) 2014-07-02
TW201530635A (zh) 2015-08-01
US20160111332A1 (en) 2016-04-21
EP2698815A2 (en) 2014-02-19
US20140154869A1 (en) 2014-06-05
EP2698813A2 (en) 2014-02-19
JP2017157861A (ja) 2017-09-07
CN105047599B (zh) 2018-02-06
US20130230972A1 (en) 2013-09-05
WO2012125560A2 (en) 2012-09-20
JP2015173279A (ja) 2015-10-01
EP2698816A2 (en) 2014-02-19
TW201530634A (zh) 2015-08-01
EP2686877B1 (en) 2019-08-07
JP2015146464A (ja) 2015-08-13
TWI556302B (zh) 2016-11-01
TWI569317B (zh) 2017-02-01
US10297427B2 (en) 2019-05-21
WO2012125560A3 (en) 2013-07-04
US20130230968A1 (en) 2013-09-05
JP6072851B2 (ja) 2017-02-01
JP6165635B2 (ja) 2017-07-19
US20190013243A1 (en) 2019-01-10
JP2015188091A (ja) 2015-10-29
CN104810274A (zh) 2015-07-29
CN103460350A (zh) 2013-12-18
US8778806B2 (en) 2014-07-15
EP2698814A2 (en) 2014-02-19
US8802545B2 (en) 2014-08-12
US20130230970A1 (en) 2013-09-05
US20130065378A1 (en) 2013-03-14
TWI528435B (zh) 2016-04-01
JP6336658B2 (ja) 2018-06-06
JP2017152713A (ja) 2017-08-31

Similar Documents

Publication Publication Date Title
CN104979265B (zh) 用于对基板进行等离子切割的方法
EP2956959B1 (en) Method for plasma dicing a semi-conductor wafer
USRE46339E1 (en) Method and apparatus for plasma dicing a semi-conductor wafer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant