US5580388A
(en)
*
|
1993-01-21 |
1996-12-03 |
Moore Epitaxial, Inc. |
Multi-layer susceptor for rapid thermal process reactors
|
US5444217A
(en)
*
|
1993-01-21 |
1995-08-22 |
Moore Epitaxial Inc. |
Rapid thermal processing apparatus for processing semiconductor wafers
|
US5820686A
(en)
*
|
1993-01-21 |
1998-10-13 |
Moore Epitaxial, Inc. |
Multi-layer susceptor for rapid thermal process reactors
|
KR0135840B1
(ko)
*
|
1994-07-26 |
1998-04-29 |
김광호 |
개구부 매몰(filling)장치와 이를 이용한 반도체소자 제조방법
|
US5705232A
(en)
*
|
1994-09-20 |
1998-01-06 |
Texas Instruments Incorporated |
In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
|
JP3094816B2
(ja)
*
|
1994-10-25 |
2000-10-03 |
信越半導体株式会社 |
薄膜の成長方法
|
JP3011866B2
(ja)
*
|
1994-11-30 |
2000-02-21 |
信越石英株式会社 |
枚葉式ウエーハ熱処理装置
|
US5928427A
(en)
*
|
1994-12-16 |
1999-07-27 |
Hwang; Chul-Ju |
Apparatus for low pressure chemical vapor deposition
|
US5982986A
(en)
*
|
1995-02-03 |
1999-11-09 |
Applied Materials, Inc. |
Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
|
JPH08316154A
(ja)
*
|
1995-02-23 |
1996-11-29 |
Applied Materials Inc |
疑似ホットウォール反応チャンバ
|
US5830277A
(en)
*
|
1995-05-26 |
1998-11-03 |
Mattson Technology, Inc. |
Thermal processing system with supplemental resistive heater and shielded optical pyrometry
|
TW331652B
(en)
*
|
1995-06-16 |
1998-05-11 |
Ebara Corp |
Thin film vapor deposition apparatus
|
US6002109A
(en)
*
|
1995-07-10 |
1999-12-14 |
Mattson Technology, Inc. |
System and method for thermal processing of a semiconductor substrate
|
US5871588A
(en)
*
|
1995-07-10 |
1999-02-16 |
Cvc, Inc. |
Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
|
JPH0936198A
(ja)
*
|
1995-07-19 |
1997-02-07 |
Hitachi Ltd |
真空処理装置およびそれを用いた半導体製造ライン
|
US6086680A
(en)
*
|
1995-08-22 |
2000-07-11 |
Asm America, Inc. |
Low-mass susceptor
|
US6053982A
(en)
*
|
1995-09-01 |
2000-04-25 |
Asm America, Inc. |
Wafer support system
|
US5584936A
(en)
*
|
1995-12-14 |
1996-12-17 |
Cvd, Incorporated |
Susceptor for semiconductor wafer processing
|
US5881208A
(en)
*
|
1995-12-20 |
1999-03-09 |
Sematech, Inc. |
Heater and temperature sensor array for rapid thermal processing thermal core
|
US5651827A
(en)
*
|
1996-01-11 |
1997-07-29 |
Heraeus Quarzglas Gmbh |
Single-wafer heat-treatment apparatus and method of manufacturing reactor vessel used for same
|
US5892886A
(en)
|
1996-02-02 |
1999-04-06 |
Micron Technology, Inc. |
Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
|
US5751896A
(en)
*
|
1996-02-22 |
1998-05-12 |
Micron Technology, Inc. |
Method and apparatus to compensate for non-uniform film growth during chemical vapor deposition
|
TW315493B
(en)
*
|
1996-02-28 |
1997-09-11 |
Tokyo Electron Co Ltd |
Heating apparatus and heat treatment apparatus
|
JPH09260364A
(ja)
*
|
1996-03-26 |
1997-10-03 |
Tokyo Electron Ltd |
熱処理方法および熱処理装置
|
US6031211A
(en)
*
|
1997-07-11 |
2000-02-29 |
Concept Systems Design, Inc. |
Zone heating system with feedback control
|
EP0823492A3
(de)
*
|
1996-08-07 |
1999-01-20 |
Concept Systems Design Inc. |
Zonenheizungssystem mit Rückkopplungsreglung
|
US6066836A
(en)
*
|
1996-09-23 |
2000-05-23 |
Applied Materials, Inc. |
High temperature resistive heater for a process chamber
|
KR100239405B1
(ko)
*
|
1996-10-24 |
2000-01-15 |
김영환 |
반도체 제조장치
|
US5889258A
(en)
*
|
1996-12-12 |
1999-03-30 |
Lubomirski; Dimitri |
High temperature heating apparatus
|
US5789309A
(en)
*
|
1996-12-30 |
1998-08-04 |
Memc Electronic Materials, Inc. |
Method and system for monocrystalline epitaxial deposition
|
US6110289A
(en)
*
|
1997-02-25 |
2000-08-29 |
Moore Epitaxial, Inc. |
Rapid thermal processing barrel reactor for processing substrates
|
JPH10239165A
(ja)
*
|
1997-02-27 |
1998-09-11 |
Sony Corp |
基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
|
US5986329A
(en)
*
|
1997-03-07 |
1999-11-16 |
Advanced Micro Devices, Inc. |
Deposition of super thin PECVD SiO2 in multiple deposition station system
|
US6217662B1
(en)
*
|
1997-03-24 |
2001-04-17 |
Cree, Inc. |
Susceptor designs for silicon carbide thin films
|
JP3702068B2
(ja)
*
|
1997-04-09 |
2005-10-05 |
東京エレクトロン株式会社 |
被処理基板の処理装置
|
US6051512A
(en)
*
|
1997-04-11 |
2000-04-18 |
Steag Rtp Systems |
Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
|
AU7291398A
(en)
|
1997-05-06 |
1998-11-27 |
Thermoceramix, L.L.C. |
Deposited resistive coatings
|
US5911896A
(en)
*
|
1997-06-25 |
1999-06-15 |
Brooks Automation, Inc. |
Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
|
US5840124A
(en)
*
|
1997-06-30 |
1998-11-24 |
Emcore Corporation |
Wafer carrier with flexible wafer flat holder
|
US5926615A
(en)
*
|
1997-07-08 |
1999-07-20 |
National Science Council |
Temperature compensation method for semiconductor wafers in rapid thermal processor using separated heat conducting rings as susceptors
|
US6024799A
(en)
*
|
1997-07-11 |
2000-02-15 |
Applied Materials, Inc. |
Chemical vapor deposition manifold
|
US5960158A
(en)
|
1997-07-11 |
1999-09-28 |
Ag Associates |
Apparatus and method for filtering light in a thermal processing chamber
|
US5870526A
(en)
*
|
1997-07-17 |
1999-02-09 |
Steag-Ast |
Inflatable elastomeric element for rapid thermal processing (RTP) system
|
JPH1154496A
(ja)
*
|
1997-08-07 |
1999-02-26 |
Tokyo Electron Ltd |
熱処理装置及びガス処理装置
|
JPH1197446A
(ja)
*
|
1997-09-18 |
1999-04-09 |
Tokyo Electron Ltd |
縦型熱処理装置
|
JP2001522142A
(ja)
|
1997-11-03 |
2001-11-13 |
エーエスエム アメリカ インコーポレイテッド |
改良された低質量ウェハ支持システム
|
US6005226A
(en)
*
|
1997-11-24 |
1999-12-21 |
Steag-Rtp Systems |
Rapid thermal processing (RTP) system with gas driven rotating substrate
|
EP2099061A3
(de)
*
|
1997-11-28 |
2013-06-12 |
Mattson Technology, Inc. |
Verfahren und Anlage zur Handhabung von Werkstücken unter Vakuum mit niedriger Kontamination und hohem Durchsatz
|
JP2928210B1
(ja)
|
1998-01-30 |
1999-08-03 |
九州日本電気株式会社 |
半導体基板の不純物拡散処理方法および半導体製造装置
|
US6018616A
(en)
*
|
1998-02-23 |
2000-01-25 |
Applied Materials, Inc. |
Thermal cycling module and process using radiant heat
|
US6592661B1
(en)
|
1998-02-25 |
2003-07-15 |
Micron Technology, Inc. |
Method for processing wafers in a semiconductor fabrication system
|
JP3374743B2
(ja)
*
|
1998-03-05 |
2003-02-10 |
日本電気株式会社 |
基板熱処理装置及び同装置からの基板の分離方法
|
US6301434B1
(en)
|
1998-03-23 |
2001-10-09 |
Mattson Technology, Inc. |
Apparatus and method for CVD and thermal processing of semiconductor substrates
|
US6129808A
(en)
|
1998-03-31 |
2000-10-10 |
Lam Research Corporation |
Low contamination high density plasma etch chambers and methods for making the same
|
US6464843B1
(en)
|
1998-03-31 |
2002-10-15 |
Lam Research Corporation |
Contamination controlling method and apparatus for a plasma processing chamber
|
TW463028B
(en)
*
|
1998-04-21 |
2001-11-11 |
Hitachi Shipbuilding Eng Co |
Working robot for heat exchangers and operating method thereof
|
US6188044B1
(en)
*
|
1998-04-27 |
2001-02-13 |
Cvc Products, Inc. |
High-performance energy transfer system and method for thermal processing applications
|
DE19821007A1
(de)
*
|
1998-05-11 |
1999-11-25 |
Steag Rtp Systems Gmbh |
Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
|
US5970214A
(en)
|
1998-05-14 |
1999-10-19 |
Ag Associates |
Heating device for semiconductor wafers
|
US5930456A
(en)
|
1998-05-14 |
1999-07-27 |
Ag Associates |
Heating device for semiconductor wafers
|
US6185839B1
(en)
|
1998-05-28 |
2001-02-13 |
Applied Materials, Inc. |
Semiconductor process chamber having improved gas distributor
|
US6034357A
(en)
*
|
1998-06-08 |
2000-03-07 |
Steag Rtp Systems Inc |
Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
|
US6169271B1
(en)
|
1998-07-13 |
2001-01-02 |
Mattson Technology, Inc. |
Model based method for wafer temperature control in a thermal processing system for semiconductor manufacturing
|
US6406543B1
(en)
*
|
1998-07-23 |
2002-06-18 |
Applied Materials, Inc. |
Infra-red transparent thermal reactor cover member
|
IL125690A0
(en)
*
|
1998-08-06 |
1999-04-11 |
Reiser Raphael Joshua |
Furnace for processing semiconductor wafers
|
US6300600B1
(en)
|
1998-08-12 |
2001-10-09 |
Silicon Valley Group, Inc. |
Hot wall rapid thermal processor
|
US6900413B2
(en)
|
1998-08-12 |
2005-05-31 |
Aviza Technology, Inc. |
Hot wall rapid thermal processor
|
US6462310B1
(en)
|
1998-08-12 |
2002-10-08 |
Asml Us, Inc |
Hot wall rapid thermal processor
|
US6210484B1
(en)
|
1998-09-09 |
2001-04-03 |
Steag Rtp Systems, Inc. |
Heating device containing a multi-lamp cone for heating semiconductor wafers
|
US6957690B1
(en)
*
|
1998-09-10 |
2005-10-25 |
Asm America, Inc. |
Apparatus for thermal treatment of substrates
|
JP3516596B2
(ja)
*
|
1998-10-19 |
2004-04-05 |
松下電器産業株式会社 |
半導体装置の製造方法
|
US6454854B1
(en)
*
|
1998-10-29 |
2002-09-24 |
Shin-Etsu Handotai Co., Ltd. |
Semiconductor wafer and production method therefor
|
US6310328B1
(en)
|
1998-12-10 |
2001-10-30 |
Mattson Technologies, Inc. |
Rapid thermal processing chamber for processing multiple wafers
|
US6771895B2
(en)
|
1999-01-06 |
2004-08-03 |
Mattson Technology, Inc. |
Heating device for heating semiconductor wafers in thermal processing chambers
|
US6091889A
(en)
*
|
1999-01-08 |
2000-07-18 |
National Science Council |
Rapid thermal processor for heating a substrate
|
US6263829B1
(en)
|
1999-01-22 |
2001-07-24 |
Applied Materials, Inc. |
Process chamber having improved gas distributor and method of manufacture
|
US6281141B1
(en)
|
1999-02-08 |
2001-08-28 |
Steag Rtp Systems, Inc. |
Process for forming thin dielectric layers in semiconductor devices
|
US6261975B1
(en)
*
|
1999-03-04 |
2001-07-17 |
Applied Materials, Inc. |
Method for depositing and planarizing fluorinated BPSG films
|
US6105274A
(en)
*
|
1999-03-18 |
2000-08-22 |
International Business Machines Corporation |
Cryogenic/phase change cooling for rapid thermal process systems
|
US6303411B1
(en)
*
|
1999-05-03 |
2001-10-16 |
Vortek Industries Ltd. |
Spatially resolved temperature measurement and irradiance control
|
US6169244B1
(en)
|
1999-05-21 |
2001-01-02 |
Moore Epitaxial, Inc. |
Thermocouple sheath cover
|
TW466576B
(en)
|
1999-06-15 |
2001-12-01 |
Ebara Corp |
Substrate processing apparatus
|
US6972071B1
(en)
*
|
1999-07-13 |
2005-12-06 |
Nordson Corporation |
High-speed symmetrical plasma treatment system
|
KR100319494B1
(ko)
|
1999-07-15 |
2002-01-09 |
김용일 |
원자층 에피택시 공정을 위한 반도체 박막 증착장치
|
TW425635B
(en)
|
1999-08-23 |
2001-03-11 |
Promos Technologies Inc |
Rapid thermal processing method and its device
|
US6799603B1
(en)
|
1999-09-20 |
2004-10-05 |
Moore Epitaxial, Inc. |
Gas flow controller system
|
US6475284B1
(en)
|
1999-09-20 |
2002-11-05 |
Moore Epitaxial, Inc. |
Gas dispersion head
|
US6149365A
(en)
*
|
1999-09-21 |
2000-11-21 |
Applied Komatsu Technology, Inc. |
Support frame for substrates
|
KR100338768B1
(ko)
*
|
1999-10-25 |
2002-05-30 |
윤종용 |
산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
|
JP2001127143A
(ja)
*
|
1999-10-27 |
2001-05-11 |
Applied Materials Inc |
基板支持装置
|
DE19951991C2
(de)
*
|
1999-10-28 |
2001-10-25 |
Wacker Siltronic Halbleitermat |
Verfahren und Vorrichtung zum Beladen eines Suszeptors
|
DE19952705A1
(de)
*
|
1999-11-02 |
2001-05-10 |
Wacker Siltronic Halbleitermat |
Verfahren zur Herstellung einer Halbleiterscheibe mit einer epitaktischen Schicht
|
US6246031B1
(en)
|
1999-11-30 |
2001-06-12 |
Wafermasters, Inc. |
Mini batch furnace
|
US6345150B1
(en)
*
|
1999-11-30 |
2002-02-05 |
Wafermasters, Inc. |
Single wafer annealing oven
|
US6303906B1
(en)
|
1999-11-30 |
2001-10-16 |
Wafermasters, Inc. |
Resistively heated single wafer furnace
|
US6436796B1
(en)
*
|
2000-01-31 |
2002-08-20 |
Mattson Technology, Inc. |
Systems and methods for epitaxial processing of a semiconductor substrate
|
US6347749B1
(en)
|
2000-02-09 |
2002-02-19 |
Moore Epitaxial, Inc. |
Semiconductor processing reactor controllable gas jet assembly
|
US6328221B1
(en)
|
2000-02-09 |
2001-12-11 |
Moore Epitaxial, Inc. |
Method for controlling a gas injector in a semiconductor processing reactor
|
EP1123992A3
(de)
*
|
2000-02-09 |
2003-09-17 |
Moore Epitaxial, Inc. |
Vorrichtung und Verfahren zur Behandlung von Halbleitern
|
US6383931B1
(en)
*
|
2000-02-11 |
2002-05-07 |
Lam Research Corporation |
Convertible hot edge ring to improve low-K dielectric etch
|
US6544339B1
(en)
*
|
2000-03-22 |
2003-04-08 |
Micro C Technologies, Inc. |
Rectilinear wedge geometry for optimal process control in chemical vapor deposition and rapid thermal processing
|
US6399926B2
(en)
*
|
2000-04-03 |
2002-06-04 |
Sigmameltec Ltd. |
Heat-treating apparatus capable of high temperature uniformity
|
WO2001082342A1
(en)
*
|
2000-04-26 |
2001-11-01 |
Wafermasters Incorporated |
Gas assisted rapid thermal annealing
|
US6464412B1
(en)
|
2000-05-15 |
2002-10-15 |
Eastman Kodak Company |
Apparatus and method for radiant thermal film development
|
US6417076B1
(en)
|
2000-06-05 |
2002-07-09 |
Micron Technology, Inc. |
Automated combi deposition apparatus and method
|
US6545369B1
(en)
|
2000-06-05 |
2003-04-08 |
Micron Technology, Inc. |
Overlay error reduction by minimization of unpatterned wafer area
|
JP2001351871A
(ja)
*
|
2000-06-09 |
2001-12-21 |
Asm Japan Kk |
半導体製造装置
|
US6808758B1
(en)
*
|
2000-06-09 |
2004-10-26 |
Mattson Technology, Inc. |
Pulse precursor deposition process for forming layers in semiconductor devices
|
US20040079633A1
(en)
*
|
2000-07-05 |
2004-04-29 |
Applied Materials, Inc. |
Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
|
US6599818B2
(en)
*
|
2000-10-10 |
2003-07-29 |
Semiconductor Energy Laboratory Co., Ltd. |
Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
|
KR100436941B1
(ko)
*
|
2000-11-07 |
2004-06-23 |
주성엔지니어링(주) |
박막 증착 장치 및 그 방법
|
JP2002164423A
(ja)
*
|
2000-11-28 |
2002-06-07 |
Tokyo Seimitsu Co Ltd |
ウェーハリフト装置を備えたウェーハ保持装置
|
JP2004528677A
(ja)
|
2000-11-29 |
2004-09-16 |
サーモセラミックス インコーポレイテッド |
抵抗加熱器及びその使用法
|
US6594446B2
(en)
*
|
2000-12-04 |
2003-07-15 |
Vortek Industries Ltd. |
Heat-treating methods and systems
|
JP2002176000A
(ja)
*
|
2000-12-05 |
2002-06-21 |
Semiconductor Energy Lab Co Ltd |
熱処理装置及び半導体装置の製造方法
|
US7534977B2
(en)
*
|
2000-12-28 |
2009-05-19 |
Semiconductor Energy Laboratory Co., Ltd. |
Heat treatment apparatus and method of manufacturing a semiconductor device
|
US6765178B2
(en)
|
2000-12-29 |
2004-07-20 |
Applied Materials, Inc. |
Chamber for uniform substrate heating
|
US6825447B2
(en)
|
2000-12-29 |
2004-11-30 |
Applied Materials, Inc. |
Apparatus and method for uniform substrate heating and contaminate collection
|
US6770146B2
(en)
|
2001-02-02 |
2004-08-03 |
Mattson Technology, Inc. |
Method and system for rotating a semiconductor wafer in processing chambers
|
US7118780B2
(en)
|
2001-03-16 |
2006-10-10 |
Semiconductor Energy Laboratory Co., Ltd. |
Heat treatment method
|
JP3975321B2
(ja)
*
|
2001-04-20 |
2007-09-12 |
信越化学工業株式会社 |
フォトマスク用シリカガラス系基板及びフォトマスク用シリカガラス系基板の平坦化方法
|
KR100422199B1
(ko)
*
|
2001-05-04 |
2004-03-12 |
주성엔지니어링(주) |
반도체 소자 제조장치
|
US6344631B1
(en)
|
2001-05-11 |
2002-02-05 |
Applied Materials, Inc. |
Substrate support assembly and processing apparatus
|
CN1271678C
(zh)
*
|
2001-05-18 |
2006-08-23 |
马特森热力产品有限责任公司 |
搬运装置
|
DE10156441A1
(de)
*
|
2001-05-18 |
2002-11-21 |
Mattson Thermal Products Gmbh |
Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
|
US6645344B2
(en)
*
|
2001-05-18 |
2003-11-11 |
Tokyo Electron Limited |
Universal backplane assembly and methods
|
KR100876927B1
(ko)
*
|
2001-06-01 |
2009-01-07 |
가부시키가이샤 한도오따이 에네루기 켄큐쇼 |
열처리장치 및 열처리방법
|
JP4703891B2
(ja)
*
|
2001-06-07 |
2011-06-15 |
ルネサスエレクトロニクス株式会社 |
薄膜製造方法
|
JP4181761B2
(ja)
*
|
2001-06-21 |
2008-11-19 |
ジュン キム ヒョン |
熱感受性非導電性基板上の半導体フィルムを熱処理するための方法および装置
|
EP1274121A1
(de)
|
2001-06-29 |
2003-01-08 |
Infineon Technologies SC300 GmbH & Co. KG |
Halbleiterwaferhalter
|
DE10131673A1
(de)
*
|
2001-06-29 |
2003-01-30 |
Infineon Technologies Ag |
Tragevorrichtung für einen Wafer
|
KR20030006245A
(ko)
*
|
2001-07-12 |
2003-01-23 |
삼성전자 주식회사 |
웨이퍼 건조장치
|
JP2003060012A
(ja)
*
|
2001-08-08 |
2003-02-28 |
Asm Japan Kk |
半導体処理用反応チャンバ
|
TW559905B
(en)
*
|
2001-08-10 |
2003-11-01 |
Toshiba Corp |
Vertical chemical vapor deposition system cross-reference to related applications
|
US6676760B2
(en)
|
2001-08-16 |
2004-01-13 |
Appiled Materials, Inc. |
Process chamber having multiple gas distributors and method
|
JP2003086522A
(ja)
*
|
2001-09-13 |
2003-03-20 |
Sumitomo Chem Co Ltd |
半導体製造装置
|
JP3798674B2
(ja)
*
|
2001-10-29 |
2006-07-19 |
大日本スクリーン製造株式会社 |
熱処理装置および熱処理方法
|
JP3715228B2
(ja)
*
|
2001-10-29 |
2005-11-09 |
大日本スクリーン製造株式会社 |
熱処理装置
|
KR100974848B1
(ko)
*
|
2001-12-03 |
2010-08-11 |
가부시키가이샤 알박 |
혼합기, 박막 제조 장치 및 박막 제조 방법
|
US7445382B2
(en)
*
|
2001-12-26 |
2008-11-04 |
Mattson Technology Canada, Inc. |
Temperature measurement and heat-treating methods and system
|
US20030141178A1
(en)
*
|
2002-01-30 |
2003-07-31 |
Applied Materials, Inc. |
Energizing gas for substrate processing with shockwaves
|
DE10208450B4
(de)
*
|
2002-02-27 |
2004-09-16 |
Infineon Technologies Ag |
Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
|
US6776849B2
(en)
*
|
2002-03-15 |
2004-08-17 |
Asm America, Inc. |
Wafer holder with peripheral lift ring
|
US6868302B2
(en)
*
|
2002-03-25 |
2005-03-15 |
Dainippon Screen Mfg. Co., Ltd. |
Thermal processing apparatus
|
US6998580B2
(en)
|
2002-03-28 |
2006-02-14 |
Dainippon Screen Mfg. Co., Ltd. |
Thermal processing apparatus and thermal processing method
|
US6861321B2
(en)
|
2002-04-05 |
2005-03-01 |
Asm America, Inc. |
Method of loading a wafer onto a wafer holder to reduce thermal shock
|
US7122844B2
(en)
*
|
2002-05-13 |
2006-10-17 |
Cree, Inc. |
Susceptor for MOCVD reactor
|
US6687456B1
(en)
*
|
2002-07-15 |
2004-02-03 |
Taiwan Semiconductor Manufacturing Co., Ltd |
In-line fluid heater
|
KR100992803B1
(ko)
*
|
2002-07-25 |
2010-11-09 |
도쿄엘렉트론가부시키가이샤 |
기판 처리 용기
|
US6727194B2
(en)
*
|
2002-08-02 |
2004-04-27 |
Wafermasters, Inc. |
Wafer batch processing system and method
|
US7166168B1
(en)
|
2002-10-18 |
2007-01-23 |
Carl Zeiss Smt Ag |
Substrate-coating system and an associated substrate-heating method
|
EP1568068A1
(de)
*
|
2002-11-22 |
2005-08-31 |
Applied Materials, Inc. |
Rückseitenheizkammer
|
US20050170314A1
(en)
*
|
2002-11-27 |
2005-08-04 |
Richard Golden |
Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
|
KR20050084200A
(ko)
*
|
2002-12-09 |
2005-08-26 |
코닌클리즈케 필립스 일렉트로닉스 엔.브이. |
웨이퍼 제조 장치, 웨이퍼 제조 방법 및 웨이퍼 간의온도차 감소 방법
|
KR101163682B1
(ko)
|
2002-12-20 |
2012-07-09 |
맷슨 테크날러지 캐나다 인코퍼레이티드 |
피가공물 지지 장치
|
US6709267B1
(en)
|
2002-12-27 |
2004-03-23 |
Asm America, Inc. |
Substrate holder with deep annular groove to prevent edge heat loss
|
KR20050088159A
(ko)
*
|
2003-01-17 |
2005-09-01 |
제너럴 일렉트릭 캄파니 |
웨이퍼 처리 장치
|
US8366830B2
(en)
*
|
2003-03-04 |
2013-02-05 |
Cree, Inc. |
Susceptor apparatus for inverted type MOCVD reactor
|
JP4257576B2
(ja)
*
|
2003-03-25 |
2009-04-22 |
ローム株式会社 |
成膜装置
|
DE10320597A1
(de)
*
|
2003-04-30 |
2004-12-02 |
Aixtron Ag |
Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
|
JP3929939B2
(ja)
*
|
2003-06-25 |
2007-06-13 |
株式会社東芝 |
処理装置、製造装置、処理方法及び電子装置の製造方法
|
US6991003B2
(en)
*
|
2003-07-28 |
2006-01-31 |
M.Braun, Inc. |
System and method for automatically purifying solvents
|
JP4599816B2
(ja)
*
|
2003-08-01 |
2010-12-15 |
信越半導体株式会社 |
シリコンエピタキシャルウェーハの製造方法
|
US7024105B2
(en)
*
|
2003-10-10 |
2006-04-04 |
Applied Materials Inc. |
Substrate heater assembly
|
US6897162B2
(en)
*
|
2003-10-20 |
2005-05-24 |
Wafermasters, Inc. |
Integrated ashing and implant annealing method
|
JP5630935B2
(ja)
*
|
2003-12-19 |
2014-11-26 |
マトソン テクノロジー、インコーポレイテッド |
工作物の熱誘起運動を抑制する機器及び装置
|
US7190889B2
(en)
*
|
2004-05-17 |
2007-03-13 |
Neocera, Llc |
Non-contact heater and method for non-contact heating of a substrate for material deposition
|
DE102004025150B4
(de)
*
|
2004-05-21 |
2019-05-09 |
Mattson Technology, Inc. |
Lagebestimmung eines Halbleitersubstrats auf einer Rotationsvorrichtung
|
JP4925571B2
(ja)
*
|
2004-08-09 |
2012-04-25 |
アプライド マテリアルズ インコーポレイテッド |
基板の熱的性質判定方法及び熱処理条件の決定方法
|
DE102004039443B4
(de)
*
|
2004-08-13 |
2023-05-25 |
Beijing E-Town Semiconductor Technology, Co., Ltd. |
Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
|
US7785456B2
(en)
*
|
2004-10-19 |
2010-08-31 |
Jds Uniphase Corporation |
Magnetic latch for a vapour deposition system
|
DK1630260T3
(da)
*
|
2004-08-20 |
2011-10-31 |
Jds Uniphase Inc |
Magnetisk holdemekanisme til et dampudfældningssystem
|
US7332195B2
(en)
*
|
2004-08-26 |
2008-02-19 |
Honeywell International Inc. |
Chemical vapor deposition method
|
JP2006190795A
(ja)
*
|
2005-01-06 |
2006-07-20 |
Matsushita Electric Ind Co Ltd |
半導体装置の製造方法および急速熱処理装置
|
JP4934595B2
(ja)
*
|
2005-01-18 |
2012-05-16 |
エーエスエム アメリカ インコーポレイテッド |
薄膜成長用反応装置
|
US7275861B2
(en)
*
|
2005-01-31 |
2007-10-02 |
Veeco Instruments Inc. |
Calibration wafer and method of calibrating in situ temperatures
|
EP1688534A1
(de)
*
|
2005-02-02 |
2006-08-09 |
Wolff Cellulosics GmbH & Co.KG |
Verwendung von Arabinoxylanen in der Papierherstellung
|
US7598477B2
(en)
*
|
2005-02-07 |
2009-10-06 |
Guy Smith |
Vacuum muffle quench furnace
|
US7402778B2
(en)
*
|
2005-04-29 |
2008-07-22 |
Asm Assembly Automation Ltd. |
Oven for controlled heating of compounds at varying temperatures
|
JP2008546203A
(ja)
*
|
2005-06-01 |
2008-12-18 |
マットソン テクノロジー インコーポレイテッド |
パルス化された加熱処理の間に熱収支を最適化する方法
|
US20060281310A1
(en)
*
|
2005-06-08 |
2006-12-14 |
Applied Materials, Inc. |
Rotating substrate support and methods of use
|
EP1739213B1
(de)
*
|
2005-07-01 |
2011-04-13 |
Freiberger Compound Materials GmbH |
Vorrichtung und Verfahren zum Tempern von III-V-Wafern sowie getemperte III-V-Halbleitereinkristallwafer
|
EP1760170B1
(de)
|
2005-09-05 |
2011-04-06 |
Japan Pionics Co., Ltd. |
Vorrichtung zur chemischen Dampfabscheidung
|
US7905109B2
(en)
*
|
2005-09-14 |
2011-03-15 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Rapid cooling system for RTP chamber
|
JP5017950B2
(ja)
*
|
2005-09-21 |
2012-09-05 |
株式会社Sumco |
エピタキシャル成長装置の温度管理方法
|
US7794667B2
(en)
*
|
2005-10-19 |
2010-09-14 |
Moore Epitaxial, Inc. |
Gas ring and method of processing substrates
|
JP4940635B2
(ja)
*
|
2005-11-14 |
2012-05-30 |
東京エレクトロン株式会社 |
加熱装置、熱処理装置及び記憶媒体
|
US20070125303A1
(en)
|
2005-12-02 |
2007-06-07 |
Ward Ruby |
High-throughput deposition system for oxide thin film growth by reactive coevaportation
|
KR100745130B1
(ko)
*
|
2006-02-09 |
2007-08-01 |
삼성전자주식회사 |
박막 증착 장치 및 방법
|
JP5105396B2
(ja)
*
|
2006-04-12 |
2012-12-26 |
東京応化工業株式会社 |
加熱処理装置
|
US20070281106A1
(en)
*
|
2006-05-30 |
2007-12-06 |
Applied Materials, Inc. |
Process chamber for dielectric gapfill
|
WO2007142850A2
(en)
*
|
2006-06-02 |
2007-12-13 |
Applied Materials |
Gas flow control by differential pressure measurements
|
US8571396B2
(en)
*
|
2006-06-26 |
2013-10-29 |
Tp Solar, Inc. |
Rapid thermal firing IR conveyor furnace having high intensity heating section
|
US9301340B2
(en)
|
2006-06-26 |
2016-03-29 |
Tp Solar, Inc. |
IR conveyor furnace having single belt with multiple independently controlled processing lanes
|
US8956457B2
(en)
*
|
2006-09-08 |
2015-02-17 |
Tokyo Electron Limited |
Thermal processing system for curing dielectric films
|
KR100867191B1
(ko)
*
|
2006-11-02 |
2008-11-06 |
주식회사 유진테크 |
기판처리장치 및 기판처리방법
|
US8454356B2
(en)
|
2006-11-15 |
2013-06-04 |
Mattson Technology, Inc. |
Systems and methods for supporting a workpiece during heat-treating
|
TW200836578A
(en)
*
|
2006-11-27 |
2008-09-01 |
Momentive Performance Mat Inc |
Quartz encapsulated heater and heater assembly thereof
|
KR100790729B1
(ko)
*
|
2006-12-11 |
2008-01-02 |
삼성전기주식회사 |
화학 기상 증착 장치
|
JP2008182180A
(ja)
*
|
2006-12-26 |
2008-08-07 |
Epicrew Inc |
加熱装置及び半導体製造装置
|
ATE532609T1
(de)
*
|
2007-02-01 |
2011-11-15 |
Ibp Conex Ltd |
Einführ- und freigabewerkzeug für rohrverbindungsanordnung und verfahren zur verwendung solch eines werkzeugs
|
US8610033B1
(en)
*
|
2007-03-29 |
2013-12-17 |
Moore Epitaxial, Inc. |
Rapid thermal process reactor utilizing a low profile dome
|
WO2008142747A1
(ja)
*
|
2007-05-16 |
2008-11-27 |
Canon Anelva Corporation |
加熱処理装置
|
JP4288309B2
(ja)
*
|
2007-09-03 |
2009-07-01 |
キヤノンアネルバ株式会社 |
基板熱処理装置及び基板の熱処理方法
|
US8372327B2
(en)
*
|
2007-09-13 |
2013-02-12 |
The Boeing Company |
Method for resin transfer molding composite parts
|
US8017059B2
(en)
|
2007-09-13 |
2011-09-13 |
The Boeing Company |
Composite fabrication apparatus and method
|
US20090075491A1
(en)
*
|
2007-09-13 |
2009-03-19 |
Tokyo Electron Limited |
Method for curing a dielectric film
|
US8375758B1
(en)
|
2007-09-13 |
2013-02-19 |
The Boeing Company |
Induction forming of metal components with slotted susceptors
|
US8865050B2
(en)
|
2010-03-16 |
2014-10-21 |
The Boeing Company |
Method for curing a composite part layup
|
JP5444607B2
(ja)
*
|
2007-10-31 |
2014-03-19 |
株式会社Sumco |
エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
|
US7964040B2
(en)
*
|
2007-11-08 |
2011-06-21 |
Applied Materials, Inc. |
Multi-port pumping system for substrate processing chambers
|
US20090120368A1
(en)
*
|
2007-11-08 |
2009-05-14 |
Applied Materials, Inc. |
Rotating temperature controlled substrate pedestal for film uniformity
|
KR100906341B1
(ko)
|
2007-11-22 |
2009-07-06 |
에이피시스템 주식회사 |
급속열처리용 기판회전요동장치
|
JP5283370B2
(ja)
*
|
2007-11-29 |
2013-09-04 |
株式会社ニューフレアテクノロジー |
気相成長装置および気相成長方法
|
KR100962044B1
(ko)
*
|
2007-12-06 |
2010-06-08 |
성균관대학교산학협력단 |
저유전 플라즈마 중합체 박막 및 그 제조 방법
|
US8999106B2
(en)
*
|
2007-12-19 |
2015-04-07 |
Applied Materials, Inc. |
Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
|
US8404049B2
(en)
|
2007-12-27 |
2013-03-26 |
Memc Electronic Materials, Inc. |
Epitaxial barrel susceptor having improved thickness uniformity
|
US8314368B2
(en)
*
|
2008-02-22 |
2012-11-20 |
Applied Materials, Inc. |
Silver reflectors for semiconductor processing chambers
|
US20090214843A1
(en)
*
|
2008-02-26 |
2009-08-27 |
Siltronic Corporation |
Controlled edge resistivity in a silicon wafer
|
WO2009108221A2
(en)
*
|
2008-02-27 |
2009-09-03 |
S.O.I.Tec Silicon On Insulator Technologies |
Thermalization of gaseous precursors in cvd reactors
|
US20090226695A1
(en)
*
|
2008-03-06 |
2009-09-10 |
Tokyo Electron Limited |
Method for treating a dielectric film with infrared radiation
|
US20090226694A1
(en)
*
|
2008-03-06 |
2009-09-10 |
Tokyo Electron Limited |
POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
|
US7977256B2
(en)
*
|
2008-03-06 |
2011-07-12 |
Tokyo Electron Limited |
Method for removing a pore-generating material from an uncured low-k dielectric film
|
US20090269939A1
(en)
*
|
2008-04-25 |
2009-10-29 |
Asm International, N.V. |
Cyclical oxidation process
|
CN104313529A
(zh)
*
|
2008-05-01 |
2015-01-28 |
萨莫希雷梅克斯公司 |
制造烹饪器具的方法
|
US8398777B2
(en)
|
2008-05-02 |
2013-03-19 |
Applied Materials, Inc. |
System and method for pedestal adjustment
|
CN102089873A
(zh)
|
2008-05-16 |
2011-06-08 |
加拿大马特森技术有限公司 |
工件破损防止方法及设备
|
US20090308315A1
(en)
*
|
2008-06-13 |
2009-12-17 |
Asm International N.V. |
Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
|
CN101308981A
(zh)
*
|
2008-07-11 |
2008-11-19 |
永泰电子(东莞)有限公司 |
一种运用红外线加热的焊接工艺及焊接装置
|
US20100059182A1
(en)
*
|
2008-09-05 |
2010-03-11 |
Jusung Engineering Co., Ltd. |
Substrate processing apparatus
|
US20100065758A1
(en)
*
|
2008-09-16 |
2010-03-18 |
Tokyo Electron Limited |
Dielectric material treatment system and method of operating
|
US20100068897A1
(en)
*
|
2008-09-16 |
2010-03-18 |
Tokyo Electron Limited |
Dielectric treatment platform for dielectric film deposition and curing
|
US8895942B2
(en)
*
|
2008-09-16 |
2014-11-25 |
Tokyo Electron Limited |
Dielectric treatment module using scanning IR radiation source
|
US20100067886A1
(en)
*
|
2008-09-16 |
2010-03-18 |
Tokyo Electron Limited |
Ir laser optics system for dielectric treatment module
|
KR101182502B1
(ko)
*
|
2008-09-30 |
2012-09-12 |
도쿄엘렉트론가부시키가이샤 |
기판의 이상 배치 상태의 검지 방법, 기판 처리 방법, 컴퓨터 판독 가능한 기억 매체 및 기판 처리 장치
|
US20100095890A1
(en)
*
|
2008-10-22 |
2010-04-22 |
Applied Materials, Inc. |
Gas supply system, pumping system, coating system, gas supply method, and pumping method
|
JP5123820B2
(ja)
*
|
2008-10-27 |
2013-01-23 |
東京エレクトロン株式会社 |
基板処理装置の真空排気方法及び基板処理装置
|
US20100101491A1
(en)
*
|
2008-10-29 |
2010-04-29 |
Asm Japan K.K. |
Wafer lift pins suspended and supported at underside of susceptor
|
US8801857B2
(en)
|
2008-10-31 |
2014-08-12 |
Asm America, Inc. |
Self-centering susceptor ring assembly
|
US8209833B2
(en)
*
|
2008-11-07 |
2012-07-03 |
Tokyo Electron Limited |
Thermal processing system and method of using
|
US10378106B2
(en)
|
2008-11-14 |
2019-08-13 |
Asm Ip Holding B.V. |
Method of forming insulation film by modified PEALD
|
US8110435B2
(en)
*
|
2008-12-18 |
2012-02-07 |
Jusung Engineering Co., Ltd. |
Method and apparatus for manufacturing semiconductor device
|
TWI465599B
(zh)
*
|
2008-12-29 |
2014-12-21 |
K C Tech Co Ltd |
原子層沉積裝置
|
CN102308381B
(zh)
*
|
2009-02-11 |
2014-08-13 |
应用材料公司 |
非接触性基板处理
|
US9127340B2
(en)
*
|
2009-02-13 |
2015-09-08 |
Asm International N.V. |
Selective oxidation process
|
US8889565B2
(en)
*
|
2009-02-13 |
2014-11-18 |
Asm International N.V. |
Selective removal of oxygen from metal-containing materials
|
KR101091369B1
(ko)
|
2009-02-17 |
2011-12-07 |
엘지이노텍 주식회사 |
반도체 제조장치
|
JP5438992B2
(ja)
*
|
2009-02-20 |
2014-03-12 |
昭和電工株式会社 |
炭化珪素半導体装置の製造方法
|
US7829457B2
(en)
*
|
2009-02-20 |
2010-11-09 |
Asm International N.V. |
Protection of conductors from oxidation in deposition chambers
|
US8298629B2
(en)
*
|
2009-02-25 |
2012-10-30 |
Crystal Solar Incorporated |
High throughput multi-wafer epitaxial reactor
|
US8673081B2
(en)
*
|
2009-02-25 |
2014-03-18 |
Crystal Solar, Inc. |
High throughput multi-wafer epitaxial reactor
|
JP2010205922A
(ja)
*
|
2009-03-03 |
2010-09-16 |
Canon Anelva Corp |
基板熱処理装置及び基板の製造方法
|
US20100240224A1
(en)
*
|
2009-03-20 |
2010-09-23 |
Taiwan Semiconductor Manufactruing Co., Ltd. |
Multi-zone semiconductor furnace
|
US9394608B2
(en)
|
2009-04-06 |
2016-07-19 |
Asm America, Inc. |
Semiconductor processing reactor and components thereof
|
KR101536257B1
(ko)
*
|
2009-07-22 |
2015-07-13 |
한국에이에스엠지니텍 주식회사 |
수평 흐름 증착 장치 및 이를 이용한 증착 방법
|
US8802201B2
(en)
|
2009-08-14 |
2014-08-12 |
Asm America, Inc. |
Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
|
US20110185969A1
(en)
*
|
2009-08-21 |
2011-08-04 |
Varian Semiconductor Equipment Associates, Inc. |
Dual heating for precise wafer temperature control
|
JP2013503414A
(ja)
*
|
2009-08-26 |
2013-01-31 |
ビーコ・インスツルメンツ・インコーポレーテッド |
磁気記録媒体上にパターンを製造するためのシステム
|
JP5357689B2
(ja)
*
|
2009-10-02 |
2013-12-04 |
三洋電機株式会社 |
触媒cvd装置、膜の形成方法、太陽電池の製造方法及び基材の保持体
|
JP5310512B2
(ja)
*
|
2009-12-02 |
2013-10-09 |
東京エレクトロン株式会社 |
基板処理装置
|
US8242033B2
(en)
*
|
2009-12-08 |
2012-08-14 |
Corning Incorporated |
High throughput recrystallization of semiconducting materials
|
JP2011171450A
(ja)
*
|
2010-02-17 |
2011-09-01 |
Nuflare Technology Inc |
成膜装置および成膜方法
|
US20110209995A1
(en)
*
|
2010-03-01 |
2011-09-01 |
Applied Materials, Inc. |
Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
|
EP2368860A1
(de)
*
|
2010-03-01 |
2011-09-28 |
Saint-Gobain Glass France |
Vorrichtung und Verfahren zur Substratprozessierung
|
US20110232677A1
(en)
*
|
2010-03-29 |
2011-09-29 |
Tokyo Electron Limited |
Method for cleaning low-k dielectrics
|
US8507388B2
(en)
|
2010-04-26 |
2013-08-13 |
Asm International N.V. |
Prevention of oxidation of substrate surfaces in process chambers
|
TWI398545B
(zh)
*
|
2010-04-29 |
2013-06-11 |
Chi Mei Lighting Tech Corp |
有機金屬化學氣相沉積機台
|
FR2959757B1
(fr)
*
|
2010-05-04 |
2012-08-03 |
Global Technologies |
Reacteur pyrolytique a chauffage bilateral
|
US20120181265A1
(en)
*
|
2010-07-15 |
2012-07-19 |
Despatch Industries Limited Partnership |
Firing furnace configuration for thermal processing system
|
WO2012012376A1
(en)
*
|
2010-07-22 |
2012-01-26 |
First Solar, Inc |
Deposition system
|
US8535445B2
(en)
*
|
2010-08-13 |
2013-09-17 |
Veeco Instruments Inc. |
Enhanced wafer carrier
|
TWI489075B
(zh)
*
|
2010-09-28 |
2015-06-21 |
Tp太陽能公司 |
具有高反射率加熱區段的快速點火ir輸送帶爐
|
CH703545B1
(de)
*
|
2010-10-04 |
2012-02-15 |
Rene Meier |
Verfahren zur Herstellung von elektroaktiviertem Wasser.
|
JP5549552B2
(ja)
*
|
2010-11-12 |
2014-07-16 |
東京エレクトロン株式会社 |
真空処理装置の組み立て方法及び真空処理装置
|
DE102010054919A1
(de)
*
|
2010-12-17 |
2012-06-21 |
Centrotherm Photovoltaics Ag |
Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
|
US20120180954A1
(en)
|
2011-01-18 |
2012-07-19 |
Applied Materials, Inc. |
Semiconductor processing system and methods using capacitively coupled plasma
|
US9915475B2
(en)
*
|
2011-04-12 |
2018-03-13 |
Jiaxiong Wang |
Assembled reactor for fabrications of thin film solar cell absorbers through roll-to-roll processes
|
CN107022789B
(zh)
|
2011-05-27 |
2021-03-12 |
斯瓦高斯技术股份有限公司 |
在外延反应器中的硅衬底上外延沉积硅晶片的方法
|
US9312155B2
(en)
|
2011-06-06 |
2016-04-12 |
Asm Japan K.K. |
High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
|
US10364496B2
(en)
|
2011-06-27 |
2019-07-30 |
Asm Ip Holding B.V. |
Dual section module having shared and unshared mass flow controllers
|
US10854498B2
(en)
|
2011-07-15 |
2020-12-01 |
Asm Ip Holding B.V. |
Wafer-supporting device and method for producing same
|
US20130023129A1
(en)
|
2011-07-20 |
2013-01-24 |
Asm America, Inc. |
Pressure transmitter for a semiconductor processing environment
|
US9017481B1
(en)
|
2011-10-28 |
2015-04-28 |
Asm America, Inc. |
Process feed management for semiconductor substrate processing
|
CN104040691B
(zh)
*
|
2011-12-27 |
2016-09-07 |
佳能安内华股份有限公司 |
基板热处理装置
|
US20130171350A1
(en)
*
|
2011-12-29 |
2013-07-04 |
Intermolecular Inc. |
High Throughput Processing Using Metal Organic Chemical Vapor Deposition
|
US20130196053A1
(en)
*
|
2012-01-10 |
2013-08-01 |
State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat |
Flow cell design for uniform residence time fluid flow
|
USD726133S1
(en)
|
2012-03-20 |
2015-04-07 |
Veeco Instruments Inc. |
Keyed spindle
|
USD712852S1
(en)
|
2012-03-20 |
2014-09-09 |
Veeco Instruments Inc. |
Spindle key
|
US9816184B2
(en)
|
2012-03-20 |
2017-11-14 |
Veeco Instruments Inc. |
Keyed wafer carrier
|
US9401271B2
(en)
*
|
2012-04-19 |
2016-07-26 |
Sunedison Semiconductor Limited (Uen201334164H) |
Susceptor assemblies for supporting wafers in a reactor apparatus
|
EP2660574A1
(de)
*
|
2012-05-04 |
2013-11-06 |
LayTec AG |
Flache lichtemittierende Platte zur Simulation von Wärmestrahlung, Verfahren zur Kalibrierung eines Pyrometers und Verfahren zur Bestimmung der Temperatur eines Halbleiter-Wafers
|
US9659799B2
(en)
|
2012-08-28 |
2017-05-23 |
Asm Ip Holding B.V. |
Systems and methods for dynamic semiconductor process scheduling
|
US8889566B2
(en)
|
2012-09-11 |
2014-11-18 |
Applied Materials, Inc. |
Low cost flowable dielectric films
|
US9021985B2
(en)
|
2012-09-12 |
2015-05-05 |
Asm Ip Holdings B.V. |
Process gas management for an inductively-coupled plasma deposition reactor
|
KR101440307B1
(ko)
*
|
2012-09-17 |
2014-09-18 |
주식회사 유진테크 |
기판처리장치
|
US9406538B2
(en)
*
|
2012-10-09 |
2016-08-02 |
Applied Materials, Inc. |
Indexed inline substrate processing tool
|
US10714315B2
(en)
|
2012-10-12 |
2020-07-14 |
Asm Ip Holdings B.V. |
Semiconductor reaction chamber showerhead
|
US20140120735A1
(en)
*
|
2012-10-31 |
2014-05-01 |
Macronix International Co., Ltd. |
Semiconductor process gas flow control apparatus
|
JP5904101B2
(ja)
*
|
2012-11-22 |
2016-04-13 |
豊田合成株式会社 |
化合物半導体の製造装置およびウェハ保持体
|
US9018108B2
(en)
|
2013-01-25 |
2015-04-28 |
Applied Materials, Inc. |
Low shrinkage dielectric films
|
US20160376700A1
(en)
|
2013-02-01 |
2016-12-29 |
Asm Ip Holding B.V. |
System for treatment of deposition reactor
|
EP3514700A1
(de)
*
|
2013-02-20 |
2019-07-24 |
Hartford Steam Boiler Inspection and Insurance Company |
System und verfahren zur dynamischen verringerung der ausreissertendenz
|
US9484191B2
(en)
|
2013-03-08 |
2016-11-01 |
Asm Ip Holding B.V. |
Pulsed remote plasma method and system
|
US9589770B2
(en)
|
2013-03-08 |
2017-03-07 |
Asm Ip Holding B.V. |
Method and systems for in-situ formation of intermediate reactive species
|
JP6027929B2
(ja)
*
|
2013-03-29 |
2016-11-16 |
大陽日酸株式会社 |
気相成長装置の調整方法
|
JP6293135B2
(ja)
*
|
2013-06-06 |
2018-03-14 |
イビデン株式会社 |
ウエハキャリアおよびこれを用いたエピタキシャル成長装置
|
US9580806B2
(en)
*
|
2013-08-29 |
2017-02-28 |
Applied Materials, Inc. |
Method of processing a substrate support assembly
|
KR102434364B1
(ko)
*
|
2013-09-06 |
2022-08-19 |
어플라이드 머티어리얼스, 인코포레이티드 |
원형 램프 어레이들
|
US9240412B2
(en)
|
2013-09-27 |
2016-01-19 |
Asm Ip Holding B.V. |
Semiconductor structure and device and methods of forming same using selective epitaxial process
|
CN105745741B
(zh)
*
|
2013-11-22 |
2019-11-08 |
应用材料公司 |
易取灯头
|
EP3100298B1
(de)
*
|
2014-01-27 |
2020-07-15 |
Veeco Instruments Inc. |
Waferträger mit haltetaschen mit verbundradien für systeme zur chemischen dampfphasenabscheidung
|
US10683571B2
(en)
|
2014-02-25 |
2020-06-16 |
Asm Ip Holding B.V. |
Gas supply manifold and method of supplying gases to chamber using same
|
JP6303592B2
(ja)
*
|
2014-02-25 |
2018-04-04 |
東京エレクトロン株式会社 |
基板処理装置
|
US10167557B2
(en)
|
2014-03-18 |
2019-01-01 |
Asm Ip Holding B.V. |
Gas distribution system, reactor including the system, and methods of using the same
|
US11015245B2
(en)
|
2014-03-19 |
2021-05-25 |
Asm Ip Holding B.V. |
Gas-phase reactor and system having exhaust plenum and components thereof
|
WO2015179387A1
(en)
*
|
2014-05-21 |
2015-11-26 |
Brewer Science Inc. |
Multi-size adaptable spin chuck system
|
US9412581B2
(en)
|
2014-07-16 |
2016-08-09 |
Applied Materials, Inc. |
Low-K dielectric gapfill by flowable deposition
|
US10858737B2
(en)
|
2014-07-28 |
2020-12-08 |
Asm Ip Holding B.V. |
Showerhead assembly and components thereof
|
US9890456B2
(en)
|
2014-08-21 |
2018-02-13 |
Asm Ip Holding B.V. |
Method and system for in situ formation of gas-phase compounds
|
US9657845B2
(en)
|
2014-10-07 |
2017-05-23 |
Asm Ip Holding B.V. |
Variable conductance gas distribution apparatus and method
|
US10941490B2
(en)
*
|
2014-10-07 |
2021-03-09 |
Asm Ip Holding B.V. |
Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
|
KR102300403B1
(ko)
|
2014-11-19 |
2021-09-09 |
에이에스엠 아이피 홀딩 비.브이. |
박막 증착 방법
|
KR102263121B1
(ko)
|
2014-12-22 |
2021-06-09 |
에이에스엠 아이피 홀딩 비.브이. |
반도체 소자 및 그 제조 방법
|
JP6094605B2
(ja)
*
|
2015-01-20 |
2017-03-15 |
トヨタ自動車株式会社 |
単結晶製造装置
|
US20160225652A1
(en)
|
2015-02-03 |
2016-08-04 |
Applied Materials, Inc. |
Low temperature chuck for plasma processing systems
|
US10529542B2
(en)
|
2015-03-11 |
2020-01-07 |
Asm Ip Holdings B.V. |
Cross-flow reactor and method
|
US10276355B2
(en)
|
2015-03-12 |
2019-04-30 |
Asm Ip Holding B.V. |
Multi-zone reactor, system including the reactor, and method of using the same
|
WO2016164569A1
(en)
*
|
2015-04-07 |
2016-10-13 |
Applied Materials, Inc. |
Process gas preheating systems and methods for double-sided multi-substrate batch processing
|
US9627239B2
(en)
|
2015-05-29 |
2017-04-18 |
Veeco Instruments Inc. |
Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
|
US10597779B2
(en)
*
|
2015-06-05 |
2020-03-24 |
Applied Materials, Inc. |
Susceptor position and rational apparatus and methods of use
|
US10458018B2
(en)
|
2015-06-26 |
2019-10-29 |
Asm Ip Holding B.V. |
Structures including metal carbide material, devices including the structures, and methods of forming same
|
US10600673B2
(en)
|
2015-07-07 |
2020-03-24 |
Asm Ip Holding B.V. |
Magnetic susceptor to baseplate seal
|
US10043661B2
(en)
|
2015-07-13 |
2018-08-07 |
Asm Ip Holding B.V. |
Method for protecting layer by forming hydrocarbon-based extremely thin film
|
US10083836B2
(en)
|
2015-07-24 |
2018-09-25 |
Asm Ip Holding B.V. |
Formation of boron-doped titanium metal films with high work function
|
KR20170016562A
(ko)
|
2015-08-03 |
2017-02-14 |
삼성전자주식회사 |
박막 증착 장치
|
US10932323B2
(en)
|
2015-08-03 |
2021-02-23 |
Alta Devices, Inc. |
Reflector and susceptor assembly for chemical vapor deposition reactor
|
US9960072B2
(en)
|
2015-09-29 |
2018-05-01 |
Asm Ip Holding B.V. |
Variable adjustment for precise matching of multiple chamber cavity housings
|
EP3360155B1
(de)
*
|
2015-10-09 |
2022-10-05 |
Applied Materials, Inc. |
Diodenlaser für waferheizung für epi-verfahren
|
US10211308B2
(en)
|
2015-10-21 |
2019-02-19 |
Asm Ip Holding B.V. |
NbMC layers
|
DE102015220924B4
(de)
*
|
2015-10-27 |
2018-09-27 |
Siltronic Ag |
Suszeptor zum Halten einer Halbleiterscheibe mit Orientierungskerbe, Verfahren zum Abscheiden einer Schicht auf einer Halbleiterscheibe und Halbleiterscheibe
|
US10322384B2
(en)
|
2015-11-09 |
2019-06-18 |
Asm Ip Holding B.V. |
Counter flow mixer for process chamber
|
US20170178758A1
(en)
*
|
2015-12-18 |
2017-06-22 |
Applied Materials, Inc. |
Uniform wafer temperature achievement in unsymmetric chamber environment
|
JP6539578B2
(ja)
|
2015-12-22 |
2019-07-03 |
株式会社Screenホールディングス |
熱処理装置および熱処理方法
|
US11139308B2
(en)
|
2015-12-29 |
2021-10-05 |
Asm Ip Holding B.V. |
Atomic layer deposition of III-V compounds to form V-NAND devices
|
US10428425B2
(en)
*
|
2016-01-26 |
2019-10-01 |
Tokyo Electron Limited |
Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
|
US10468251B2
(en)
|
2016-02-19 |
2019-11-05 |
Asm Ip Holding B.V. |
Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
|
US10529554B2
(en)
|
2016-02-19 |
2020-01-07 |
Asm Ip Holding B.V. |
Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
|
JP7091249B2
(ja)
|
2016-03-02 |
2022-06-27 |
ワットロー・エレクトリック・マニュファクチャリング・カンパニー |
ヒータ作動フローバイパス
|
US10501866B2
(en)
|
2016-03-09 |
2019-12-10 |
Asm Ip Holding B.V. |
Gas distribution apparatus for improved film uniformity in an epitaxial system
|
US10343920B2
(en)
|
2016-03-18 |
2019-07-09 |
Asm Ip Holding B.V. |
Aligned carbon nanotubes
|
US9892913B2
(en)
|
2016-03-24 |
2018-02-13 |
Asm Ip Holding B.V. |
Radial and thickness control via biased multi-port injection settings
|
CN117107221A
(zh)
*
|
2016-03-28 |
2023-11-24 |
应用材料公司 |
基座支撑件
|
US10865475B2
(en)
|
2016-04-21 |
2020-12-15 |
Asm Ip Holding B.V. |
Deposition of metal borides and silicides
|
US10190213B2
(en)
|
2016-04-21 |
2019-01-29 |
Asm Ip Holding B.V. |
Deposition of metal borides
|
US10087522B2
(en)
|
2016-04-21 |
2018-10-02 |
Asm Ip Holding B.V. |
Deposition of metal borides
|
US10367080B2
(en)
|
2016-05-02 |
2019-07-30 |
Asm Ip Holding B.V. |
Method of forming a germanium oxynitride film
|
US10032628B2
(en)
|
2016-05-02 |
2018-07-24 |
Asm Ip Holding B.V. |
Source/drain performance through conformal solid state doping
|
KR102592471B1
(ko)
|
2016-05-17 |
2023-10-20 |
에이에스엠 아이피 홀딩 비.브이. |
금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
|
US11453943B2
(en)
|
2016-05-25 |
2022-09-27 |
Asm Ip Holding B.V. |
Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
|
US10388509B2
(en)
|
2016-06-28 |
2019-08-20 |
Asm Ip Holding B.V. |
Formation of epitaxial layers via dislocation filtering
|
US9859151B1
(en)
|
2016-07-08 |
2018-01-02 |
Asm Ip Holding B.V. |
Selective film deposition method to form air gaps
|
US10612137B2
(en)
|
2016-07-08 |
2020-04-07 |
Asm Ip Holdings B.V. |
Organic reactants for atomic layer deposition
|
US9793135B1
(en)
|
2016-07-14 |
2017-10-17 |
ASM IP Holding B.V |
Method of cyclic dry etching using etchant film
|
US10714385B2
(en)
|
2016-07-19 |
2020-07-14 |
Asm Ip Holding B.V. |
Selective deposition of tungsten
|
KR102354490B1
(ko)
|
2016-07-27 |
2022-01-21 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 방법
|
US10395919B2
(en)
|
2016-07-28 |
2019-08-27 |
Asm Ip Holding B.V. |
Method and apparatus for filling a gap
|
KR102532607B1
(ko)
|
2016-07-28 |
2023-05-15 |
에이에스엠 아이피 홀딩 비.브이. |
기판 가공 장치 및 그 동작 방법
|
US10177025B2
(en)
|
2016-07-28 |
2019-01-08 |
Asm Ip Holding B.V. |
Method and apparatus for filling a gap
|
US9812320B1
(en)
|
2016-07-28 |
2017-11-07 |
Asm Ip Holding B.V. |
Method and apparatus for filling a gap
|
US9887082B1
(en)
|
2016-07-28 |
2018-02-06 |
Asm Ip Holding B.V. |
Method and apparatus for filling a gap
|
US10446420B2
(en)
*
|
2016-08-19 |
2019-10-15 |
Applied Materials, Inc. |
Upper cone for epitaxy chamber
|
US10090316B2
(en)
|
2016-09-01 |
2018-10-02 |
Asm Ip Holding B.V. |
3D stacked multilayer semiconductor memory using doped select transistor channel
|
US10410943B2
(en)
|
2016-10-13 |
2019-09-10 |
Asm Ip Holding B.V. |
Method for passivating a surface of a semiconductor and related systems
|
US10643826B2
(en)
|
2016-10-26 |
2020-05-05 |
Asm Ip Holdings B.V. |
Methods for thermally calibrating reaction chambers
|
US11532757B2
(en)
|
2016-10-27 |
2022-12-20 |
Asm Ip Holding B.V. |
Deposition of charge trapping layers
|
US10714350B2
(en)
|
2016-11-01 |
2020-07-14 |
ASM IP Holdings, B.V. |
Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
|
US10643904B2
(en)
|
2016-11-01 |
2020-05-05 |
Asm Ip Holdings B.V. |
Methods for forming a semiconductor device and related semiconductor device structures
|
US10229833B2
(en)
|
2016-11-01 |
2019-03-12 |
Asm Ip Holding B.V. |
Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
|
US10435790B2
(en)
|
2016-11-01 |
2019-10-08 |
Asm Ip Holding B.V. |
Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
|
US10134757B2
(en)
|
2016-11-07 |
2018-11-20 |
Asm Ip Holding B.V. |
Method of processing a substrate and a device manufactured by using the method
|
KR102546317B1
(ko)
|
2016-11-15 |
2023-06-21 |
에이에스엠 아이피 홀딩 비.브이. |
기체 공급 유닛 및 이를 포함하는 기판 처리 장치
|
US10340135B2
(en)
|
2016-11-28 |
2019-07-02 |
Asm Ip Holding B.V. |
Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
|
KR20180068582A
(ko)
|
2016-12-14 |
2018-06-22 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
US9916980B1
(en)
|
2016-12-15 |
2018-03-13 |
Asm Ip Holding B.V. |
Method of forming a structure on a substrate
|
US11581186B2
(en)
|
2016-12-15 |
2023-02-14 |
Asm Ip Holding B.V. |
Sequential infiltration synthesis apparatus
|
US11447861B2
(en)
|
2016-12-15 |
2022-09-20 |
Asm Ip Holding B.V. |
Sequential infiltration synthesis apparatus and a method of forming a patterned structure
|
KR102700194B1
(ko)
|
2016-12-19 |
2024-08-28 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
US10269558B2
(en)
|
2016-12-22 |
2019-04-23 |
Asm Ip Holding B.V. |
Method of forming a structure on a substrate
|
US10867788B2
(en)
|
2016-12-28 |
2020-12-15 |
Asm Ip Holding B.V. |
Method of forming a structure on a substrate
|
US11390950B2
(en)
|
2017-01-10 |
2022-07-19 |
Asm Ip Holding B.V. |
Reactor system and method to reduce residue buildup during a film deposition process
|
US10655221B2
(en)
|
2017-02-09 |
2020-05-19 |
Asm Ip Holding B.V. |
Method for depositing oxide film by thermal ALD and PEALD
|
US10468261B2
(en)
|
2017-02-15 |
2019-11-05 |
Asm Ip Holding B.V. |
Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
|
US10529563B2
(en)
|
2017-03-29 |
2020-01-07 |
Asm Ip Holdings B.V. |
Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
|
US10283353B2
(en)
|
2017-03-29 |
2019-05-07 |
Asm Ip Holding B.V. |
Method of reforming insulating film deposited on substrate with recess pattern
|
US10103040B1
(en)
|
2017-03-31 |
2018-10-16 |
Asm Ip Holding B.V. |
Apparatus and method for manufacturing a semiconductor device
|
USD830981S1
(en)
|
2017-04-07 |
2018-10-16 |
Asm Ip Holding B.V. |
Susceptor for semiconductor substrate processing apparatus
|
KR102457289B1
(ko)
|
2017-04-25 |
2022-10-21 |
에이에스엠 아이피 홀딩 비.브이. |
박막 증착 방법 및 반도체 장치의 제조 방법
|
US10892156B2
(en)
|
2017-05-08 |
2021-01-12 |
Asm Ip Holding B.V. |
Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
|
US10770286B2
(en)
|
2017-05-08 |
2020-09-08 |
Asm Ip Holdings B.V. |
Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
|
US10446393B2
(en)
|
2017-05-08 |
2019-10-15 |
Asm Ip Holding B.V. |
Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
|
US10504742B2
(en)
|
2017-05-31 |
2019-12-10 |
Asm Ip Holding B.V. |
Method of atomic layer etching using hydrogen plasma
|
US10886123B2
(en)
|
2017-06-02 |
2021-01-05 |
Asm Ip Holding B.V. |
Methods for forming low temperature semiconductor layers and related semiconductor device structures
|
US12040200B2
(en)
|
2017-06-20 |
2024-07-16 |
Asm Ip Holding B.V. |
Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
|
JP6836965B2
(ja)
*
|
2017-06-23 |
2021-03-03 |
昭和電工株式会社 |
成膜装置
|
US11306395B2
(en)
|
2017-06-28 |
2022-04-19 |
Asm Ip Holding B.V. |
Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
|
US10685834B2
(en)
|
2017-07-05 |
2020-06-16 |
Asm Ip Holdings B.V. |
Methods for forming a silicon germanium tin layer and related semiconductor device structures
|
KR20190009245A
(ko)
|
2017-07-18 |
2019-01-28 |
에이에스엠 아이피 홀딩 비.브이. |
반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
|
US11374112B2
(en)
|
2017-07-19 |
2022-06-28 |
Asm Ip Holding B.V. |
Method for depositing a group IV semiconductor and related semiconductor device structures
|
US11018002B2
(en)
|
2017-07-19 |
2021-05-25 |
Asm Ip Holding B.V. |
Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
|
US10541333B2
(en)
|
2017-07-19 |
2020-01-21 |
Asm Ip Holding B.V. |
Method for depositing a group IV semiconductor and related semiconductor device structures
|
US10590535B2
(en)
|
2017-07-26 |
2020-03-17 |
Asm Ip Holdings B.V. |
Chemical treatment, deposition and/or infiltration apparatus and method for using the same
|
US10312055B2
(en)
|
2017-07-26 |
2019-06-04 |
Asm Ip Holding B.V. |
Method of depositing film by PEALD using negative bias
|
US10605530B2
(en)
|
2017-07-26 |
2020-03-31 |
Asm Ip Holding B.V. |
Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
|
US10770336B2
(en)
|
2017-08-08 |
2020-09-08 |
Asm Ip Holding B.V. |
Substrate lift mechanism and reactor including same
|
US10692741B2
(en)
|
2017-08-08 |
2020-06-23 |
Asm Ip Holdings B.V. |
Radiation shield
|
US11139191B2
(en)
|
2017-08-09 |
2021-10-05 |
Asm Ip Holding B.V. |
Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
|
US11769682B2
(en)
|
2017-08-09 |
2023-09-26 |
Asm Ip Holding B.V. |
Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
|
US10249524B2
(en)
|
2017-08-09 |
2019-04-02 |
Asm Ip Holding B.V. |
Cassette holder assembly for a substrate cassette and holding member for use in such assembly
|
US10236177B1
(en)
|
2017-08-22 |
2019-03-19 |
ASM IP Holding B.V.. |
Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
|
USD900036S1
(en)
|
2017-08-24 |
2020-10-27 |
Asm Ip Holding B.V. |
Heater electrical connector and adapter
|
US11830730B2
(en)
|
2017-08-29 |
2023-11-28 |
Asm Ip Holding B.V. |
Layer forming method and apparatus
|
KR102491945B1
(ko)
|
2017-08-30 |
2023-01-26 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
US11295980B2
(en)
|
2017-08-30 |
2022-04-05 |
Asm Ip Holding B.V. |
Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
|
US11056344B2
(en)
|
2017-08-30 |
2021-07-06 |
Asm Ip Holding B.V. |
Layer forming method
|
KR102401446B1
(ko)
|
2017-08-31 |
2022-05-24 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
US10607895B2
(en)
|
2017-09-18 |
2020-03-31 |
Asm Ip Holdings B.V. |
Method for forming a semiconductor device structure comprising a gate fill metal
|
KR102630301B1
(ko)
|
2017-09-21 |
2024-01-29 |
에이에스엠 아이피 홀딩 비.브이. |
침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
|
US10844484B2
(en)
|
2017-09-22 |
2020-11-24 |
Asm Ip Holding B.V. |
Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
|
US10658205B2
(en)
|
2017-09-28 |
2020-05-19 |
Asm Ip Holdings B.V. |
Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
|
US10403504B2
(en)
|
2017-10-05 |
2019-09-03 |
Asm Ip Holding B.V. |
Method for selectively depositing a metallic film on a substrate
|
US10319588B2
(en)
|
2017-10-10 |
2019-06-11 |
Asm Ip Holding B.V. |
Method for depositing a metal chalcogenide on a substrate by cyclical deposition
|
US10923344B2
(en)
|
2017-10-30 |
2021-02-16 |
Asm Ip Holding B.V. |
Methods for forming a semiconductor structure and related semiconductor structures
|
US10872803B2
(en)
|
2017-11-03 |
2020-12-22 |
Asm Ip Holding B.V. |
Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
|
US10872804B2
(en)
|
2017-11-03 |
2020-12-22 |
Asm Ip Holding B.V. |
Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
|
US10910262B2
(en)
|
2017-11-16 |
2021-02-02 |
Asm Ip Holding B.V. |
Method of selectively depositing a capping layer structure on a semiconductor device structure
|
KR102443047B1
(ko)
|
2017-11-16 |
2022-09-14 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치 방법 및 그에 의해 제조된 장치
|
US11022879B2
(en)
|
2017-11-24 |
2021-06-01 |
Asm Ip Holding B.V. |
Method of forming an enhanced unexposed photoresist layer
|
JP7214724B2
(ja)
|
2017-11-27 |
2023-01-30 |
エーエスエム アイピー ホールディング ビー.ブイ. |
バッチ炉で利用されるウェハカセットを収納するための収納装置
|
WO2019103610A1
(en)
|
2017-11-27 |
2019-05-31 |
Asm Ip Holding B.V. |
Apparatus including a clean mini environment
|
US10290508B1
(en)
|
2017-12-05 |
2019-05-14 |
Asm Ip Holding B.V. |
Method for forming vertical spacers for spacer-defined patterning
|
US10872771B2
(en)
|
2018-01-16 |
2020-12-22 |
Asm Ip Holding B. V. |
Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
|
CN111630203A
(zh)
|
2018-01-19 |
2020-09-04 |
Asm Ip私人控股有限公司 |
通过等离子体辅助沉积来沉积间隙填充层的方法
|
TWI799494B
(zh)
|
2018-01-19 |
2023-04-21 |
荷蘭商Asm 智慧財產控股公司 |
沈積方法
|
USD903477S1
(en)
|
2018-01-24 |
2020-12-01 |
Asm Ip Holdings B.V. |
Metal clamp
|
US11018047B2
(en)
|
2018-01-25 |
2021-05-25 |
Asm Ip Holding B.V. |
Hybrid lift pin
|
USD880437S1
(en)
|
2018-02-01 |
2020-04-07 |
Asm Ip Holding B.V. |
Gas supply plate for semiconductor manufacturing apparatus
|
US10535516B2
(en)
|
2018-02-01 |
2020-01-14 |
Asm Ip Holdings B.V. |
Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
|
US11081345B2
(en)
|
2018-02-06 |
2021-08-03 |
Asm Ip Holding B.V. |
Method of post-deposition treatment for silicon oxide film
|
US10896820B2
(en)
|
2018-02-14 |
2021-01-19 |
Asm Ip Holding B.V. |
Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
|
JP7124098B2
(ja)
|
2018-02-14 |
2022-08-23 |
エーエスエム・アイピー・ホールディング・ベー・フェー |
周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
|
US10731249B2
(en)
|
2018-02-15 |
2020-08-04 |
Asm Ip Holding B.V. |
Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
|
US10658181B2
(en)
|
2018-02-20 |
2020-05-19 |
Asm Ip Holding B.V. |
Method of spacer-defined direct patterning in semiconductor fabrication
|
KR102636427B1
(ko)
|
2018-02-20 |
2024-02-13 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 방법 및 장치
|
US10975470B2
(en)
|
2018-02-23 |
2021-04-13 |
Asm Ip Holding B.V. |
Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
|
US11473195B2
(en)
|
2018-03-01 |
2022-10-18 |
Asm Ip Holding B.V. |
Semiconductor processing apparatus and a method for processing a substrate
|
US11629406B2
(en)
|
2018-03-09 |
2023-04-18 |
Asm Ip Holding B.V. |
Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
|
US11114283B2
(en)
|
2018-03-16 |
2021-09-07 |
Asm Ip Holding B.V. |
Reactor, system including the reactor, and methods of manufacturing and using same
|
KR102646467B1
(ko)
|
2018-03-27 |
2024-03-11 |
에이에스엠 아이피 홀딩 비.브이. |
기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
|
US11230766B2
(en)
|
2018-03-29 |
2022-01-25 |
Asm Ip Holding B.V. |
Substrate processing apparatus and method
|
US11088002B2
(en)
|
2018-03-29 |
2021-08-10 |
Asm Ip Holding B.V. |
Substrate rack and a substrate processing system and method
|
US10510536B2
(en)
|
2018-03-29 |
2019-12-17 |
Asm Ip Holding B.V. |
Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
|
KR102501472B1
(ko)
|
2018-03-30 |
2023-02-20 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 방법
|
US12025484B2
(en)
|
2018-05-08 |
2024-07-02 |
Asm Ip Holding B.V. |
Thin film forming method
|
TWI843623B
(zh)
|
2018-05-08 |
2024-05-21 |
荷蘭商Asm Ip私人控股有限公司 |
藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
|
KR20190129718A
(ko)
|
2018-05-11 |
2019-11-20 |
에이에스엠 아이피 홀딩 비.브이. |
기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
|
CN112204169A
(zh)
*
|
2018-05-16 |
2021-01-08 |
应用材料公司 |
原子层自对准的基板处理和整合式成套工具
|
KR102596988B1
(ko)
|
2018-05-28 |
2023-10-31 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 방법 및 그에 의해 제조된 장치
|
TWI840362B
(zh)
|
2018-06-04 |
2024-05-01 |
荷蘭商Asm Ip私人控股有限公司 |
水氣降低的晶圓處置腔室
|
US11718913B2
(en)
|
2018-06-04 |
2023-08-08 |
Asm Ip Holding B.V. |
Gas distribution system and reactor system including same
|
US11286562B2
(en)
|
2018-06-08 |
2022-03-29 |
Asm Ip Holding B.V. |
Gas-phase chemical reactor and method of using same
|
KR102568797B1
(ko)
|
2018-06-21 |
2023-08-21 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 시스템
|
US10797133B2
(en)
|
2018-06-21 |
2020-10-06 |
Asm Ip Holding B.V. |
Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
|
TW202409324A
(zh)
|
2018-06-27 |
2024-03-01 |
荷蘭商Asm Ip私人控股有限公司 |
用於形成含金屬材料之循環沉積製程
|
WO2020003000A1
(en)
|
2018-06-27 |
2020-01-02 |
Asm Ip Holding B.V. |
Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
|
KR102686758B1
(ko)
|
2018-06-29 |
2024-07-18 |
에이에스엠 아이피 홀딩 비.브이. |
박막 증착 방법 및 반도체 장치의 제조 방법
|
US10612136B2
(en)
|
2018-06-29 |
2020-04-07 |
ASM IP Holding, B.V. |
Temperature-controlled flange and reactor system including same
|
US10755922B2
(en)
|
2018-07-03 |
2020-08-25 |
Asm Ip Holding B.V. |
Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
|
US10388513B1
(en)
|
2018-07-03 |
2019-08-20 |
Asm Ip Holding B.V. |
Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
|
US10767789B2
(en)
|
2018-07-16 |
2020-09-08 |
Asm Ip Holding B.V. |
Diaphragm valves, valve components, and methods for forming valve components
|
US10483099B1
(en)
|
2018-07-26 |
2019-11-19 |
Asm Ip Holding B.V. |
Method for forming thermally stable organosilicon polymer film
|
US11053591B2
(en)
|
2018-08-06 |
2021-07-06 |
Asm Ip Holding B.V. |
Multi-port gas injection system and reactor system including same
|
US10883175B2
(en)
|
2018-08-09 |
2021-01-05 |
Asm Ip Holding B.V. |
Vertical furnace for processing substrates and a liner for use therein
|
US10829852B2
(en)
|
2018-08-16 |
2020-11-10 |
Asm Ip Holding B.V. |
Gas distribution device for a wafer processing apparatus
|
US11430674B2
(en)
|
2018-08-22 |
2022-08-30 |
Asm Ip Holding B.V. |
Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
|
US11024523B2
(en)
|
2018-09-11 |
2021-06-01 |
Asm Ip Holding B.V. |
Substrate processing apparatus and method
|
KR102707956B1
(ko)
|
2018-09-11 |
2024-09-19 |
에이에스엠 아이피 홀딩 비.브이. |
박막 증착 방법
|
US11049751B2
(en)
|
2018-09-14 |
2021-06-29 |
Asm Ip Holding B.V. |
Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
|
TWI844567B
(zh)
|
2018-10-01 |
2024-06-11 |
荷蘭商Asm Ip私人控股有限公司 |
基材保持裝置、含有此裝置之系統及其使用之方法
|
US11232963B2
(en)
|
2018-10-03 |
2022-01-25 |
Asm Ip Holding B.V. |
Substrate processing apparatus and method
|
KR102592699B1
(ko)
|
2018-10-08 |
2023-10-23 |
에이에스엠 아이피 홀딩 비.브이. |
기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
|
US10847365B2
(en)
|
2018-10-11 |
2020-11-24 |
Asm Ip Holding B.V. |
Method of forming conformal silicon carbide film by cyclic CVD
|
US10811256B2
(en)
|
2018-10-16 |
2020-10-20 |
Asm Ip Holding B.V. |
Method for etching a carbon-containing feature
|
KR102605121B1
(ko)
|
2018-10-19 |
2023-11-23 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치 및 기판 처리 방법
|
KR102546322B1
(ko)
|
2018-10-19 |
2023-06-21 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치 및 기판 처리 방법
|
USD948463S1
(en)
|
2018-10-24 |
2022-04-12 |
Asm Ip Holding B.V. |
Susceptor for semiconductor substrate supporting apparatus
|
US10381219B1
(en)
|
2018-10-25 |
2019-08-13 |
Asm Ip Holding B.V. |
Methods for forming a silicon nitride film
|
US11087997B2
(en)
|
2018-10-31 |
2021-08-10 |
Asm Ip Holding B.V. |
Substrate processing apparatus for processing substrates
|
KR20200051105A
(ko)
|
2018-11-02 |
2020-05-13 |
에이에스엠 아이피 홀딩 비.브이. |
기판 지지 유닛 및 이를 포함하는 기판 처리 장치
|
US11572620B2
(en)
|
2018-11-06 |
2023-02-07 |
Asm Ip Holding B.V. |
Methods for selectively depositing an amorphous silicon film on a substrate
|
US11031242B2
(en)
|
2018-11-07 |
2021-06-08 |
Asm Ip Holding B.V. |
Methods for depositing a boron doped silicon germanium film
|
US10847366B2
(en)
|
2018-11-16 |
2020-11-24 |
Asm Ip Holding B.V. |
Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
|
US10818758B2
(en)
|
2018-11-16 |
2020-10-27 |
Asm Ip Holding B.V. |
Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
|
US10559458B1
(en)
|
2018-11-26 |
2020-02-11 |
Asm Ip Holding B.V. |
Method of forming oxynitride film
|
US10883174B2
(en)
*
|
2018-11-27 |
2021-01-05 |
Applied Materials, Inc. |
Gas diffuser mounting plate for reduced particle generation
|
US12040199B2
(en)
|
2018-11-28 |
2024-07-16 |
Asm Ip Holding B.V. |
Substrate processing apparatus for processing substrates
|
US11217444B2
(en)
|
2018-11-30 |
2022-01-04 |
Asm Ip Holding B.V. |
Method for forming an ultraviolet radiation responsive metal oxide-containing film
|
KR102636428B1
(ko)
|
2018-12-04 |
2024-02-13 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치를 세정하는 방법
|
US11158513B2
(en)
|
2018-12-13 |
2021-10-26 |
Asm Ip Holding B.V. |
Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
|
JP7504584B2
(ja)
|
2018-12-14 |
2024-06-24 |
エーエスエム・アイピー・ホールディング・ベー・フェー |
窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
|
TWI819180B
(zh)
|
2019-01-17 |
2023-10-21 |
荷蘭商Asm 智慧財產控股公司 |
藉由循環沈積製程於基板上形成含過渡金屬膜之方法
|
USD920936S1
(en)
|
2019-01-17 |
2021-06-01 |
Asm Ip Holding B.V. |
Higher temperature vented susceptor
|
USD914620S1
(en)
|
2019-01-17 |
2021-03-30 |
Asm Ip Holding B.V. |
Vented susceptor
|
CN111446185A
(zh)
|
2019-01-17 |
2020-07-24 |
Asm Ip 控股有限公司 |
通风基座
|
KR20200091543A
(ko)
|
2019-01-22 |
2020-07-31 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
CN111524788B
(zh)
|
2019-02-01 |
2023-11-24 |
Asm Ip私人控股有限公司 |
氧化硅的拓扑选择性膜形成的方法
|
JP2020136678A
(ja)
|
2019-02-20 |
2020-08-31 |
エーエスエム・アイピー・ホールディング・ベー・フェー |
基材表面内に形成された凹部を充填するための方法および装置
|
KR20200102357A
(ko)
|
2019-02-20 |
2020-08-31 |
에이에스엠 아이피 홀딩 비.브이. |
3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
|
TWI845607B
(zh)
|
2019-02-20 |
2024-06-21 |
荷蘭商Asm Ip私人控股有限公司 |
用來填充形成於基材表面內之凹部的循環沉積方法及設備
|
KR102626263B1
(ko)
|
2019-02-20 |
2024-01-16 |
에이에스엠 아이피 홀딩 비.브이. |
처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
|
TWI842826B
(zh)
|
2019-02-22 |
2024-05-21 |
荷蘭商Asm Ip私人控股有限公司 |
基材處理設備及處理基材之方法
|
KR20200108242A
(ko)
|
2019-03-08 |
2020-09-17 |
에이에스엠 아이피 홀딩 비.브이. |
실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
|
US11742198B2
(en)
|
2019-03-08 |
2023-08-29 |
Asm Ip Holding B.V. |
Structure including SiOCN layer and method of forming same
|
KR20200108243A
(ko)
|
2019-03-08 |
2020-09-17 |
에이에스엠 아이피 홀딩 비.브이. |
SiOC 층을 포함한 구조체 및 이의 형성 방법
|
KR20200116033A
(ko)
|
2019-03-28 |
2020-10-08 |
에이에스엠 아이피 홀딩 비.브이. |
도어 개방기 및 이를 구비한 기판 처리 장치
|
KR20200116855A
(ko)
|
2019-04-01 |
2020-10-13 |
에이에스엠 아이피 홀딩 비.브이. |
반도체 소자를 제조하는 방법
|
KR20200123380A
(ko)
|
2019-04-19 |
2020-10-29 |
에이에스엠 아이피 홀딩 비.브이. |
층 형성 방법 및 장치
|
KR20200125453A
(ko)
|
2019-04-24 |
2020-11-04 |
에이에스엠 아이피 홀딩 비.브이. |
기상 반응기 시스템 및 이를 사용하는 방법
|
KR20200130121A
(ko)
|
2019-05-07 |
2020-11-18 |
에이에스엠 아이피 홀딩 비.브이. |
딥 튜브가 있는 화학물질 공급원 용기
|
KR20200130118A
(ko)
|
2019-05-07 |
2020-11-18 |
에이에스엠 아이피 홀딩 비.브이. |
비정질 탄소 중합체 막을 개질하는 방법
|
KR20200130652A
(ko)
|
2019-05-10 |
2020-11-19 |
에이에스엠 아이피 홀딩 비.브이. |
표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
|
JP2020188254A
(ja)
|
2019-05-16 |
2020-11-19 |
エーエスエム アイピー ホールディング ビー.ブイ. |
ウェハボートハンドリング装置、縦型バッチ炉および方法
|
JP2020188255A
(ja)
|
2019-05-16 |
2020-11-19 |
エーエスエム アイピー ホールディング ビー.ブイ. |
ウェハボートハンドリング装置、縦型バッチ炉および方法
|
USD947913S1
(en)
|
2019-05-17 |
2022-04-05 |
Asm Ip Holding B.V. |
Susceptor shaft
|
USD975665S1
(en)
|
2019-05-17 |
2023-01-17 |
Asm Ip Holding B.V. |
Susceptor shaft
|
TWI845682B
(zh)
|
2019-05-22 |
2024-06-21 |
荷蘭商Asm Ip私人控股有限公司 |
工件基座主體
|
USD935572S1
(en)
|
2019-05-24 |
2021-11-09 |
Asm Ip Holding B.V. |
Gas channel plate
|
USD922229S1
(en)
|
2019-06-05 |
2021-06-15 |
Asm Ip Holding B.V. |
Device for controlling a temperature of a gas supply unit
|
KR20200141003A
(ko)
|
2019-06-06 |
2020-12-17 |
에이에스엠 아이피 홀딩 비.브이. |
가스 감지기를 포함하는 기상 반응기 시스템
|
KR20200143254A
(ko)
|
2019-06-11 |
2020-12-23 |
에이에스엠 아이피 홀딩 비.브이. |
개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
|
CN110211710B
(zh)
*
|
2019-06-12 |
2022-03-25 |
中国核动力研究设计院 |
一种多辐照目标材料辐照考验堆芯结构及布置和运行方法
|
USD944946S1
(en)
|
2019-06-14 |
2022-03-01 |
Asm Ip Holding B.V. |
Shower plate
|
USD931978S1
(en)
|
2019-06-27 |
2021-09-28 |
Asm Ip Holding B.V. |
Showerhead vacuum transport
|
KR20210005515A
(ko)
|
2019-07-03 |
2021-01-14 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
|
JP7499079B2
(ja)
|
2019-07-09 |
2024-06-13 |
エーエスエム・アイピー・ホールディング・ベー・フェー |
同軸導波管を用いたプラズマ装置、基板処理方法
|
CN112216646A
(zh)
|
2019-07-10 |
2021-01-12 |
Asm Ip私人控股有限公司 |
基板支撑组件及包括其的基板处理装置
|
KR20210010307A
(ko)
|
2019-07-16 |
2021-01-27 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
KR20210010820A
(ko)
|
2019-07-17 |
2021-01-28 |
에이에스엠 아이피 홀딩 비.브이. |
실리콘 게르마늄 구조를 형성하는 방법
|
KR20210010816A
(ko)
|
2019-07-17 |
2021-01-28 |
에이에스엠 아이피 홀딩 비.브이. |
라디칼 보조 점화 플라즈마 시스템 및 방법
|
US11643724B2
(en)
|
2019-07-18 |
2023-05-09 |
Asm Ip Holding B.V. |
Method of forming structures using a neutral beam
|
KR20210010817A
(ko)
|
2019-07-19 |
2021-01-28 |
에이에스엠 아이피 홀딩 비.브이. |
토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
|
TWI839544B
(zh)
|
2019-07-19 |
2024-04-21 |
荷蘭商Asm Ip私人控股有限公司 |
形成形貌受控的非晶碳聚合物膜之方法
|
CN112309843A
(zh)
|
2019-07-29 |
2021-02-02 |
Asm Ip私人控股有限公司 |
实现高掺杂剂掺入的选择性沉积方法
|
CN112309900A
(zh)
|
2019-07-30 |
2021-02-02 |
Asm Ip私人控股有限公司 |
基板处理设备
|
CN112309899A
(zh)
|
2019-07-30 |
2021-02-02 |
Asm Ip私人控股有限公司 |
基板处理设备
|
US11227782B2
(en)
|
2019-07-31 |
2022-01-18 |
Asm Ip Holding B.V. |
Vertical batch furnace assembly
|
US11587814B2
(en)
|
2019-07-31 |
2023-02-21 |
Asm Ip Holding B.V. |
Vertical batch furnace assembly
|
US11587815B2
(en)
|
2019-07-31 |
2023-02-21 |
Asm Ip Holding B.V. |
Vertical batch furnace assembly
|
CN118422165A
(zh)
|
2019-08-05 |
2024-08-02 |
Asm Ip私人控股有限公司 |
用于化学源容器的液位传感器
|
USD965044S1
(en)
|
2019-08-19 |
2022-09-27 |
Asm Ip Holding B.V. |
Susceptor shaft
|
USD965524S1
(en)
|
2019-08-19 |
2022-10-04 |
Asm Ip Holding B.V. |
Susceptor support
|
JP2021031769A
(ja)
|
2019-08-21 |
2021-03-01 |
エーエスエム アイピー ホールディング ビー.ブイ. |
成膜原料混合ガス生成装置及び成膜装置
|
USD940837S1
(en)
|
2019-08-22 |
2022-01-11 |
Asm Ip Holding B.V. |
Electrode
|
USD949319S1
(en)
|
2019-08-22 |
2022-04-19 |
Asm Ip Holding B.V. |
Exhaust duct
|
USD979506S1
(en)
|
2019-08-22 |
2023-02-28 |
Asm Ip Holding B.V. |
Insulator
|
KR20210024423A
(ko)
|
2019-08-22 |
2021-03-05 |
에이에스엠 아이피 홀딩 비.브이. |
홀을 구비한 구조체를 형성하기 위한 방법
|
USD930782S1
(en)
|
2019-08-22 |
2021-09-14 |
Asm Ip Holding B.V. |
Gas distributor
|
US11286558B2
(en)
|
2019-08-23 |
2022-03-29 |
Asm Ip Holding B.V. |
Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
|
KR20210024420A
(ko)
|
2019-08-23 |
2021-03-05 |
에이에스엠 아이피 홀딩 비.브이. |
비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
|
KR20210029090A
(ko)
|
2019-09-04 |
2021-03-15 |
에이에스엠 아이피 홀딩 비.브이. |
희생 캡핑 층을 이용한 선택적 증착 방법
|
KR20210029663A
(ko)
|
2019-09-05 |
2021-03-16 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
US11562901B2
(en)
|
2019-09-25 |
2023-01-24 |
Asm Ip Holding B.V. |
Substrate processing method
|
CN112593212B
(zh)
|
2019-10-02 |
2023-12-22 |
Asm Ip私人控股有限公司 |
通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
|
KR20210042810A
(ko)
|
2019-10-08 |
2021-04-20 |
에이에스엠 아이피 홀딩 비.브이. |
활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
|
TWI846953B
(zh)
|
2019-10-08 |
2024-07-01 |
荷蘭商Asm Ip私人控股有限公司 |
基板處理裝置
|
KR20210043460A
(ko)
|
2019-10-10 |
2021-04-21 |
에이에스엠 아이피 홀딩 비.브이. |
포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
|
US12009241B2
(en)
|
2019-10-14 |
2024-06-11 |
Asm Ip Holding B.V. |
Vertical batch furnace assembly with detector to detect cassette
|
TWI834919B
(zh)
|
2019-10-16 |
2024-03-11 |
荷蘭商Asm Ip私人控股有限公司 |
氧化矽之拓撲選擇性膜形成之方法
|
US11637014B2
(en)
|
2019-10-17 |
2023-04-25 |
Asm Ip Holding B.V. |
Methods for selective deposition of doped semiconductor material
|
KR20210047808A
(ko)
|
2019-10-21 |
2021-04-30 |
에이에스엠 아이피 홀딩 비.브이. |
막을 선택적으로 에칭하기 위한 장치 및 방법
|
US11764101B2
(en)
|
2019-10-24 |
2023-09-19 |
ASM IP Holding, B.V. |
Susceptor for semiconductor substrate processing
|
KR20210050453A
(ko)
|
2019-10-25 |
2021-05-07 |
에이에스엠 아이피 홀딩 비.브이. |
기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
|
US11646205B2
(en)
|
2019-10-29 |
2023-05-09 |
Asm Ip Holding B.V. |
Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
|
KR20210054983A
(ko)
|
2019-11-05 |
2021-05-14 |
에이에스엠 아이피 홀딩 비.브이. |
도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
|
JP7236985B2
(ja)
*
|
2019-11-15 |
2023-03-10 |
東京エレクトロン株式会社 |
温度計測システム、温度計測方法及び基板処理装置
|
US11501968B2
(en)
|
2019-11-15 |
2022-11-15 |
Asm Ip Holding B.V. |
Method for providing a semiconductor device with silicon filled gaps
|
KR20210062561A
(ko)
|
2019-11-20 |
2021-05-31 |
에이에스엠 아이피 홀딩 비.브이. |
기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
|
CN112951697A
(zh)
|
2019-11-26 |
2021-06-11 |
Asm Ip私人控股有限公司 |
基板处理设备
|
KR20210065848A
(ko)
|
2019-11-26 |
2021-06-04 |
에이에스엠 아이피 홀딩 비.브이. |
제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
|
CN112885693A
(zh)
|
2019-11-29 |
2021-06-01 |
Asm Ip私人控股有限公司 |
基板处理设备
|
CN112885692A
(zh)
|
2019-11-29 |
2021-06-01 |
Asm Ip私人控股有限公司 |
基板处理设备
|
JP7527928B2
(ja)
|
2019-12-02 |
2024-08-05 |
エーエスエム・アイピー・ホールディング・ベー・フェー |
基板処理装置、基板処理方法
|
KR20210070898A
(ko)
|
2019-12-04 |
2021-06-15 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
TW202125596A
(zh)
|
2019-12-17 |
2021-07-01 |
荷蘭商Asm Ip私人控股有限公司 |
形成氮化釩層之方法以及包括該氮化釩層之結構
|
US11527403B2
(en)
|
2019-12-19 |
2022-12-13 |
Asm Ip Holding B.V. |
Methods for filling a gap feature on a substrate surface and related semiconductor structures
|
TW202140135A
(zh)
|
2020-01-06 |
2021-11-01 |
荷蘭商Asm Ip私人控股有限公司 |
氣體供應總成以及閥板總成
|
KR20210089079A
(ko)
|
2020-01-06 |
2021-07-15 |
에이에스엠 아이피 홀딩 비.브이. |
채널형 리프트 핀
|
US11993847B2
(en)
|
2020-01-08 |
2024-05-28 |
Asm Ip Holding B.V. |
Injector
|
KR102675856B1
(ko)
|
2020-01-20 |
2024-06-17 |
에이에스엠 아이피 홀딩 비.브이. |
박막 형성 방법 및 박막 표면 개질 방법
|
TW202130846A
(zh)
|
2020-02-03 |
2021-08-16 |
荷蘭商Asm Ip私人控股有限公司 |
形成包括釩或銦層的結構之方法
|
TW202146882A
(zh)
|
2020-02-04 |
2021-12-16 |
荷蘭商Asm Ip私人控股有限公司 |
驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
|
US11776846B2
(en)
|
2020-02-07 |
2023-10-03 |
Asm Ip Holding B.V. |
Methods for depositing gap filling fluids and related systems and devices
|
US11781243B2
(en)
|
2020-02-17 |
2023-10-10 |
Asm Ip Holding B.V. |
Method for depositing low temperature phosphorous-doped silicon
|
TW202203344A
(zh)
|
2020-02-28 |
2022-01-16 |
荷蘭商Asm Ip控股公司 |
專用於零件清潔的系統
|
KR20210116249A
(ko)
|
2020-03-11 |
2021-09-27 |
에이에스엠 아이피 홀딩 비.브이. |
록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
|
KR20210116240A
(ko)
|
2020-03-11 |
2021-09-27 |
에이에스엠 아이피 홀딩 비.브이. |
조절성 접합부를 갖는 기판 핸들링 장치
|
CN113394086A
(zh)
|
2020-03-12 |
2021-09-14 |
Asm Ip私人控股有限公司 |
用于制造具有目标拓扑轮廓的层结构的方法
|
KR20210124042A
(ko)
|
2020-04-02 |
2021-10-14 |
에이에스엠 아이피 홀딩 비.브이. |
박막 형성 방법
|
TW202146689A
(zh)
|
2020-04-03 |
2021-12-16 |
荷蘭商Asm Ip控股公司 |
阻障層形成方法及半導體裝置的製造方法
|
TW202145344A
(zh)
|
2020-04-08 |
2021-12-01 |
荷蘭商Asm Ip私人控股有限公司 |
用於選擇性蝕刻氧化矽膜之設備及方法
|
KR20210128343A
(ko)
|
2020-04-15 |
2021-10-26 |
에이에스엠 아이피 홀딩 비.브이. |
크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
|
US11821078B2
(en)
|
2020-04-15 |
2023-11-21 |
Asm Ip Holding B.V. |
Method for forming precoat film and method for forming silicon-containing film
|
US11996289B2
(en)
|
2020-04-16 |
2024-05-28 |
Asm Ip Holding B.V. |
Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
|
KR20210132600A
(ko)
|
2020-04-24 |
2021-11-04 |
에이에스엠 아이피 홀딩 비.브이. |
바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
|
JP2021172884A
(ja)
|
2020-04-24 |
2021-11-01 |
エーエスエム・アイピー・ホールディング・ベー・フェー |
窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
|
TW202146831A
(zh)
|
2020-04-24 |
2021-12-16 |
荷蘭商Asm Ip私人控股有限公司 |
垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
|
KR20210134226A
(ko)
|
2020-04-29 |
2021-11-09 |
에이에스엠 아이피 홀딩 비.브이. |
고체 소스 전구체 용기
|
KR20210134869A
(ko)
|
2020-05-01 |
2021-11-11 |
에이에스엠 아이피 홀딩 비.브이. |
Foup 핸들러를 이용한 foup의 빠른 교환
|
TW202147543A
(zh)
|
2020-05-04 |
2021-12-16 |
荷蘭商Asm Ip私人控股有限公司 |
半導體處理系統
|
KR20210141379A
(ko)
|
2020-05-13 |
2021-11-23 |
에이에스엠 아이피 홀딩 비.브이. |
반응기 시스템용 레이저 정렬 고정구
|
TW202146699A
(zh)
|
2020-05-15 |
2021-12-16 |
荷蘭商Asm Ip私人控股有限公司 |
形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
|
KR20210143653A
(ko)
|
2020-05-19 |
2021-11-29 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 장치
|
KR20210145078A
(ko)
|
2020-05-21 |
2021-12-01 |
에이에스엠 아이피 홀딩 비.브이. |
다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
|
KR102702526B1
(ko)
|
2020-05-22 |
2024-09-03 |
에이에스엠 아이피 홀딩 비.브이. |
과산화수소를 사용하여 박막을 증착하기 위한 장치
|
TW202201602A
(zh)
|
2020-05-29 |
2022-01-01 |
荷蘭商Asm Ip私人控股有限公司 |
基板處理方法
|
TW202212620A
(zh)
|
2020-06-02 |
2022-04-01 |
荷蘭商Asm Ip私人控股有限公司 |
處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
|
TW202218133A
(zh)
|
2020-06-24 |
2022-05-01 |
荷蘭商Asm Ip私人控股有限公司 |
形成含矽層之方法
|
TW202217953A
(zh)
|
2020-06-30 |
2022-05-01 |
荷蘭商Asm Ip私人控股有限公司 |
基板處理方法
|
KR102707957B1
(ko)
|
2020-07-08 |
2024-09-19 |
에이에스엠 아이피 홀딩 비.브이. |
기판 처리 방법
|
TW202219628A
(zh)
|
2020-07-17 |
2022-05-16 |
荷蘭商Asm Ip私人控股有限公司 |
用於光微影之結構與方法
|
TW202204662A
(zh)
|
2020-07-20 |
2022-02-01 |
荷蘭商Asm Ip私人控股有限公司 |
用於沉積鉬層之方法及系統
|
US12040177B2
(en)
|
2020-08-18 |
2024-07-16 |
Asm Ip Holding B.V. |
Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
|
KR20220027026A
(ko)
|
2020-08-26 |
2022-03-07 |
에이에스엠 아이피 홀딩 비.브이. |
금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
|
TW202229601A
(zh)
|
2020-08-27 |
2022-08-01 |
荷蘭商Asm Ip私人控股有限公司 |
形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
|
USD990534S1
(en)
|
2020-09-11 |
2023-06-27 |
Asm Ip Holding B.V. |
Weighted lift pin
|
USD1012873S1
(en)
|
2020-09-24 |
2024-01-30 |
Asm Ip Holding B.V. |
Electrode for semiconductor processing apparatus
|
US12009224B2
(en)
|
2020-09-29 |
2024-06-11 |
Asm Ip Holding B.V. |
Apparatus and method for etching metal nitrides
|
KR20220045900A
(ko)
|
2020-10-06 |
2022-04-13 |
에이에스엠 아이피 홀딩 비.브이. |
실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
|
CN114293174A
(zh)
|
2020-10-07 |
2022-04-08 |
Asm Ip私人控股有限公司 |
气体供应单元和包括气体供应单元的衬底处理设备
|
TW202229613A
(zh)
|
2020-10-14 |
2022-08-01 |
荷蘭商Asm Ip私人控股有限公司 |
於階梯式結構上沉積材料的方法
|
KR20220053482A
(ko)
|
2020-10-22 |
2022-04-29 |
에이에스엠 아이피 홀딩 비.브이. |
바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
|
TW202223136A
(zh)
|
2020-10-28 |
2022-06-16 |
荷蘭商Asm Ip私人控股有限公司 |
用於在基板上形成層之方法、及半導體處理系統
|
TW202235649A
(zh)
|
2020-11-24 |
2022-09-16 |
荷蘭商Asm Ip私人控股有限公司 |
填充間隙之方法與相關之系統及裝置
|
TW202235675A
(zh)
|
2020-11-30 |
2022-09-16 |
荷蘭商Asm Ip私人控股有限公司 |
注入器、及基板處理設備
|
USD1031676S1
(en)
|
2020-12-04 |
2024-06-18 |
Asm Ip Holding B.V. |
Combined susceptor, support, and lift system
|
US11946137B2
(en)
|
2020-12-16 |
2024-04-02 |
Asm Ip Holding B.V. |
Runout and wobble measurement fixtures
|
TW202231903A
(zh)
|
2020-12-22 |
2022-08-16 |
荷蘭商Asm Ip私人控股有限公司 |
過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
|
USD1023959S1
(en)
|
2021-05-11 |
2024-04-23 |
Asm Ip Holding B.V. |
Electrode for substrate processing apparatus
|
USD981973S1
(en)
|
2021-05-11 |
2023-03-28 |
Asm Ip Holding B.V. |
Reactor wall for substrate processing apparatus
|
USD980814S1
(en)
|
2021-05-11 |
2023-03-14 |
Asm Ip Holding B.V. |
Gas distributor for substrate processing apparatus
|
USD980813S1
(en)
|
2021-05-11 |
2023-03-14 |
Asm Ip Holding B.V. |
Gas flow control plate for substrate processing apparatus
|
USD990441S1
(en)
|
2021-09-07 |
2023-06-27 |
Asm Ip Holding B.V. |
Gas flow control plate
|
WO2023210656A1
(ja)
*
|
2022-04-27 |
2023-11-02 |
ローム株式会社 |
加熱処理装置、及びその動作方法
|