DE69429218T2 - Vorrichtung zur schnellen thermischen behandlung zur herstellung von halbleiterwafers - Google Patents

Vorrichtung zur schnellen thermischen behandlung zur herstellung von halbleiterwafers

Info

Publication number
DE69429218T2
DE69429218T2 DE69429218T DE69429218T DE69429218T2 DE 69429218 T2 DE69429218 T2 DE 69429218T2 DE 69429218 T DE69429218 T DE 69429218T DE 69429218 T DE69429218 T DE 69429218T DE 69429218 T2 DE69429218 T2 DE 69429218T2
Authority
DE
Germany
Prior art keywords
susceptor
wafer
reactor
reaction chamber
reactor according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69429218T
Other languages
English (en)
Other versions
DE69429218D1 (de
Inventor
M Moore
Katsuhito Nishikawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Moore Epitaxial Inc
Original Assignee
Moore Epitaxial Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Moore Epitaxial Inc filed Critical Moore Epitaxial Inc
Publication of DE69429218D1 publication Critical patent/DE69429218D1/de
Application granted granted Critical
Publication of DE69429218T2 publication Critical patent/DE69429218T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

    STAND DER TECHNIK Gebiet der Erfindung
  • Diese Erfindung betrifft im Allgemeinen die Verarbeitung von Halbleiterwafern und insbesondere ein Verfahren und eine Vorrichtung zur gleichzeitigen Schnellwärmeverarbeitung einer Mehrzahl von Halbleiterwafern sowie eines einzelnen großen Halbleiterwafers.
  • Verwandte Technik
  • Die Aufdampfung eines Films auf die Oberfläche eines Halbleiterwafers ist ein üblicher Schritt bei der Halbleiterverarbeitung. Typischerweise werden ausgewählte chemische Gase in einer Aufdampfkammer, die einen Halbleiterwafer enthält, gemischt. Herkömmlicherweise wird Wärme angewendet, um die chemische Reaktion der Gase in der Kammer zu beschleunigen und die Oberfläche des Wafers, auf dem der Film aufgedampft wird, zu erwärmen.
  • Bei Aufdampfungsprozessen ist es erwünscht, den Waferdurchsatz (d. h. die Anzahl der verarbeiteten Wafer pro Zeiteinheit) zu maximieren, während Filmschichten aufgetragen werden, die eine gleichmäßige Dicke und einen gleichmäßigen spezifischen Widerstand aufweisen. Um eine gleichmäßige Dicke und einen gleichmäßigen spezifischen Widerstand zu erhalten, ist es wichtig, den Wafer auf einer gleichmäßigen Temperatur zu halten.
  • Es wurden eine Reihe verschiedener Aufdampfungsreaktoren entwickelt. Im Allgemeinen weist jeder Aufdampfungsreaktor eine Reaktionskammer, ein Waferhandhabungssystem, eine Wärmequellen- und Temperatursteuerung sowie ein Gaszuführungssystem (Einlass, Auslass, Strömungssteuerung) auf.
  • Fig. 1A ist eine vereinfachte Querschnittsansicht eines Typs eines Aufdampfungsreaktors 100 des Stands der Technik, der als Horizontalofen bekannt ist, bei dem ein Suszeptor 101 in einem horizontalen Rohr 102 (herkömmlicherweise mit einem rechtwinkligen Querschnitt) positioniert ist, wobei das Innere desselben die Reaktionskammer darstellt.
  • Halbleiterwafer 103a, 103b und 103c werden auf der Oberfläche 101a des Suszeptors 101 angebracht. Eine Wärmequelle 104 erwärmt die Wafer, und es werden Reaktantgase 105 durch das Rohr 102 an den Wafern vorbei geleitet. Der Suszeptor 101 ist, wie in Fig. 1A gezeigt, oftmals geneigt, so dass die Oberfläche 101a der Strömung des Reaktantgases 105 zugewandt ist und das Problem der Reaktanterschöpfung im Bereich der Wafer, die sich nahe des Strömungsendes der Reaktantgase befinden, 105 minimiert wird.
  • Fig. 1B ist eine vereinfachte Orthogonalansicht eines anderen Typs eines Reaktors 110 des Stands der Technik, der als Trommelreaktor bekannt ist, bei dem ein Suszeptor 111 im Inneren eines Glockengefbßes 112, das die Reaktionskammer abgrenzt, aufgehängt ist. Halbleiterwafer, wie z. B. der Wafer 113, werden im Wesentlichen vertikal an den Seiten, wie z. B. der Seite 111a des Suszeptors 111, angebracht. Die Wärmequelle 114 erwärmt die Wafer, und die Reaktantgase werden durch den Gaseinlass 115 in den oberen Teil des Glockengefäßes 112 eingeleitet. Die Gase strömen über die Oberfläche der Wafer den Suszeptor 111 hinab und werden durch einen Gasauslass (nicht gezeigt) am Boden des Glockengefäßes 112 aus der Reaktionskammer abgelassen.
  • Fig. 1C ist eine vereinfachte Querschnittsansicht eines anderen Typs eines Reaktors 120 des Stands der Technik, der als Flachreaktor bekannt ist, bei dem ein vertikal befestigter Suszeptor 121 vom Boden des Glockengefäßes 122, das die Reaktionskammer abgrenzt, getragen wird. Halbleiterwafer, wie z. B. der Wafer 123, werden horizontal auf der Oberfläche 121a des Suszeptors 121 angebracht. Die Wafer werden mittels einer HF-Wärmequelle (nicht gezeigt) erwärmt, und es werden Reaktantgase durch die Suszeptorauflage 125 oberhalb der Wafer in die Reaktionskammer eingeleitet. Die Gase strömen über die Wafer hinab und werden durch einen Gasauslass (nicht gezeigt) am Boden des Glockengefäßes 122 abgelassen.
  • Aufdampfungsreaktoren können nach ihren Betriebseigenschaften klassifiziert werden. Beispielsweise kann ein Reaktor entweder ein Kaltwand- oder ein Warmwandreaktor sein. Kaltwandreaktoren werden normalerweise bevorzugt, da sich keine unerwünschten Ablagerungen an den Kammerwänden bilden.
  • Ein Reaktor kann auch durch die Zeit charakterisiert werden, die benötigt wird, um den Wafer zu erwärmen und abzukühlen. Herkömmliche Reaktoren benötigen 40 bis 90 Minuten für einen vollständigen Verarbeitungszyklus eines Waferstapels. Im Gegensatz dazu benötigen Schnellwärmeverarbeitungsreaktoren (RTP-Reaktoren) nur 2 bis 15 Minuten für die Verarbeitung eines Wafers. Daher sind Schnellwärmereaktoren durch die Tatsache gekennzeichnet, dass die Zeit des Verarbeitungsvorgangs bedeutend kürzer ist als die Zeit des Verarbeitungsvorgangs eines herkömmlichen Reaktors.
  • Herkömmliche Reaktoren wurden für die Verarbeitung einer Mehrzahl von Wafern oder eines einzelnen Wafers in einem Stapel verwendet, während Schnellwärmeverarbeitungsreaktoren für die Verarbeitung von Einzelwaferstapeln verwendet wurden. Schnellwärmeverarbeitungsreaktoren wurden nicht für die Verarbeitung von Stapeln mit mehreren Wafern verwendet, da es die schnellen Temperaturänderungen in den Schnellwärmeverarbeitungsreaktoren schwierig machen, einen gleichmäßigen Temperaturbereich in der Reaktionskammer zu erzielen. Der Bereich der Reaktionskammer, der eine gleichmäßige Temperatur aufweist, beschränkt den Betrieb auf einen einzelnen Wafer, typischerweise mit einem Durchmesser von 8 Zoll (200 mm) oder weniger.
  • Während Schnellwärmeverarbeitungsreaktoren für die Verarbeitung eines einzelnen Wafers pro Durchlauf - im Gegensatz zur Verarbeitung von mehreren Wafern in herkömmlichen Reaktoren - verwendet wurden, war die Einzelwaferstapelkapazität des Schnellwärmeverarbeitungsreaktors nur deshalb akzeptabel, weil diese Reaktoren gleichmäßigere spezifische Widerstände und Dicken erreichen, als es mit herkömmlichen Reaktoren möglich ist. Bei herkömmlichen Reaktoren sind Variationen der Dicke und des spezifischen Widerstands von 3-10% erreichbar. Mit Schnellwärmeverarbeitungsreaktoren sind Variationen der Dicke von 1-2% und Variationen des spezifischen Widerstands von 1-5 erreichbar.
  • Ein Reaktor kann weiterhin nach der Orientierung der Wafer in der Reaktionskammer charakterisiert werden. Ein vertikaler Reaktor ist ein Reaktor, bei dem die Oberfläche, auf der die Gase abgeschieden werden, im Wesentlichen vertikal ist. Ein horizontaler Reaktor ist ein Reaktor, bei dem die Oberfläche, auf der die Gase abgeschieden werden, im Wesentlichen horizontal ist.
  • Ein Reaktor kann ebenfalls nach dem Typ der Wärmequelle, die für die Erwärmung der Wafer verwendet wird, charakterisiert werden. Die Verwendung von Strahlungserwärmung für die Halbleiterverarbeitung ist dem Stand der Technik bekannt und geht zurück auf das Ende der sechziger Jahre.
  • Für die Halbleiterverarbeitung wurde eine Mehrzahl von Systemen entwickelt, bei denen entweder eine Strahlungsenergiewärmequelle oder eine HF-Energiewärmequelle und ein Suszeptor verwendet wird. Jedoch bringen beide Vorrichtungen ein oder mehrere Probleme mit sich.
  • Sheets, U.S.-Patent Nr. 4,649,261 mit dem Titel "Apparatus for Heating Semiconductor Wafers in Order To Achieve Annealing, Silicide Formation, Reflow of Glass, Passivation Layers, etc. ", verwendete zwei Strahlungswärmequellen - eine Wärmequelle, die ungedämpfte Wellen verwendet, und eine gepulste Wärmequelle - um einen stationären Wafer um 200ºC bis 500ºC pro Sekunde zu erwärmen. Shimizu, U.S.-Patent Nr. 4,533,820 mit dem Titel "Radiant Heating Apparatus", zeigt eine Reaktionskammer, die von einer Mehrzahl planarer Lichtquellen umgeben ist, die einen Halbleiterwafer erwärmen, der von einem Sockel getragen wird. Shimizu berichtete, dass innerhalb von drei Minuten, nachdem die Leuchten eingeschaltet wurden, auf dem Halbleiterwafer ein gleichmäßiger Oxidfilm gebildet wurde.
  • Andere Konfigurationen, die duale Strahlungswärmequellen für die Erwärmung eines Halbleiterwafers verwenden, sind beispielsweise in U.S.-Patent Nr. 4,680,451 mit dem Titel "Apparatus Using High Intensity CW Lamps for Improved Heat Treating of Semiconductor Wafer", erteilt an Gat et al. am 14. Juli 1987, und in U.S.-Patent Nr. 4,550,245, mit dem Titel "Light-Radiant Furnace for Heating Semiconductor Wafers", erteilt an Arai et al. am 29. Oktober 1985, gezeigt. Gat et al. berichteten eine Erwärmung eines Vier-Zoll-Wafers auf 700ºC in drei Sekunden, Beibehalten der Temperatur für zehn Sekunden und daraufhin Hinunterbringen der Temperatur in drei Sekunden. Arai et al. berichteten das Anlegen von 1600 Watt an jede der Lampen in der Strahlungswärmequelle, um einen Siliziumwafer mit einer Dicke von 450 um und einer Fläche von 4 Quadratzoll innerhalb von 10 Sekunden ab dem Zeitpunkt des Anlegens des Stroms an die Lampen auf eine Temperatur von 1200ºC zu erwärmen.
  • Bei einer weiteren Vorrichtung zur Erwärmung eines Halbleiterwafers von Robinson et al., U.S.-Patent Nr. 4,789,771, wird ein Wafer in einer Reaktionskammer über einem Suszeptor gehalten. Infrarotwärmelampen erstrecken sich direkt durch die Reaktionskammer. Diese Gestaltung weist mehrere Nachteile auf. Die Strahlungswärmelampen sind den Gasen in der Reaktionskammer ausgesetzt, wodurch sich Ablagerungen auf den Lampen bilden können. Zusätzlich besteht der einzige Kühlungsmechanismus für die Lampen und die Innenflächen der Reflektoren in der Gasströmung durch die Kammer; folglich wird die Lebensdauer der Lampen wahrscheinlich nachteilig beeinflusst. Weiterhin weisen die Lampenreflektoren sowie die Quarzschichten um die Strahlungsenergiekolben eine erhöhte Temperatur auf, so dass sich mit der Zeit Ablagerungen auf den Oberflächen des Kolbens und des Reflektors bilden, wodurch wiederum die Gleichmäßigkeit der Schichten, die auf dem Suszeptor gebildet werden, beeinträchtigt wird. Schließlich sind besondere Mechanismen erforderlich, um die Oberfläche des Suszeptors gleichmäßig zu erwärmen, da der Rotationsmechanismus des Suszeptors, der typischerweise für Strahlungsenergie undurchlässig ist, eine direkte Erwärmung der gesamten unteren Fläche des Suszeptors verhindert.
  • Aus EP 476 307 A ist ein Reaktor bekannt, der eine Reaktionskammer, einen drehbaren Suszeptor und eine Wärmeverarbeitungsstrahlungswärmequelle, die außerhalb der Kammer angebracht ist, aufweist. Jedoch kann dieser Reaktor nur ein Einzelsubstrat effektiv verarbeiten.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Gemäß einem Gesichtspunkt der vorliegenden Erfindung wird ein Schnellwärmeverarbeitungsreaktor 200, 220, 240 bereitgestellt, der umfasst: eine Schnellwärmeverarbeitungs-Reaktionskammer 209, einen ersten drehbaren Schnellwärmeverarbeitungssuszeptor 241 mit einer ersten Fläche, auf der ein Einzelsubstrat 250 angebracht wird, und einer zweiten Fläche, sowie einen zweiten drehbaren Schnellwärmeverarbeitungssuszeptor 201 mit einer ersten Fläche, auf der eine Mehrzahl von Substraten 210 angebracht wird, und einer zweiten Fläche, wobei nur einer der ersten und der zweiten drehbaren Schnellwärmeverarbeitungssuszeptoren 201, 241 in der Schnellwärmeverarbeitungs-Reaktionskammer 209 angebracht sind, und der Suszeptor 201, 241 darauf basierend ausgewählt wird, ob ein Einzelsubstrat 250 oder eine Mehrzahl von Substraten 210 verarbeitet werden soll, und weiterhin der eine der ersten und der zweiten drehbaren Schnellwärmeverarbeitungssuszeptoren 201, 241, die in der Schnellwärmeverarbeitungs-Reaktionskammer 209 angebracht sind, im Folgenden als drehbarer Schnellwärmeverarbeitungssuszeptor bezeichnet wird, wobei der Schnellwärmeverarbeitungsreaktor 200, 220, 240 weiterhin eine Schnellwärmeverarbeitungs-Strahlungsheizquelle 204 umfasst, die außerhalb der Schnellwärmeverarbeitungs-Reaktionskammer 209 angebracht ist, so dass Strahlungswärme von der Schnellwärmeverarbeitungs-Strahlungsheizquelle 204 entweder ein (i) Einzelsubstrat 250 oder eine (ii) Mehrzahl von Substraten 210 auf eine im Wesentlichen gleichmäßige Verarbeitungstemperatur innerhalb einer Zeitdauer direkt erwärmt, die für einen Schnellwärmeverarbeitungsreaktor charakteristisch ist, so dass der Schnellwärmeverarbeitungsreaktor für Einzelsubstratstapel und für eine Mehrzahl von Substratstapeln verwendet werden kann.
  • Gemäß einer Ausführungsform der Erfindung werden 5 Zoll (125 mm) und 6 Zoll (I50 mm) Wafer zu je vier pro Stapel, 8 Zoll (200 mm) Wafer zu je drei pro Stapel und 10 Zoll (250 mm), 12 Zoll (300 mm) und 16 Zoll (400 mm) Wafer einzeln verarbeitet. Jedoch könnten größere Stapelgrößen unter Verwendung eines größeren Reaktors, der die Prinzipien dieser Erfindung verwendet, verarbeitet werden.
  • Insbesondere weist die Halbleiterverarbeitungskonstruktion dieser Erfindung eine Reaktionskammer mit einem drehbaren Suszeptor auf, der in der Reaktionskammer angebracht ist. Der drehbare Suszeptor weist eine erste Oberfläche zur Anbringung (i) eines Einzelwafers oder (ii) einer Mehrzahl von Wafern sowie eine zweite Oberfläche, die der ersten Oberfläche gegenüberliegt, auf. Eine Strahlungswärmequelle ist außerhalb der Reaktionskammer so angebracht, dass die Strahlungswärme von der Wärmequelle den Wafer oder die Wafer, die auf dem drehbaren Suszeptor angebracht sind, direkt erwärmt. Die Strahlungswärmequelle erhöht die Temperatur des Wafers oder der Wafer auf eine im Wesentlichen gleichmäßige Verarbeitungstemperatur, d. h. eine Temperatur, die ausreichend gleichmäßig ist, um akzeptable Verarbeitungsergebnisse zu erzielen, und zwar in einer Zeitdauer, die so bemessen ist, dass die Halbleiterverarbeitungskonstruktion als Schnellwärmeverarbeitungsreaktor charakterisiert werden kann.
  • Bei einer anderen Ausführungsform umfasst die Halbleiterverarbeitungskonstruktion weiterhin eine Heizung, die in der Reaktionskammer in der Nähe der zweiten Oberfläche des drehbaren Suszeptors angebracht ist. Die Heizung kann beispielsweise eine Widerstandsheizung sein. Die Widerstandsheizung wird durch isolierte Stromversorgungsleitungen mit Strom versorgt, die eine Isolierung mit einer Temperaturnennleistung aufweisen, die geringer als die Betriebstemperatur der Reaktionskammer ist. Um die isolierten Stromversorgungsleitungen von der Betriebstemperatur der Reaktionskammer thermisch zu isolieren, werden die Leitungen durch eine ringförmige Welle geführt.
  • Die ringförmige Welle weist eine Wand sowie ein erstes, an der Widerstandsheizung fest befestigtes Ende, ein zweites Ende und einen Kanal auf, der sich in senkrechter Richtung zum ersten und zweiten Ende durch die Wand vom zweiten zum ersten Ende erstreckt. Das zweite Ende der ringförmigen Welle liegt außerhalb der Reaktionskammer. Die isolierte Stromversorgungsleitung verläuft durch den Kanal zur Widerstandsheizung, wodurch die isolierte Stromversorgungsleitung von der Betriebstemperatur der Reaktionskammer thermisch isoliert wird. Bei einer Ausführungsform verbindet eine Spindel, vorzugsweise eine Molybdänspindel, die isolierte Stromversorgungsleitung mit der Widerstandsheizung.
  • Bei einer Ausführungsform dieser Erfindung besteht der drehbare Suszeptor aus Quarz, wobei die erste Oberfläche kugelgestrahlt und die zweite Oberfläche flammenpoliert ist. Der Suszeptor weist für jeden Wafer, den er trägt, eine Tasche auf. Die Tasche weist eine Tiefe auf, die der Dicke des Wafers entspricht oder etwas geringer als diese ist, so dass eine Oberfläche des Wafers parallel zur ersten Oberfläche des Suszeptors oder etwas unterhalb dieser liegt, wenn der Wafer in der Tasche angebracht wird.
  • Wenn ein einzelner Wafer verarbeitet wird, kann das Zentrum der Tasche entweder mit dem Zentrum des drehbaren Suszeptors übereinstimmen oder zu diesem versetzt sein. Ein Versetzen der Tasche erleichtert das Be- und Entladen des Wafers.
  • Zur Verbesserung der gleichmäßigen Temperatur eines Wafers wird ein Waferumgebungsring in der Tasche des Suszeptors angebracht, der mindestens die Kanten des Wafers umgibt. Bei zusätzlichen Ausführungsformen weist der Waferumgebungsring ein mittig gebildetes Loch auf, in dem ein Suszeptoreinsatz und/oder eine Spindel angebracht wird. Eine Aussparung im Wafetumgebungsring und der Suszeptoreinsatz und/oder die Spindel weisen dieselbe Tiefe auf, so dass eine Oberfläche des Wafers parallel zur ersten Oberfläche des Suszeptors oder etwas niedriger als diese angeordnet ist und der Wafer von der äußeren Kantenfläche der Tasche gehalten wird, wenn der Wafer auf dem Waferumgebungsring und dem Suszeptoreinsatz und/oder der Spindel angeordnet wird. Bei zusätzlichen Ausführungsformen können Rillen in einer Oberfläche des Waferumgebungsrings, der benachbart zur einer Oberfläche des Wafers in der Nähe der Kante des Wafers angeordnet ist, gebildet sein. Der Waferumgebungsring kann weiterhin mit einer abgeschrägten Ablage gebildet sein, auf der der Wafer ruht, so dass die Oberfläche des Wafers im Wesentlichen mit der Oberfläche des Waferumgebungsrings in Berührung bleibt, wenn sich der Wafer beim Erwärmen biegt.
  • Bei einer weiteren Ausführungsform wird die Heizung in der Reaktionskammer durch ein Passivwärmeverteilungselement ersetzt, das in der Nähe der zweiten Oberfläche des drehbaren Suszeptors angebracht ist. Das Passivwärmeverteilungselement umfasst Siliziumkarbid, das in einer Quarzstruktur enthalten ist oder auf dieser angeordnet ist. Alternativ kann Graphit verwendet werden.
  • Zum Einblasen von Prozessgasen in den Reaktor dieser Erfindung wird entweder eine Mehrzahl von Gasdüsen, die in der Reaktionskammer angebracht sind, oder ein Zentralgaseinblaskopf verwendet. Die Reaktionskammer wird von einem Gefäß eingegrenzt, das eine wassergekühlte Seitenwand, einen wassergekühlten Boden und eine zwangsluftgekühlte obere Wand aufweist. Die zwangsluftgekühlte obere Wand ist eine kreisrunde, domförmige Quarzwand.
  • Die Strahlungsenergiequelle dieser Erfindung umfasst eine Mehrzahl von Lampengruppen, wobei jede Lampengruppe mindestens eine Lampe umfasst. Die Lampen sind Quarzhalogenlampen mit einem Wolframtarget.
  • Der neuartige Reaktor dieser Erfindung umfasst weiterhin einen Suszeptorpositionierungsmechanismus, der mit der ringförmigen Welle und einem Suszeptorhaltemittel gekoppelt ist, wobei der Suszeptorpositionierungsmechanismus die ringförmige Welle und das Suszeptorhaltemittel in eine erste Richtung bewegt, wodurch der drehbare Suszeptor in die erste Richtung bewegt wird.
  • Bei einer weiteren Ausführungsform dieser Erfindung umfasst ein Reaktor zur Verarbeitung von Halbleiterwafern ein Reaktionskammergefäß, das in einem Tisch angebracht ist, der eine Oberseite aufweist. Eine Schale ist beweglich mit einer Schiene verbunden, die sich in eine erste Richtung erstreckt und die ihrerseits fest an dem Tisch befestigt ist. Ein Kopplungsmittel verbindet die Schale mit der Schiene in beweglicher Weise. Das Kopplungsmittel umfasst eine Mehrzahl von Verbindungselementen, die an der Schale angebracht sind. Die Mehrzahl von Verbindungselementen kann selektiv mit der Schale verbunden und von dieser getrennt werden.
  • Wenn das Kopplungsmittel entlang der Schiene bewegt wird, wird die Schale in eine erste Richtung von einer ersten Position, in der sie die Oberfläche des Tisches berührt, zu einer zweiten Position, die von der Tischoberfläche entfernt ist, bewegt. Wenn sich die Schale in der zweiten Position befindet und nachdem eines der Mehrzahl von Verbindungselementen von der Schale getrennt wurde, ist die Schale in einer zweiten Richtung, die im Wesentlichen senkrecht zur ersten Richtung verläuft, beweglich, wodurch der Zugang zum Reaktionskammergefäß ermöglicht wird und der Zugang nicht von der Schale eingeschränkt wird.
  • Bei einer Ausführungsform weist das Kopplungsmittel einen Bügel auf, der mit der Schiene beweglich verbunden ist. Der Bügel weist einen ersten und zweiten Vorsprung sowie einen dritten und vierten Vorsprung auf. Der erste und zweite Vorsprung weist jeweils ein Loch auf, das darin gebildet ist, und die Mittelpunkte der Löcher des ersten und zweiten Vorsprungs liegen auf derselben Achse. Der dritte und vierte Vorsprung weist ebenfalls jeweils ein Loch auf, das darin gebildet ist, und die Mittelpunkte der Löcher des dritten und vierten Vorsprungs liegen auf derselben Achse. Die Schale weist einen ersten Vorsprung mit einem Loch auf, das sich durch diesen hindurch erstreckt, und einen zweiten Vorsprung mit einem Loch, das sich durch diesen hindurch erstreckt.
  • Ein erster Zapfen erstreckt sich durch das Loch in dem ersten Vorsprung des Bügels, durch das Loch in dem ersten Vorsprung der Schale und durch das Loch in dem zweiten Vorsprung des Bügels und verbindet den Bügel mit der Schale. Ein zweiter Zapfen erstreckt sich durch das Loch in dem dritten Vorsprung des Bügels, durch das Loch in dem zweiten Vorsprung der Schale und durch das Loch in dem vierten Vorsprung des Bügels und verbindet den Bügel mit der Schale. Nach Entfernen des ersten Zapfens kann die Schale in der zweiten Richtung bewegt werden.
  • Wie oben beschrieben, weist der Suszeptor dieser Erfindung eine erste Oberfläche zur Anbringung eines Halbleiterwafers auf sowie eine zweite Oberfläche, die der ersten Oberfläche gegenüberliegt. Bei einer Ausführungsform weist der Suszeptor weiterhin eine Mehrzahl von Öffnungen auf, die sich durch den Suszeptor von der ersten Oberfläche zur zweiten Oberfläche erstrecken. In jeder der Suszeptoröffnungen ist ein Waferauflagezapfen enthalten. Wenn sich die Waferauflagezapfen in einer ersten Position befinden, sind die Waferauflagezapfen in dem Suszeptor enthalten, und in einer zweiten Position halten die Waferauflagezapfen den Halbleiterwafer über der ersten Oberfläche. Eine Mehrzahl von Auflagen - eine für jeden Waferauflagezapfen - ist in dem Reaktor angebracht, so dass die Mehrzahl der Auflagen mit der Mehrzahl von Waferauflagezapfen in Eingriff kommt und die Waferauflagezapfen in der zweiten Position hält, wenn sich der Suszeptor in einer vorherbestimmten Position befindet. Wenn sich der Suszeptor in noch einer weiteren vorherbestimmten Position befindet, befindet sich die Mehrzahl der Waferauflagezapfen in der ersten Position.
  • Gemäß einem anderen Gesichtspunkt der Erfindung wird ein Schnellwärmeverarbeitungsverfahren bereitgestellt, das folgende Schritte umfasst: Laden mindestens eines Substrats 210, 250 auf eine Oberfläche eines Schnellwärmeverarbeitungssuszeptors 201, 241 in einer Reaktionskammer 209 des Schnellwärmeverarbeitungsreaktors gemäß einem der vorhergehenden Ansprüche, Erwärmen des oder jedes Substrats in dem Reaktor auf eine Verarbeitungstemperatur mit einer Rate von 10ºC pro Sekunde, Einblasen von Prozessgasen in die Reaktionskammer 209, so dass sich die Gase auf einer Oberfläche des oder jeden Substrats 210, 250 ablagern, Abkühlen des oder jedes Substrats 210, 250 auf eine Temperatur, bei der das oder jedes Substrat 210, 250 gehandhabt werden kann, und Entladen des oder jedes Substrats aus der Reaktionskammer 209.
  • Die Siliziumablagerungen auf dem Suszeptor und den Quarzteilen in dem Schnellwärmeverarbeitungsreaktor dieser Erfindung werden unter Verwendung eines Verfahrens geätzt, das Folgendes umfasst: Leiten eines Gases, das einen vorherbestimmten prozentualen Anteil von HCl aufweist, durch den Schnellwarmeverarbeitungsreaktor, sowie Reduzieren des Kühlmittelstroms in Richtung einer Wand des Schnellwärmeverarbeitungsreaktors, so dass die Temperatur der Wand höher ist als eine normale Wandbetriebstemperatur für ein Siliziumabscheidungsverfahren.
  • Die Partikelverunreinigung in einer Reaktionskammer eines Schnellwärmeverarbeitungsreaktors mit einem Suszeptor, der in einer Richtung bewegt werden kann, die senkrecht zu einer Oberfläche des Suszeptors verläuft, wird verringert durch: Anbringen des Suszeptors auf einem Auflagemittel, das sich durch eine Wand der Reaktionskammer erstreckt, und Bewegen des Suszeptors in der senkrechten Richtung mittels eines Mechanismus', der an dem Auflagemittel außerhalb der Reaktionskammer befestigt ist, wodurch die Anzahl der Teile innerhalb der Reaktionskammer begrenzt wird.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Fig. 1A ist eine vereinfachte Querschnittsansicht eines Horizontalofenreaktors des Stands der Technik.
  • Fig. 1B ist eine vereinfachte Orthogonalansicht eines Trommelreaktors des Stands der Technik.
  • Fig. 1C ist eine vereinfachte Querschnittsansicht eines Flachreaktors des Stands der Technik.
  • Fig. 2A ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors gemäß einer Ausführungsform der Erfindung zur Verarbeitung einer Mehrzahl von Wafern.
  • Fig. 2B ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors gemäß einer anderen Ausführungsform der Erfindung zur Verarbeitung einer Mehrzahl von Wafern.
  • Fig. 2C ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors gemäß einer anderen Ausführungsform der Erfindung zur Verarbeitung eines großen einzelnen Wafers.
  • Fig. 3A ist eine vereinfachte Querschnittsansicht eines Reaktors gemäß der Erfindung, in dem Wafer mit einer einzelnen Wärmequelle erwärmt werden und in den Prozessgase von der Seite in die Reaktionskammer eingeblasen werden.
  • Fig. 3B ist eine vereinfachte Querschnittsansicht eines Reaktors gemäß der Erfindung, in dem Wafer mit einer dualen Wärmequelle erwärmt werden und in den Prozessgase von der Seite in die Reaktionskammer eingeblasen werden.
  • Fig. 3C ist eine vereinfachte Querschnittsansicht eines Reaktors gemäß der Erfindung, in dem Wafer mit einer einzelnen Wärmequelle erwärmt werden und in den Prozessgase mittig in die Reaktionskammer eingeblasen werden.
  • Fig. 3D ist eine vereinfachte Querschnittsansicht eines Reaktors gemäß der Erfindung, in dem Wafer mit einer dualen Wärmequelle erwärmt werden und in den Prozessgase mittig in die Reaktionskammer eingeblasen werden.
  • Fig. 3E ist eine vereinfachte Querschnittsansicht eines Gefäßes, das eine obere Wand umfasst, die eine gebogene oder "Glocken"-Form aufweist.
  • Fig. 3F und 3G sind eine Seitenansicht und eine Draufsicht eines Suszeptors gemäß einer anderen Ausführungsform der Erfindung, die ein anderes Mittel zur Anbringung eines Wafers auf dem Suszeptor zeigen.
  • Fig. 3H ist eine Querschnittsansicht einer oberen Wand eines Gefäßes zur Verwendung mit dem Reaktor gemäß der Erfindung.
  • Fig. 4A ist eine Querschnittsansicht eines Reaktors gemäß einer anderen Ausführungsform der Erfindung, die entlang des Schnittes 4B-4B aus Fig. 4B genommen ist.
  • Fig. 4B ist eine Querschnittsansicht des Reaktors aus Fig. 4A, die entlang des Schnittes 4A-4A aus Fig. 4A genommen ist.
  • Fig. 4C ist eine vereinfachte Draufsicht des Reaktors aus Fig. 4A.
  • Fig. 5A und 5B sind jeweils detaillierte Ansichten eines Abschnitts aus Fig. 4A und 4B.
  • Fig. 5C ist eine Unteransicht der Schale, die das Glockengefäß des Reaktors aus Fig. 4A bis 4C einschließt, wobei die inneren Abschnitte der Schale gezeigt sind.
  • Fig. 5D ist eine Draufsicht eines Abschnitts des Reaktors aus Fig. 4A bis 4C, die die Reaktionskammer und den umgebenden Tisch zeigt.
  • Fig. 5E und 5F sind detaillierte Ansichten eines Abschnitts aus Fig. 4B, die den Suszeptor jeweils in zurückgezogenem und in angehobenem Zustand zeigen.
  • Fig. 6 ist eine perspektivische Ansicht zweiter Lampengruppen des Reaktors aus Fig. 4A, 4B und 4C.
  • Fig. 7A ist eine Querschnittsansicht einer Widerstandsheizung zur Verwendung mit einem Reaktor gemäß der Erfindung.
  • Fig. 7B ist eine Draufsicht eines Abschnitts der Widerstandsheizung aus Fig. 7A.
  • Fig. 7C ist ein seitlicher Aufriss des Abschnitts, der in Fig. 7B gezeigt ist.
  • Fig. 7D ist eine detaillierte Ansicht eines Teils des Abschnitts, der in Fig. 7B gezeigt ist.
  • Fig. 7E ist eine Querschnittsansicht, die ein Passivwärmeverteilungselement zur Verwendung mit den Ausführungsformen des Reaktors aus Fig. 4A, 4B und 4C zeigt, bei dem eine einzelne Wärmequelle verwendet wird.
  • Fig. 7F ist eine vereinfachte Querschnittsansicht eines Reaktors gemäß einer anderen Ausführungsform der Erfindung, der eine Reflektorplatte umfasst, die am Boden des Reaktorgefäßes angebracht ist.
  • Fig. 8A ist eine Orthogonalansicht eines Abschnitts einer Gaseinblasdüse gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 8B, 8C und 8D sind Querschnittsansichten von Gasdüsenspitzen, die mit der Gaseinblasdüse aus Fig. 8A gemäß alternativer Ausführungsformen der Erfindung verwendet werden.
  • Fig. 8E und 8F sind jeweils eine modifizierte Draufsicht und eine Seitenansicht einer Leitschaufel zur Verwendung mit der Gaseinblasdüse aus Fig. 8A gemäß einer Ausführungsform der Erfindung.
  • Fig. 8G und 8H sind jeweils eine modifizierte Draufsicht und eine Seitenansicht einer Leitschaufel zur Verwendung mit der Gaseinblasdüse aus Fig. 8A gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 9A und 9B sind jeweils eine Draufsicht und eine Querschnittsansicht eines Suszeptorträgers gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 10A und 10B sind eine Draufsicht und eine Seitenansicht eines Suszeptorträgers gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 1ºC ist eine Draufsicht eines Suszeptors zur Verwendung mit dem Suszeptorträger aus Fig. 10A und 10B.
  • Fig. 10D ist eine Querschnittsansicht des Suszeptors aus Fig. 10C, die entlang der Schnittlinie 10C-10C genommen ist.
  • Fig. 11A, 11B und 11C sind ausführliche Querschnittsansichten eines Waferauflagezapfens, der in einen kegelförmigen Abschnitt eines Loches in einem Suszeptor eingesetzt ist, gemäß alternativer Ausführungsformen der Erfindung.
  • Fig. 11D ist eine Draufsicht eines Suszeptors, der drei Taschen zur Aufnahme eines Wafers und einen Waferumgebungsring, der in jeder Tasche angeordnet ist, umfasst.
  • Fig. 11E ist eine vereinfachte Querschnittsansicht eines Waferumgebungsrings, der in Fig. 11D gezeigt ist, gemäß einer Ausführungsform der Erfindung, wobei der Waferumgebungsring mit Hilfe von Waferauflagezapfen über den Suszeptor aus Fig. 11D angehoben ist.
  • Fig. 12A ist eine vereinfachte Draufsicht einer Lampengruppe gemäß einer Ausführungsform der Erfindung.
  • Fig. 12B ist eine vereinfachte Draufsicht einer Lampengruppe gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 13A und 13B sind jeweils eine Seitenansicht einer Induktionsspirale gemäß einer Ausführungsform der Erfindung, die unterhalb eines Suszeptors angeordnet ist, und eine Draufsicht der Induktionsspirale.
  • Fig. 14A und 14B sind jeweils eine Draufsicht und eine Seitenansicht eines Waferumgebungsrings, einer Spindel und eines Wafers, der in einer Tasche eines Suszeptors angebracht ist, gemäß einer Ausführungsform der Erfindung.
  • Fig. 14C ist eine Querschnittsansicht eines Waferumgebungsrings, eines Suszeptoreinsatzes, einer Spindel und eines Wafers, der in einer Tasche eines Suszeptors angebracht ist, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14D ist eine Querschnittsansicht eines Waferumgebungsrings, einer Spindel und eines Wafers, der in einer Tasche eines Suszeptors angebracht ist, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14E ist eine Querschnittsansicht eines Waferumgebungsrings, eines Suszeptoreinsatzes, einer Spindel und eines Wafers, der in einer Tasche eines Suszeptors angebracht ist, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14F ist eine Querschnittsansicht eines Waferumgebungsrings und eines Wafers, der in einer Tasche eines Suszeptors angebracht ist, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14G ist eine Querschnittsansicht eines Suszeptoreinsatzes, eines Waferumgebungsrings und eines Wafers, der in einer Tasche eines Suszeptors angebracht ist, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14H ist eine Querschnittsansicht eines Waferumgebungsrings, einer Spindel, eines Suszeptoreinsatzes und eines Wafers, der in einer Tasche eines Suszeptors angeordnet werden kann, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14I ist eine Querschnittsansicht eines Waferumgebungsrings und eines Wafers, der in einer Tasche eines Suszeptors angeordnet werden kann, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14J ist eine Querschnittsansicht eines Waferumgebungsrings und eines Wafers, der in einer Tasche eines Suszeptors angeordnet werden kann, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14K ist eine Querschnittsansicht eines Waferumgebungsrings, einer Spindel und eines Wafers, der in einer Tasche eines Suszeptors angeordnet werden kann, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14L ist eine Draufsicht des Waferumgebungsrings und der Spindel aus Fig. 14K.
  • Fig. 14M ist eine Draufsicht eines Waferumgebungsrings und einer Spindel, die in einer Tasche eines Suszeptors angeordnet werden kann, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14N ist eine Querschnittsansicht eines Waferumgebungsrings und eines Wafers, der in einer Tasche eines Suszeptors angeordnet werden kann, gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 140 ist eine Querschnittsansicht eines Waferumgebungsrings und eines Wafers aus Fig. 14N, die die Biegung des Wafers zeigt, die auftreten kann, wenn der Wafer während der Verarbeitung erwärmt wird.
  • Fig. 14P ist eine Querschnittsansicht eines Waferumgebungsrings gemäß einer anderen Ausführungsform der Erfindung.
  • Fig. 14Q und 14R sind jeweils eine Draufsicht und ein seitlicher Aufriss eines C-förmigen Abschnitts eines Waferumgebungsrings gemäß einer Ausführungsform der Erfindung.
  • Fig. 145 ist eine Draufsicht eines Waferumgebungsrings gemäß einer anderen Ausführungsform der Erfindung, der einen C-förmigen Abschnitt und einen Paßabschnitt umfasst.
  • Fig. 15A, 15B, 15C, 15D und 15E sind Draufsichten von Suszeptoren zur Verwendung mit dem Reaktor gemäß der Erfindung, die mögliche Arten der Anbringung eines oder mehrerer Wafer auf einem Suszeptor zeigen.
  • Fig. 16A ist eine vereinfachte Ansicht eines Reaktors gemäß der Erfindung, bei dem ein einziger Computer zur Steuerung sowohl der Gasplatte als auch der Reinigungsvorrichtung verwendet wird.
  • Fig. 16B ist ein Blockdiagramm, das Schritte in einem Verfahren veranschaulicht, für das der Reaktor gemäß der Erfindung verwendet werden kann.
  • Fig. 17 ist eine Draufsicht einer Gruppe von Reaktoren gemäß der Erfindung, von denen jeder zur Durchführung eines bestimmten Halbleiterverfahrens verwendet wird und die um eine abgedichtete Kammer herum angeordnet sind, die einen Roboter enthält, der Wafer zwischen einem Kassettenraum und einem Reaktor oder zwischen zwei Reaktoren befördert.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Gemäß dem Prinzip dieser Erfindung verarbeitet ein neuartiger Schnellwärmeverarbeitungsreaktor nicht nur einen einzelnen Halbleiterwafer, sondern auch eine Mehrzahl von Halbleiterwafern. Hierin bezeichnet ein Schnellwärmeverarbeitungsreaktor einen Reaktor, der eine Zeit des Verarbeitungsvorgangs aufweist, die im Vergleich zur Zeit desselben Verarbeitungsvorgangs eines herkömmlichen Reaktors kurz ist. Der Schnellwärmeverarbeitungsreaktor dieser Erfindung kann den Wafer oder die Wafer mit einer Rate zwischen 10ºC/sec und 400ºC/sec erwärmen. Bei einer Ausführungsform erwärmt der Schnellwärmeverarbeitungsreaktor dieser Erfindung den bzw. die Wafer mit einer Rate von 20ºC/sec. Bei einer anderen Ausführungsform erwärmt der Schnellwärmeverarbeitungsreaktor dieser Erfindung den bzw. die Wafer mit einer Rate von 10ºC/sec. Die schnelle Erwärmung des Wafers stellt einen der Hauptgründe für die kürzere Verarbeitungszykluszeit dar, die den Schnellwärmeverarbeitungsreaktor dieser Erfindung charakterisiert. Der Schnellwärmeverarbeitungsreaktor gemäß der Erfindung verarbeitet eine Mehrzahl von Wafern oder einen einzelnen großen Wafer, wie beispielsweise einen Wafer mit einem Durchmesser von 250 mm (10 Zoll), 300 mm (12 Zoll) oder 400 mm (16 Zoll) unter Verwendung einer einzelnen oder einer dualen Wärmequelle. (Im Folgenden werden die Wafergrößen angegeben, ohne ausdrücklich darauf hinzuweisen, dass das angegebene Maß den Durchmesser des Wafers darstellt.)
  • Gemäß einer Ausführungsform der Erfindung werden 125 mm (5 Zoll) und 150 mm (6 Zoll) Wafer zu je drei Stück pro Stapel verarbeitet, und 200 mm (8 Zoll), 250 mm (10 Zoll) und 300 mm (12 Zoll) Wafer werden einzeln verarbeitet. Jedoch könnten größere Stapelgrößen mit Hilfe eines größeren Reaktors verarbeitet werden, bei dem das Prinzip dieser Erfindung angewendet wird. Bei einer anderen Ausführungsform der Erfindung verarbeitet beispielsweise ein Schnellwärmeverarbeitungsreaktor 150 mm (6 Zoll) Wafer in Stapeln zu je vier Wafern, 200 mm (8 Zoll) Wafer in Stapeln zu je drei Wafern, 300 mm (12 Zoll) und 400 mm (16 Zoll) Wafer in Stapeln zu je einem Wafer.
  • Fig. 2A ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors 200 gemäß einer Ausführungsform der Erfindung zur Verarbeitung einer Mehrzahl von Wafern 210. Die Wafer 210 werden auf dem Suszeptor 201 angebracht, der von dem Suszeptorträger 212 getragen wird. Die Suszeptorpositionssteuerung 202 dreht die Wafer 210 während der Verarbeitung und hebt und senkt den Suszeptor 201 in verschiedene Positionen, um die Wafer 210 zu laden und zu verarbeiten. Die Wärmeregelung 203 regelt eine einzelne Wärmequelle 204, die die Wafer 210 während der Verarbeitung auf eine im Wesentlichen gleichmäßige Temperatur erwärmt. Die Gasströmungssteuerung 205 regelt die Strömung der Gase in die Reaktionskammer 209 des Reaktors 200 durch den Einlasskanal 206 und den Gaseinblaskopf 207 und läßt die Gase aus der Reaktionskammer 209 durch den Auslasskanal 208 ab.
  • Fig. 2B ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors 220 gemäß einer anderen Ausführungsform der Erfindung zur Verarbeitung der Mehrzahl von Wafern 210. Wie in Fig. 2A umfasst der Reaktor 220 einen Suszeptor 201, einen Suszeptorträger 212, eine Suszeptorpositionssteuerung 202, eine Wärmeregelung 203, eine Wärmequelle 204, eine Gasströmungssteuerung 205, einen Einlass- und einen Auslasskanal 206 und 208, einen Gaseinblaskopf 207 und eine Reaktionskammer 209. Der Reaktor 220 umfasst weiterhin eine zweite Wärmequelle 224, die ebenfalls von der Wärmeregelung 203 geregelt wird.
  • Fig. 2C ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors 240 gemäß einer anderen Ausführungsform der Erfindung zur Verarbeitung eines einzelnen großen Wafers 250. Der Wafer 250 ist auf dem Suszeptor 241 angebracht. Die restlichen Komponenten des Reaktors 240 entsprechen denen des Reaktors 220. Insbesondere umfasst der Reaktor 240 zwei Wärmequellen 204 und 224. Während Fig. 2A bis 2C einen Schnellwärmeverarbeitungsreaktor mit Zentralgaseinblasung, wie unten beschrieben, zeigen, kann bei jedem dieser Schnellwärmeverarbeitungsreaktoren auch eine Mehrzahl von Düsen zur seitlichen Gaseinblasung verwendet werden.
  • Bei herkömmlichen Reaktoren des Stands der Technik, die für die simultane Verarbeitung einer Mehrzahl von Wafern oder eines einzelnen großen Wafers verwendet werden, sind lange Aufwärm-, Verarbeitungs- und Abkühlvorgänge erforderlich. Beispielsweise beträgt die Gesamtdauer für das Aufwärmen, die Verarbeitung und das Abkühlen für ein Aufdampfungsverfahren, das eine Erwärmung auf 1100ºC erfordert, in einem herkömmlichen Reaktor typischerweise 45-90 Minuten. (In dieser Offenbarung wird ein Aufdampfungsverfahren so definiert, dass es Verfahren umfasst, bei denen auf einem Wafer ein Film wächst.) Bei einem gleichen Verfahren und einer gleichen Temperatur benötigen die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 eine wesentlich kürzere Zeit für die Erwärmung, die Verarbeitung und das Abkühlen, d. h. 2-20 Minuten.
  • Obwohl die Erwärmungs- und Abkühlzeiten durch die thermische Masse des Suszeptors 201 bei den Reaktoren 200, 220 und 240 im Verhältnis zu Reaktoren, bei denen kein Suszeptor verwendet wird, verlängert werden, minimiert der Suszeptor 201 die Temperaturunterschiede zwischen dem Zentrum und dem Rand jedes Wafers der Mehrzahl von Wafern 210 (Fig. 2A und 2B) oder des einzelnen Wafers 250 (Fig. 2C), wodurch die stabile Temperaturgleichmäßigkeit in den Wafern 210 oder dem Wafer 250 im Verhältnis zu Reaktoren des Stands der Technik während der Verarbeitung der Wafer 210 oder des Wafers 250 verbessert wird. Wie weiter unten ausführlicher beschrieben wird, sind die Materialien des Suszeptors 201 weiterhin so ausgewählt, dass nachteilige thermische Auswirkungen im Zusammenhang mit dem Suszeptor 201 minimiert werden. Die Wärmequelle 204 (Fig. 2A und 2C) ist eine Strahlungsenergiewärmequelle. Die Wärmequelle 224 (Fig. 2B und 2C) ist eine Widerstandsheizung. Alternativ können Durchschnittsfachleute die Wärmequelle 224 der Schnellwärmeverarbeitungsreaktoren 220 oder 240 im Hinblick auf diese Offenbarung als HF-Wärmequelle anstelle einer Widerstandsheizung realisieren.
  • In jeder Ausführungsform der Erfindung, die in Fig. 2A bis 2C gezeigt ist, erhöhen die Wärmequelle 204 (Fig. 2A) oder die Wärmequellen 204 und 224 (Fig. 2B und 2C) die Temperatur der Wafer 210 oder des Wafers 250 schnell von der Umgebungstemperatur auf die stabile Verarbeitungstemperatur, so dass die Temperatur in den Wafern 210 oder dem Wafer 250 im Wesentlichen gleichmäßig ist, und sie halten die im Wesentlichen gleichmäßige Temperatur für die Dauer des Verfahrens aufrecht. Nach der Verarbeitung werden die Wafer 210 oder der Wafer 250 mit Hilfe von Wasserstoffgas abgekühlt, woraufhin Stickstoffgas verwendet wird, um Reaktantgase aus der Reaktionskammer 209 zu beseitigen. Eine schnelle Erwärmung ermöglicht eine schnelle Verarbeitung der Wafer 210 oder des Wafers 250. Eine im Wesentlichen gleichmäßige Wafertemperatur ist für eine Anzahl von Halbleiterverarbeitungsverfahren von Bedeutung, wie beispielsweise für die Bildung einer Epitaxieschicht, bei der eine im Wesentlichen gleichmäßige Temperatur für die Erreichung einer akzeptabel gleichmäßigen Dicke und eines akzeptabel gleichmäßigen spezifischen Widerstandes entscheidend ist.
  • Hierin bezeichnet der Ausdruck "im Wesentlichen gleichmäßige Temperatur" eine Temperaturverteilung, die Verfahrensergebnisse mit einer akzeptablen Qualität für ein bestimmtes Verfahren, das ausgeführt wird, liefert. Beispielsweise muss die Temperaturverteilung bei Epitaxieverfahren ausreichend gleichmäßig sein, um Wafer erzeugen zu können, die hinsichtlich Abgleitung, Gleichmäßigkeit der Dicke und Gleichmäßigkeit des spezifischen Widerstands mindestens Industriestandards entsprechen. Wie weiter unten ausführlicher beschrieben wird, ist die Temperaturgleichmäßigkeit bei dem Schnellwärmeverarbeitungsreaktor dieser Erfindung tatsächlich so beschaffen, dass die Verfahrensergebnisse bei Epitaxieverfahren besser ausfallen als die Industriestandards fordern.
  • Ein wichtiger Gesichtspunkt der Erfindung besteht darin, dass die Anzahl der Komponenten in der Reaktionskammer 209 minimiert wurde. Genauer ausgedrückt, sind die einzigen Komponenten, die in der Reaktionskammer 209 enthalten sind, der Suszeptor 201, der Suszeptorträger 212, ggf. die Wärmequelle 224 und der Gaseinblaskopf 207. Somit wurden mögliche Quellen der Partikelverunreinigung in der Reaktionskammer 209 im Vergleich zu herkömmlichen Reaktoren, die typischerweise die gesamte Suszeptorpositionssteuerung 202 oder einen Teil derselben in der Reaktionskammer 209 enthalten, bedeutend reduziert.
  • Die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 können zur Durchführung aller Verfahren verwendet werden, die von Schnellwärmeverarbeitungsreaktoren des Stands der Technik, die nur einzelne Wafer mit einem Maß von 200 mm (8 Zoll) oder weniger verarbeiteten, durchgeführt wurden.
  • Beispielsweise können die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 für Ausheil- oder andere Halbleiterverarbeitungsschritte verwendet werden, bei denen dem Wafer keine zusätzlichen Schichten oder Leiterabschnitte hinzugefügt werden.
  • Beispielsweise wird durch eine Ausheildauer von etwa zwei Sekunden bei einer Temperatur von etwa 1100ºC eine Arsenionendosis von etwa 1016 aktiviert, die bei etwa 80 keV implantiert wird, und Schäden werden durch diese beseitigt. Typischerweise dauern Schnellwärmeausheilungen mit den Reaktoren 200, 220 und 240 einige wenige Sekunden im Bereich von etwa einer Sekunde bis etwa 15 Sekunden, wobei sie Spitzentemperaturen im Bereich von etwa 800ºC bis etwa 1200ºC aufweisen. Der Anteil des aktivierten Dotanden liegt typischerweise im Bereich von etwa 50% bis etwa 90%. Wie Durchschnittsfachleuten bekannt ist, hängen die spezifische Dauer und die spezifische Spitzentemperatur von der Dosis und der Art des Implantats ab.
  • Zusätzlich zum Ausheilen können die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 zum Sintern von Metallkontakten verwendet werden. Zur Erreichung eines guten Metall-Halbleiterkontakts nach der Aufdampfung erwärmt einer der Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 die Metall-Halbleiterkombination auf eine Temperatur, bei der eine Interdiffusion und eine Legierung an der Metall-Halbleiterschnittstelle auftreten. Beispielsweise liegt die Temperatur bei Aluminium entweder in einer inerten oder in einer Wasserstoffatmosphäre typischerweise im Bereich von etwa 450ºC bis etwa 500ºC bei einer Dauer im Bereich von etwa 5 Sekunden bis etwa 20 Sekunden.
  • Alternativ können die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 für die Bildung von ohmschen Silizid-Silizium-Kontakten verwendet werden. Bei dieser Anwendung wird eine dünne Metallschicht, normalerweise ein feuerfestes Metall, auf den Wafer aufgedampft, und der Wafer wird in einem der Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 erwärmt, damit ein Metallsilizid gebildet wird, bei dem das Metall mit dem Silizium in Berührung kommt. Das Metall, das nicht reagiert hat, wird daraufhin weggeätzt. Die Bildung des Metallsilizids ist weder gegenüber der Temperatur noch gegenüber den Zeitintervallen, die bei dem Heizschritt angewendet werden, besonders empfindlich. Bai feuerfesten Metallsiliziden liegt die Temperatur im Bereich von etwa 800ºC bis etwa 1100ºC, und die Zeitdauer variiert von etwa 1 bis etwa 80 Sekunden.
  • Bei den herkömmlichen Verfahren wurden die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 lediglich zur Erwärmung eines Halbleiterwafers mit einer bestimmten Schicht oder mit bestimmten Schichten verwendet. Die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 können ebenfalls zur Bildung einer bestimmten Schicht auf einer Auflage verwendet werden, wie beispielsweise einer Oxidschicht auf einem Siliziumwafer, verschiedener isolierender, dielektrischer und Passivierungsschichten auf einem Siliziumwafer oder einem Verbundhalbleiterwafer oder einer Epitaxieschicht auf einem Siliziumwafer. Die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 können für die Verbundhalbleiterverarbeitung in einem Temperaturbereich von 300-600ºC verwendet werden. Die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 können ebenfalls für die Produktion von flachen Bildschirmen verwendet werden.
  • Zusätzlich können Durchschnittsfachleute die Schnellwärmeverarbeitungsreaktoren 200, 220 und 240 im Hinblick auf diese Offenbarung für chemische Bedampfungsverfahren, wie beispielsweise Wachstum von Polysilizium, verwenden.
  • Beispielsweise kann eine Siliziumepitaxieschicht auf der Oberfläche eines Siliziumwafers gebildet werden. Die Wafer werden auf eine Temperatur zwischen 900ºC und 1200ºC erwärmt und einem Gasgemisch ausgesetzt, das aus einem Wasserstoffträgergas besteht, das mit einem oder mehreren Reaktivgasen, wie beispielsweise Siliziumausgangsgas oder Dotandausgangsgas, gemischt ist. Eine Siliziumschicht wird auf das Siliziumsubstrat aufgedampft, die dieselbe Kristallorientierung wie das Substrat aufweist.
  • Weiter unten werden einzelne Gesichtspunkte der Erfindung ausführlicher beschrieben. Diese Beschreibungen beziehen sich manchmal auf die Verarbeitung von Einzelwaferstapeln und manchmal auf die Verarbeitung von Mehrfachwaferstapeln. Jedoch wird als selbstverständlich vorausgesetzt, dass bei den untenstehenden Beschreibungen ein oder mehrere Wafer in einem einzigen Stapel verarbeitet werden können. Im Allgemeinen umfasst die Erfindung die gleichzeitige Verarbeitung eines oder mehrerer Wafer. Während weiter unten auf bestimmte Stapelgrößen für Wafer einer bestimmten Größe Bezug genommen wird, wird weiterhin als selbstverständlich vorausgesetzt, dass die Erfindung Stapelgrößen umfasst, die von den angegebenen verschieden sind. Im Allgemeinen ist die Erfindung weder auf die Verarbeitung einer bestimmten Stapelgröße für eine gegebene Wafergröße, noch auf die Verarbeitung von Wafern bestimmter Größen beschränkt.
  • Fig. 3A, 3B, 3C und 3D sind vereinfachte Querschnittsansichten von Schnellwärmeverarbeitungsreaktoren 300, 320, 340 und 360 gemäß der Erfindung. Fig. 3A, 3B, 3C und 3D veranschaulichen die grundlegenden Elemente eines Reaktors gemäß der Erfindung sowie verschiedene mögliche Kombinationen von Wärmequelle und Gaseinblassystem für einen Reaktor gemäß der Erfindung.
  • Fig. 3A ist eine vereinfachte Querschnittsansicht des Schnellwärmeverarbeitungsreaktors 300 für die Verarbeitung eines oder mehrerer Halbleiterwafer, wie beispielsweise der Wafer 311, 312. Der Reaktor 300 umfasst ein Gefäß 301, einen Suszeptor 302, einen Suszeptorträger 304, eine Strahlungswärmequelle 310 (einschließlich einer Mehrzahl von Lampen 305 und Reflektoren 306), ein Passivwärmeverteilungselement 307, Seiteneinblasgasdüsen 314a, 314b und Abgasrohre 309a, 309b.
  • Das Gefäß 301 wird durch den Boden 301a, die Seitenwand 301b und die domförmige obere Wand 301c gebildet. Die Wände 301a, 301b und 301c grenzen die Reaktionskammer 303 ein. Der Boden 301a und die Seitenwand 301b bestehen aus rostfreiem Stahl und sind mit Quarz ausgekleidet. Bei einer Ausführungsform ist der Boden 301a kreisförmig, und die Seitenwand 301b ist zylindrisch. Die domförmige obere Wand 301c besteht aus Quarz, so dass von der oberen Wand 301c verhältnismäßig wenig von der Strahlungsenergie der Strahlungswärmequelle 310 absorbiert wird. Daher passiert die Strahlungsenergie die obere Wand 301c ungehindert und erwärmt die Wafer 311, 312 direkt.
  • Die Form der oberen Wand 301c wurde als Kompromiss aus verschiedenen Faktoren gewählt. Wenn die obere Wand 301c flacher ausgeführt wird, nimmt die Möglichkeit zu, dass die obere Wand zusammenbricht, wenn in der Reaktionskammer 303 ein Vakuum herrscht, d. h. beispielsweise weniger als 100 Torr während eines BICMOS-Verfahrens bei reduziertem Druck. Wenn andererseits die Krümmung der oberen Wand 301c zunimmt, wird die Strahlungswärmequelle 310 weiter von den Wafern 311, 312 wegbewegt, wodurch wiederum ein größere Energieausgabe von der Strahlungswärmequelle 310 erforderlich ist, um eine gegebene Temperatur der Wafer 311, 312 aufrechtzuerhalten. Wenn die Krümmung der oberen Wand 301c zunimmt, nimmt darüber hinaus der Abstand der oberen Wand 301c von den Wafern 311, 312 ebenfalls zu, so dass mindestens ein gewisser Anteil der Prozessgase einen längeren Weg nach unten zurücklegen muss und daher eine längere Zeit zur Erwärmung benötigt, bis die Gase auf den Wafern 311, 312 abgeschieden sind. Die Krümmung der oberen Wand 301c kann ebenfalls die Strömung der Prozessgase beeinflussen, wenn sie sich auf den Wafern 311, 312 niederlassen.
  • Die exakte Form der oberen Wand 301c wird durch Testen einer Anzahl verschiedener Formen und durch Wählen der Form empirisch bestimmt, die eine gewünschte Kombination der oben genannten Charakteristiken einbringt, die von der Form der oberen Wand 301c beeinflusst werden. In Fig. 3A, 3B, 3C und 3D weist die obere Wand 301c eine Querschnittsform auf, die annähernd einen kreisförmigen Bogen bildet. Fig. 3E ist eine vereinfachte Querschnittsansicht eines Gefäßes 381 gemäß einer anderen Ausführungsform der Erfindung, die eine obere Wand 381a umfasst, die eine gebogene Form bzw. eine "Glocken"-Form aufweist.
  • Fig. 3H ist eine Querschnittsansicht einer oberen Wand 371 eines Gefäßes zur Verwendung mit dem Reaktor gemäß der Erfindung. Die Querschnittsform der oberen Wand 371 ist annähernd kreisförmig.
  • Die obere Wand 371 weist ein charakteristisches Höhe-zu-Durchmesser-Verhältnis auf (H/D-Verhältnis), das als vertikaler Abstand von der Bodenfläche 371b des Flansches 371a zum obersten Punkt auf der Innenseite der oberen Wand 371 definiert ist. Vorzugsweise ist die obere Wand 371 so geformt, dass das H/D-Verhältnis zwischen 3 und 5 einschließlich liegt. Die Reaktoren gemäß der Erfindung können in jeder beliebigen Größe mit dem bevorzugten H/D-Verhältnis hergestellt werden.
  • Gemäß alternativen Ausführungsformen der Erfindung wird die exakte Form der oberen Wand 371 durch einen Krümmungsradius definiert, der entlang einer Linie gemessen wird, die senkrecht zur oberen Wand 301c und durch den Mittelpunkt der oberen Wand 301c verläuft, oder sie wird durch eine Mischung aus zwei oder mehreren Krümmungsradien definiert. Zur Veranschaulichung kann die obere Wand 371 einen Durchmesser 372 zwischen 17,0 Zoll (432 mm) und 22,5 Zoll (572 mm) und einen Krümmungsradius zwischen etwa 12 Zoll (305 mm) und 21 Zoll (533 mm) aufweisen. Wenn eine Mischung aus Krümmungsradien verwendet wird, beträgt der Krümmungsradius im Mittelpunkt der oberen Wand 371 veranschaulichend zwischen etwa 10 Zoll (254 mm) und 12 Zoll (305 mm), und der Krümmungsradius an der Kante der oberen Wand 371 beträgt veranschaulichend zwischen etwa 13,5 Zoll (343 mm) und 16 Zoll (406 mm). Die Höhe 375 variiert, um sich an den oben beschriebenen Krümmungsradius und die oben beschriebenen H/D-Verhältnisse anzupassen.
  • Die Gasleitplatte 373 ist auf einer Quarzeinlage angebracht, die in der Reaktionskammer des Gefäßes benachbart zur Seitenwand angeordnet ist. Die Gasleitplatte 373 besteht beispielsweise aus Quarz, so dass die Gasleitplatte die Temperaturverteilung im Suszeptor 376 und in dem Wafer oder den Wafern so wenig wie möglich beeinträchtigt. Die Gasleitplatte 373 zwingt Gase, die andererseits zwischen dem Suszeptor 376 und der Seitenwand des Reaktors strömen könnten, über die Oberfläche des Suszeptors 376 (und somit über den Wafer oder die Wafer) zu strömen. Die Gasleitplatte 373 verursacht weiterhin eine Geschwindigkeitszunahme des Gases in der Nähe der Kante des Suszeptors 376, da zwischen dem Suszeptor 376 und der Gasleitplatte 373 eine kleinere Öffnung 374 besteht, als es der Fall wäre, wenn zwischen dem Suszeptor 376 und der Reaktorseitenwand keine Gasleitplatte 373 vorhanden wäre. Die Länge der Gasleitplatte 373 kann beliebig variiert werden, um eine kleinere Öffnung zwischen der Gasleitplatte 373 und dem Suszeptor 376 zu erzeugen und eine Gasströmung zu erzielen, die die gewünschte Verfahrensgleichmäßigkeit einbringt.
  • Die Wafer 311, 312 (Fig. 3A) sind auf einem kreisförmigen Suszeptor 302 in der Reaktionskammer 303 angebracht. Bei einer Ausführungsform ist jeder der Wafer 311, 312 in einer Aussparung, die manchmal als "Tasche" bezeichnet wird, in dem Suszeptor 302 angebracht. Die Tiefe der Aussparungen ist bei einer Ausführungsform so gewählt, dass die oberen Flächen 311a, 312a der Wafer etwa auf gleicher Höhe wie die Oberfläche 302a des Suszeptors 302 liegen. Der Durchmesser der Aussparungen ist so gewählt, dass ein Suszeptorring (weiter unten ausführlicher beschrieben), der manchmal als "Waferumgebungsring" bezeichnet wird, in jede Aussparung um den entsprechenden Wafer 311 oder 312 passen kann.
  • Fig. 3F und 3G sind jeweils eine Seitenansicht und eine Draufsicht des Suszeptors 382 gemäß einer anderen Ausführungsform der Erfindung, die ein anderes Mittel zur Anbringung des Wafers 391 auf dem Suszeptor 382 veranschaulichen. Anstatt in einer Aussparung angebracht zu werden, wie es bei den Wafern 311, 312 in Fig. 3A, 3B, 3C und 3D der Fall ist, wird der Wafer 391 auf der Oberfläche 382e des Suszeptors 382 angebracht und seitlich durch Stützen 382a, 382b, 382c, 382d in Position gehalten. Die Stützen 382a, 382b, 382c, 382d bestehen beispielsweise aus Quarz. Alternativ können die Stützen 382a, 382b, 382c, 382d aus Graphit bestehen, wenn der Suszeptor 382 aus Graphit besteht, wie es bei einigen Ausführungsformen der Erfindung der Fall ist, die weiter unten beschrieben werden. Die Stützen 382a, 382b, 382c, 382d können einstückig mit dem Rest des Suszeptors 382 oder separat gebildet sein und beispielsweise mittels Presspassung in entsprechenden Löchern, die in dem Suszeptor 382 gebildet sind, an dem Suszeptor 382 befestigt werden. Obwohl vier Stützen 382a, 382b, 382c, 382d gezeigt sind, wird als selbstverständlich vorausgesetzt, dass eine andere Anzahl von Stützen verwendet werden könnte, wie beispielsweise drei.
  • Der Suszeptorträger 304 (Fig. 3A) hält den Suszeptor 302 in ausgewählten Positionen in der Reaktionskammer 303. Der Suszeptorträger 304 wird angehoben oder abgesenkt, um die Position der Wafer 311, 312 in der Reaktionskammer 303 zu verändern. Bei einer Ausführungsform sind der Suszeptor 302 und das Passivwärmeverteilungselement 307 während des Erwärmens der Wafer 311, 312 in der Reaktionskammer 303 in einer ersten Position in einer ersten Richtung (der Betriebsposition) angeordnet, und sie werden in einer zweiten Position in der ersten Richtung, die von der ersten Position (der Ladeposition) verschieden ist, angeordnet, wenn die Wafer 31 l, 312 aus der Reaktionskammer 303 entnommen oder in diese hineingegeben werden.
  • Der Suszeptor 302, der Suszeptorträger 304 und das Passivwärmeverteilungselement 307 sind in Fig. 3A, 3B, 3C und 3D in der Ladeposition gezeigt. Die Wafer 311, 312 werden mit Hilfe eines Roboters oder eines Waferhandhabungssystems (nicht gezeigt) durch eine Tür 313, die in der Seitenwand 301b gebildet ist, in der Reaktionskammer 303 angeordnet und aus dieser herausgenommen. Die Ladeposition ist so gewählt, dass der Roboter oder das Waferhandhabungssystem leicht in die Reaktionskammer 303 reichen und die Wafer 311, 312 auf dem Suszeptor 302 anordnen kann.
  • Wenn sich der Suszeptor 302 in der Ladeposition befindet, erstrecken sich, wie weiter unten ausführlicher erläutert wird, bei einer Ausführungsform Zapfen (nicht gezeigt) durch entsprechende Löcher, die durch den Suszeptor 302 gebildet sind, um die Wafer 311, 312 über die Oberfläche 302a anzuheben. Bei einer weiteren Ausführungsform erstrecken sich Zapfen durch Löcher in dem Suszeptor 302, um einen Waferumgebungsring anzuheben, auf dem die Wafer 311, 312 ruhen. Es kann jede beliebe Anzahl von Zapfen verwendet werden, um die Wafer 311, 312 oder den Waferumgebungsring anzuheben, jedoch sind mindestens drei wünschenswert, damit ein Wafer, wie beispielsweise der Wafer 311, oder der Waferumgebungsring stabil gehalten wird. Bei einer Ausführungsform werden 3-8 Zapfen verwendet. Da es im Allgemeinen ebenfalls wünschenswert ist, die Anzahl der verwendeten Zapfen zu minimieren, um die mechanische Komplexität zu minimieren, werden in einer weiteren Ausführungsform der Erfindung, bei der die Zapfen die Wafer 311, 312 anheben, drei Zapfen, die um 120º voneinander entfernt in radialer Richtung um den Suszeptor 302 angeordnet sind, verwendet, um 125 mm (5 Zoll), 150 mm (6 Zoll) und 200 mm (8 Zoll) Wafer zu stützen, und es werden vier Zapfen verwendet, die um 90º voneinander beabstandet sind, um 250 mm (10 Zoll) und 300 mm (12 Zoll) Wafer zu stützen.
  • Da die Wafer 311, 312 über die Oberfläche 302a angehoben werden, berührt der Roboter bzw. das Waferhandhabungssystem die Oberfläche 302a des Suszeptors 302 nicht, wenn er bzw. es die Wafer 311, 312 entnimmt, so dass Kratzer oder andere Beschädigungen an der Oberfläche 302a vermieden werden. Da die Wafer 311, 312 zusätzlich über die Oberfläche 302a angehoben sind, kann der Roboter oder der Waferhandhabungsarm die Wafer 311, 312 entnehmen, indem jeweils die Waferflächen 311b und 312b gestützt werden, wodurch eine Beschädigung der Oberflächen 311a, 312a vermieden wird, auf denen sich bei vielen Verfahren, für die die Reaktoren 300, 320, 340 und 360 verwendet werden, ein Film abgelagert hat.
  • In Fig. 3A sind die Wafer 311, 312 auf dem Suszeptor 302 angeordnet, woraufhin der Suszeptor 302, der Suszeptorträger 304 und das Passivwärmeverteilungselement 307 auf die Betriebsposition angehoben werden, so dass die Wafer 311, 312 sich näher an der Strahlungswärmequelle 310 befinden, wodurch die Strahlungswärmequelle 310 die Wafer 311, 312 während des Betriebs des Reaktors 300 schneller und effizienter erwärmen kann.
  • Während des Betriebs des Reaktors 300 wird der Suszeptor 302, wie weiter unten ausführlicher beschrieben wird, gedreht. Durch die Drehung des Suszeptors 302 in eine zweite Richtung, die rechtwinklig zur ersten Richtung verläuft, wird die Position der Wafer 311, 312 in der Reaktionskammer 303 verändert, während die Wafer 311, 312 verarbeitet werden. Folglich wird das Verfahren, das in der Reaktionskammer 303 stattfindet, gleichmäßiger ausgeführt, da die Wirkung jeglicher Ungleichmäßigkeiten, die bei Betrieb des Reaktors 300 vorhanden sind, durch die variierende Position der Wafer 311, 312 im Wesentlichen aufgehoben wird.
  • Bei den Ausführungsformen der Erfindung, die in Fig. 3A und 3C gezeigt sind, werden die Wafer 311, 312 mit Hilfe einer einzelnen Wärmequelle, und zwar der Strahlungswärmequelle 310, erwärmt. Die Strahlungswärmequelle 310 umfasst eine Mehrzahl von Lampen 305, die Strahlungsenergie mit einer Wellenlänge im Bereich von weniger als 1 um bis etwa 500 um, vorzugsweise im Bereich von weniger als 1 um bis etwa 10 um und insbesondere vorzugsweise von weniger als 1 um ausstrahlen. Eine Mehrzahl von Reflektoren 306 reflektiert die Strahlungsenergie in Richtung der Wafer 311, 312, wobei ein Reflektor 306 benachbart zu jeder Lampe 305 angeordnet ist.
  • Die Strahlungswärmequelle 3 10 ist sowohl wassergekühlt als auch zwangsluftgekühlt, wie weiter unten ausführlicher beschrieben wird. Durch die Kombination aus Wasserkühlung und Zwangsluftkühlung werden die Lampen 305 und die Reflektoren 306 im erforderlichen Betriebstemperaturbereich gehalten.
  • Bei den Reaktoren 300 (Fig. 3A) und 340 (Fig. 3C) ist das Passivwärmeverteilungselement 307 unterhalb des Suszeptors 302 in der Nähe des Suszeptors 302 angebracht. Hier ist mit "in der Nähe" so nahe wie möglich gemeint, in Anbetracht der Beschränkungen, die durch den physikalischen Raumbedarf für die Verbindung des Suszeptors 302 mit dem Suszeptorträger 304 auferlegt werden. Durch das Passivwärmeverteilungselement 307 werden Wärmeverluste vom Suszeptor 302 minimiert, wodurch wiederum Wärmeverluste von den Wafern 311, 312 minimiert werden. Das Passivwärmeverteilungselement 307 ist vorzugsweise aus einem Material hergestellt, das entweder Wärme absorbiert und zum Suszeptor 302 zurückstrahlt, oder das Wärme in Richtung des Suszeptors 302 reflektiert.
  • Fig. 3B ist eine vereinfachte Querschnittsansicht des Schnellwärmeverarbeitungsreaktors 320 zur Verarbeitung eines oder mehrerer Halbleiterwafer, wie beispielsweise der Wafer 311, 312 aus Fig. 3A. Der Reaktor 320 entspricht dem Reaktor 300, und gleiche Bauteile sind in Fig. 3A und 3B mit den gleichen Bezugsnummern nummeriert. Bei dem Reaktor 320 wird zur Erwärmung der Wafer 311, 312 eine duale Wärmequelle verwendet.
  • Die zweite Wärmequelle, die Widerstandsheizung 327, erzeugt Wärme, wenn ein Strom durch die Widerstandselemente fließt, die in der Widerstandsheizung 327 gebildet sind. Der Suszeptor 302 besteht typischerweise aus einem Material, das wenig Wärme absorbiert, wie beispielsweise Quarz, so dass der größte Anteil der Wärme von der Widerstandsheizung 327 auf die Wafer 311, 312 übertragen wird. Die Strahlungswärmequelle 310 und die Widerstandsheizung 327 halten in den Wafern 311, 312 eine im Wesentlichen gleichmäßige Temperatur aufrecht.
  • Da der Oberflächenbereich am Rand der Wafer 311, 312 größer ist als in der Mitte der Wafer 311, 312, verlieren die Wafer 311, 312 die Wärme leichter am Rand als in der Mitte. Folglich bestehen bei Abwesenheit einer gewissen Kompensation am Rand der Wafer 311, 312 größere Temperaturgradienten als in der Mitte der Wafer 311, 312. Diese Temperaturgradienten sind unerwünscht und erbringen bei einer Reihe von Halbleiterverarbeitungsprozessen geringere Erträge. Beispielsweise können hohe Temperaturgradienten in dem Wafer bei der Bildung einer Epitaxieschicht eine Abgleitung verursachen und die Gleichmäßigkeit der Dicke und des spezifischen Widerstandes nachteilig beeinflussen. Zur Minimierung dieser radialen Temperaturgradienten ist ein thermisch isolierender Suszeptorring (nicht gezeigt) in den Reaktoren 300, 320, 340 und 360 um jeden Wafer 311, 312 angeordnet. Die Suszeptorringe gemäß verschiedener Ausführungsformen der Erfindung werden weiter unten unter Bezugnahme auf Fig. 14A bis 145 beschrieben.
  • Zu Beginn eines Verfahrens werden der Strom im Reaktor 300 (Fig. 3A) oder 320 (Fig. 3B), der an die Lampen 305 angelegt wird, und der Strom im Reaktor 320, der an die Widerstandsheizung 327 angelegt wird, erhöht, so dass die Temperatur der Wafer 311, 312 schnell ansteigt. Die Temperatur der Wafer 311, 312 wird mit Hilfe eines Pyrometers oder mit Hilfe von Thermopaaren (nicht gezeigt) erfasst, wie weiter unten ausführlicher beschrieben wird. Wenn die Temperatur der Wafer 311, 312 die gewünschte Temperatur erreicht, wird der Strom, der an bestimmte Lampengruppen 305 angelegt wird, verändert, so dass in jedem der Wafer 31 l, 312 eine im Wesentlichen gleichmäßige Temperatur erzielt wird.
  • Nachdem die Wafer 311, 312 auf die gewünschte Temperatur erwärmt wurden, werden durch Seiteneinblasgasdüsen 314a, 314b Gase in die Reaktionskammer 303 eingeblasen, falls es für das Verfahren, für das der Reaktor 300 oder 320 verwendet wird, nötig ist. Die Gase strömen an den Wafern 311, 312, dem Suszeptor 302 und im Reaktor 320 an der Widerstandsheizung 327 vorbei und werden durch die Abgasrohre 309a, 309b, die im Boden 301a gebildet sind, aus der Reaktionskammer 303 hinausgeleitet.
  • Fig. 3C ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors 340 zur Verarbeitung eines oder mehrerer Halbleiterwafer, wie beispielsweise der Wafer 311, 312 aus Fig. 3A und 3B. Wie im Fall des Reaktors 300 (Fig. 3A) wird im Reaktor 340 nur die Wärmequelle 310 zur Erwärmung der Wafer 311, 312 verwendet. Jedoch strömen die Gase im Fall des Reaktors 340 durch das Gaseinlassrohr 354a und werden durch den Gaseinblaskopf 354b in die Reaktionskammer 303 eingeleitet, anstatt, wie im Fall des Reaktors 300, durch Seiteneinblasgasdüsen 314a, 314b eingeleitet zu werden. Wie im Fall der Reaktoren 300 und 320 (Fig. 3B) werden die Gase im Reaktor 340 durch die Abgasrohre 309a, 309b, die im Boden 301a gebildet sind, aus der Reaktionskammer 303 hinausgeleitet.
  • Fig. 3D ist eine vereinfachte Querschnittsansicht eines Schnellwärmeverarbeitungsreaktors 360 zur Verarbeitung eines oder mehrerer Halbleiterwafer, wie beispielsweise der Wafer 311, 312 aus Fig. 3A, 3B und 3C. Im Reaktor 360 werden die Wafer 311, 312 mit Hilfe einer dualen Wärmequelle erwärmt, die eine Strahlungswärmequelle 310 und eine Widerstandsheizung 327 umfasst. Die Gase werden durch das Gaseinlassrohr 354a und den Gaseinblaskopf 354b in die Reaktionskammer 303 eingeblasen und durch die Abgasrohre 309a, 309b hinausgeleitet.
  • Bei einem typischen Halbleiterverarbeitungsverfahren, das die Verwendung von Gasen zur Aufdampfung einer Materialschicht auf einen Halbleiterwafer umfasst, ist es notwendig, mehrere Gasspülungsvorgänge durchzuführen. Wenn die Tür 313 geöffnet wird, um die Wafer 311, 312 in die Reaktionskammer 303 hineinzugeben oder die Wafer 311, 312 aus der Reaktionskammer 303 herauszunehmen, tritt die Luft, die den Reaktor 300, 320, 340 und 360 umgibt, in die Reaktionskammer 303 ein. Insbesondere muss der Sauerstoff, der in der Luft vorhanden ist, aus der Reaktionskammer 303 entfernt werden, bevor die Wafer 311, 312 verarbeitet werden. Abhängig vom Reaktor wird Stickstoff durch die Seiteneinblasgasdüsen 314a, 314b oder durch den Gaseinblaskopf 354b in die Reaktionskammer 303 eingeleitet, um den Sauerstoff aus der Reaktionskammer 303 zu beseitigen.
  • Daraufhin wird Wasserstoff in die Reaktionskammer 303 eingeleitet, um den Stickstoff zu beseitigen. Nach dem Einleiten des Wasserstoffs werden die Wafer 311, 312 erwärmt und die Prozessgase, wie oben beschrieben, in die Reaktionskammer 303 eingeleitet. Nach Abschluss des Verfahrens wird Wasserstoff zur Beseitigung verbleibender Prozessgase aus der Reaktionskammer 303 verwendet. Daraufhin wird Stickstoff zur Beseitigung des Wasserstoffs verwendet. Die Wasserstoff und Stickstoffspülgase unterstützen die Abkühlung der Wafer 311, 312. Nach der Stickstoffspülung wird, wenn die Wafer 311, 312 kalt sind, die Tür 313 geöffnet, und die Wafer 311, 312 werden entnommen.
  • Bei Verfahren, die eine Aufdampfung von Silizium bei Verarbeitungstemperaturen von etwa 900-1200º C umfassen, werden die Wafer 311, 312 nicht auf Umgebungstemperatur, sondern auf eine Temperatur im Bereich von 300ºC bis 600ºC abgekühlt, abhängig von der Temperatur, auf die die Wafer 311, 312 während des Verfahrens erwärmt wurden. Typischerweise beträgt die Abkühldauer 2-5 Minuten. Bei einer Ausführungsform werden die Wafer 311, 312 von etwa 1130ºC auf etwa 450ºC abgekühlt, wobei die Abkühldauer etwa 2,5-3,5 Minuten beträgt. Bei Verfahren, die bei niedrigeren Temperaturen durchgeführt werden (d. h. unterhalb von etwa 900ºC), werden die Wafer 311, 312 auf etwa 50% der Verarbeitungstemperatur abgekühlt, bevor sie der Reaktionskammer 303 entnommen werden.
  • Da die Wafer 311, 312 nicht ganz auf Umgebungstemperatur abgekühlt werden, wird während des Abkühlens Zeit gespart, wodurch der Waferdurchsatz erhöht wird. Weiterhin kann die Reaktionskammer 303 während eines oder mehrerer der oben beschriebenen Spülungsvorgänge, die vor dem Verfahren stattfinden, erwärmt werden, um die Zeitdauer zu verkürzen, die für die Verarbeitung aufeinanderfolgender Waferstapel erforderlich ist.
  • Die Wafer 311, 312 müssen vor der Entnahme aus der Reaktionskammer 303 mindestens auf eine Temperatur abgekühlt werden, bei der ein Härten der Wafer 311, 312 gewährleistet ist. Weiterhin muss die Reaktionskammer 303 auf eine Temperatur abgekühlt werden, bei der die Möglichkeit einer Explosion minimiert wird, die stattfinden kann, wenn die Tür 313 zur Entnahme der Wafer 311, 312 geöffnet wird und etwas Wasserstoff in der Reaktionskammer 303 zurückgeblieben ist.
  • Wenn die Reaktoren 300, 320, 340 und 360 für Halbleiterverarbeitungverfahren verwendet werden, bei denen Gase zur Aufdampfung einer Materialschicht, wie beispielsweise einer Epitaxieschicht, auf einen Wafer verwendet werden, kann mit der Zeit ebenfalls eine gewisse Abscheidung an Teilen des Reaktors 300, 320, 340 und 360, wie beispielsweise den Wänden 301a, 301b, 301c, auftreten. Wie weiter unten ausführlicher erläutert, sind der Boden 301a und die Seitenwand 301b wassergekühlt. Die obere Wand 301c wird mit Hilfe derselben Luftkühlung gekühlt, die für die Kühlung der Lampen 305 und der Reflektoren 306 verwendet wird. Durch die Kühlung der Wände 301a, 301b, 301c wird die Minimierung des unerwünschten Wachstums von Abscheidungen auf den Wänden 301a, 301b, 301c während der Aufdampfungsverfahren unterstützt.
  • Bei herkömmlichen Reaktoren kann "Hochätzen" angewendet werden, um abgeschiedenes Silizium von einigen Teilen des Reaktors - wie beispielsweise den Teilen, die aus Graphit hergestellt sind - zu entfernen, indem ein Gasgemisch, das aus mindestens 90% HCl besteht, 3-20 Minuten lang in die Reaktionskammer 303 eingeblasen wird, wenn die Temperatur der Reaktionskammer 303 1150-1200ºC beträgt. Jedoch werden durch das Hochätzen keine Siliziumabscheidungen von Quarz entfernt. Daher müssen die Quarzkomponenten in herkömmlichen Reaktoren zur Reinigung aus dem Reaktor entfernt werden. Gemäß dem Prinzip dieser Erfindung kann das abgeschiedene Silizium von den Quarzkomponenten auch während des Hochätzens entfernt werden, indem die Temperatur der Wände 301a, 301b auf eine Temperatur oberhalb der normalen Betriebstemperatur erhöht wird. Dies kann erfolgen, indem eine Erhöhung der Temperatur des Fluids, das während des Hochätzens für die Kühlung der Wände 301% 301b verwendet wird, zugelassen wird, so dass die Wände 301a, 301b weniger wirksam gekühlt werden.
  • Bei den Reaktoren 300, 320, 340 und 360 sind nur die Wafer 31 l, 312, der Suszeptor 302, ein Teil des Suszeptorträgers 304, die Widerstandsheizung 327 (bei den Reaktoren 320 und 360) oder das Passivwärmeverteilungselement 307 (bei den Reaktoren 300 und 340), die Seiteneinblasgasdüsen 314a, 314b (bei den Reaktoren 300 und 340) oder der Gaseinblaskopf 354b und ein Teil des Gaseinlassrohrs 354a (bei den Reaktoren 320 und 360) in der Reaktionskammer 303 angeordnet. Reaktoren des Stands der Technik umfassen typischerweise eine größere Anzahl mechanischer Komponenten in der Reaktionskammer als die Anzahl, die in den Reaktoren 300, 320, 340 und 360 zu finden ist. Verunreinigungen, die von diesen mechanischen Komponenten ausgehen (einschließlich des Materials, das während vorheriger Aufdampfungen abgeschieden wurde), sind eine bedeutende Quelle für Partikelverunreinigung bei Reaktoren des Stands der Technik. Da die Reaktoren 300, 320, 340 und 360 weniger mechanische Komponenten aufweisen als die herkömmlichen Reaktoren, stellt die Partikelverunreinigung bei den Reaktoren 300, 320, 340 und 360 ein geringeres Problem dar, da weniger mechanische Komponenten vorhanden sind, die ihre eigenen Verunreinigungen abgeben können, und da es weniger mechanische Komponenten gibt, auf denen sich bei wiederholter Verwendung des Reaktors 300, 320, 340 und 360 unerwünschte Abscheidungen bilden können. Daher stellt das Vorhandensein einer verhältnismäßig geringen Anzahl mechanischer Komponenten in der Reaktionskammer 303 der Reaktoren 300, 320, 340 und 360 eine wesentliche Verbesserung gegenüber herkömmlichen Reaktoren dar.
  • Da zusätzlich eine im Wesentlichen gleichmäßige Temperatur in einem größeren Bereich der Reaktionskammer 303 aufrechterhalten wird, als es bei herkömmlichen Schnellwärmeverarbeitungsreaktoren der Fall ist, ist es mit Hilfe der neuartigen Kombination aus Wärmequelle(n) und Suszeptor möglich, entweder eine Mehrzahl von Wafern (z. B. 125 mm, 150 mm, 200 mm) oder einen einzelnen großen Wafer (z. B. 250 mm, 300 mm, 400 mm) anstelle eines einzelnen kleinen Wafers (z. B. 100 mm, 125 mm, 150 mm) zu verarbeiten, wie es bei herkömmlichen Schnellwärmeverarbeitungsreaktoren der Fall ist. Durch die Fähigkeit, eine Mehrzahl von Wafern zu verarbeiten, wird der Waferdurchsatz bedeutend erhöht, wobei es den Schnellwärmeverarbeitungsreaktoren durch die Fähigkeit, größere Wafer zu verarbeiten, ermöglicht wird, mit dem Industrietrend hin zu größeren Wafern Schritt zu halten.
  • Die Reaktoren 300, 320, 340 und 360 ermöglichen weiterhin eine gute Wiederholbarkeit der Temperatur von Stapel zu Stapel für eine große Anzahl von Stapeln. Folglich ist es im Verhältnis zu herkömmlichen Schnellwärmeverarbeitungsreaktoren nicht erforderlich, die Reaktoren 300, 320, 340 und 360 neu zu kalibrieren, um die gewünschte Temperaturgleichmäßigkeit aufrecht zu erhalten. Da niedrigere Ausfallzeiten für die Kalibrierung vorliegen, wird der Waferdurchsatz im Verhältnis zu herkömmlichen Schnellwärmeverarbeitungsreaktoren erhöht, da ein größerer Prozentanteil der Zeit für die Verarbeitung von Wafern aufgewendet werden kann. Weiterhin können im Vergleich zu herkömmlichen Reaktoren Mehrfachwaferstapel verarbeitet werden, die eine verbesserte Gleichmäßigkeit hinsichtlich der Dicke und des spezifischen Widerstandes aufweisen. Herkömmliche Reaktoren erbringen typischerweise verarbeitete Wafer mit Variationen in der Dicke und des spezifischen Widerstandes von 3-10%. Mit dem Schnellwärmeverarbeitungsreaktor gemäß der Erfindung sind Dickenvariationen von 1-2% und Variationen des spezifischen Widerstandes von 1-5% erreichbar.
  • Fig. 4A und 4B sind ausführlichere Querschnittsansichten des Reaktors 400 gemäß einer Ausführungsform der Erfindung. Fig. 4C ist eine vereinfachte Draufsicht des Reaktors 400. Die Querschnittsansicht, die in Fig. 4A gezeigt ist, ist entlang des Schnitts 4B-4B aus Fig. 4B genommen. Die Querschnittsansicht, die in Fig. 4B gezeigt ist, ist entlang des Schnitts 4A-4A aus Fig. 4A genommen.
  • Bei der folgenden Beschreibung des Reaktors 400 (insbesondere hinsichtlich Fig. 4A, 4B,4C, 5A, 5B, 5C, 5D, 5E und 5F) erscheinen einige Elemente des Reaktors 400 (im Folgenden "fehlende Elemente" genannt) in bestimmten Zeichnungen nicht, obwohl die fehlenden Elemente in der Realität existieren und erscheinen sollten. Die fehlenden Elemente wurden aus Gründen der Übersichtlichkeit aus den Zeichnungen ausgelassen. Fehlende Elemente, die in einer Zeichnung nicht gezeigt sind, können in einer anderen Zeichnung erscheinen, und Durchschnittsfachleute werden in der Lage sein, aus den Zeichnungen, als Ganzes genommen, zu erkennen, wie die fehlenden Elemente mit den veranschaulichten Elementen in den Zeichnungen, in denen die fehlenden Elemente nicht erscheinen, in Beziehung stehen und erscheinen würden.
  • Der Rahmen 450 umfasst, wie weiter unten ausführlicher erörtert wird, ausgewählte Teile des Reaktors 400 und besteht beispielsweise aus kaltgewalztem Stahl 1018. Wie aus Fig. 4C ersichtlich, ist der Reaktor 400 in verschiedene Abschnitte 400a, 400b, 400c, 400d, 400e unterteilt. Abschnitt 400a nimmt das Gefäß 401, die Wärmequellen, das Gaseinblassystem und den Suszeptorträger- und - bewegungsmechanismus auf. Abschnitt 400b nimmt eine Gasplatte auf, falls diese für das Verfahren, für das der Reaktor 400 verwendet wird, nötig ist, und die Gasplatte weist die gleiche Fähigkeit auf wie die Gasplatten, die in CVD-Trommelreaktoren des Stands der Technik verwendet werden. Die Gasplatte ist selbstverständlich so konfiguriert, dass sie alle Gase unterstützt und bereitstellt, die für die Verfahren, die in dem Reaktor 400 durchgeführt werden sollen, nötig sind. Abschnitt 400c nimmt Teile des Abgassystems auf. Abschnitt 400d nimmt die Stromversorgung und die siliziumgesteuerten Gleichrichter auf, die für den Betrieb der Wärmequellen verwendet werden. Abschnitt 400e nimmt die restliche Elektronik auf: zusätzliche Stromversorgungseinheiten, einen Computer zur Steuerung der Prozessvariablen (wie beispielsweise Gasströmungen, Energie von den Wärmequellen), elektrische Relais usw.
  • Wie in Fig. 4A und 4B zu sehen ist, ist der Abschnitt 400a durch den Tisch 451 in zwei Teile unterteilt. Die Schale 452 ist so angebracht, dass sie den Tisch 451 berührt und einen oberen Abschnitt des Gefäßes 401 und die Lampengruppen 405a, 405b (Fig. 4A) und 405c, 405d (Fig. 4B) einschließt. Wie in Fig. 4B ersichtlich ist, ist die Schale 452 an dem Bügel 453 befestigt, der beispielsweise aus einer Aluminiumlegierung 356 besteht. Der Bügel 453 ist an der linearen Schiene beweglich befestigt. Die lineare Schiene 454 ist bei der Firma Schneeberger Inc. in San Francisco, Kalifornien, mit der Teilenummer 1 MRA 25 658-W1-G3-V1 erhältlich. Der Bügel 453 gleitet auf der linearen Schiene 454 auf und ab, um die Schale 452 im Verhältnis zum Tisch 451 anzuheben und zu senken. Die lineare Schiene 454 ist an der Säule 458 befestigt, die beispielsweise aus kaltgewalztem Stahl mit einer Dicke von 0,125 Zoll (3,18 mm) hergestellt ist. Die Säule 458 ist auf dem Tisch 451 befestigt.
  • Während des Betriebes des Reaktors 400 wird die Schale 452 in die Position abgesenkt, die in Fig. 4A und 4B gezeigt ist, d. h. so, dass die Schale 452 den Tisch 451 berührt. Wenn gewünscht wird, Wartungsarbeiten am Reaktor 400 durchzuführen, wird die Schale 452 vom Tisch 451 angehoben, um den Zugang zu den Komponenten des Reaktors 400, die zwischen der Schale 452 und dem Tisch 451 angeordnet sind, zu ermöglichen. Weiterhin kann die Schale 452, wie weiter unten ausführlicher erläutert wird, im Verhältnis zum Bügel 453 um einen oder zwei Zapfen 457a, 457b (Fig. 4B) gedreht werden, so dass sich die Schale 452 nicht direkt über dem Tisch 451 befindet, wodurch der Zugang zu den Komponenten des Reaktors 400 noch leichter gemacht wird.
  • Die Schale 452 führt im Reaktor 400 verschiedene Funktionen aus. Die Lampengruppen 405a, 405b, 405c, 405d werden von der Schale 452 getragen. Weiterhin ist die Schale 452, wie weiter unten beschrieben wird, mit Durchgängen versehen, damit Luft hindurchgeleitet wird und die Lampengruppen 405a, 405b, 405c, 405d und der obere Abschnitt des Gefäßes 401 gekühlt werden. Wenn die Gase zentral eingeblasen werden (siehe beispielsweise Fig. 3C und 3D), nimmt die Schale 452 ebenfalls den Gaseinlassschlauch 408a und andere Bauteile, die in dem Gasverteilungssystem verwendet werden, sowie Kühlwasserschläuche auf, durch die Kühlwasser fließt, um die Lampengruppen 405a, 405b, 405c, 405d zu kühlen. Schließlich schützt die Schale 452 das Gefäß 401 vor Beschädigungen.
  • Die Schale 452 ist aus Aluminium hergestellt und mit einem hochtemperaturbeständigen Teflonlack beschichtet. Der Teflonlack hilft der Schale 452, den hohen Temperaturen standzuhalten, denen die Schale 452 während der Verarbeitung der Wafer im Reaktor 400 ausgesetzt ist.
  • Das Gefäß 401 weist drei Wände auf einen Boden 401a, eine Seitenwand 401b und eine obere Wand 401c. Der Bereich innerhalb des Gefäßes 401 stellt die Reaktionskammer 403 dar. Die obere Wand 401c weist einen annähernd kreisförmigen Bogen auf und ist 0,197 Zoll (5 mm) dick. Der höchste Punkt der inneren Fläche der oberen Wand 401c ist etwa 4,619 Zoll (11,73 cm) von der Oberfläche des Tisches 451, die die Schale 452 berührt, entfernt. Die Wafer (nicht gezeigt) werden durch die Tür 413 (Fig. 4A), die in der Seitenwand 401b gebildet ist, in die Reaktionskammer 403 hineingegeben und aus dieser herausgenommen. Die Wafer werden in Aussparungen angeordnet, die im Suszeptor 402 gebildet sind, wie weiter unten ausführlicher beschrieben wird. Der Abstand zwischen dem Suszeptor 402 und der Seitenwand 401b beträgt etwa 1,5 Zoll (3,8 cm).
  • In den weiter oben gezeigten Fig. 2A-2C, die vereinfachte Querschnittsansichten verschiedener Reaktoren 200, 220 und 240 gemäß der Erfindung zeigen, drehte, hob und senkte die Suszeptorpositionssteuerung 202 den Suszeptor 201. In Fig. 4A und 4B umfasst diese Suszeptorpositionssteuerung im Reaktor 400 die Motoren 415 und 417. Der Motor 415 treibt die Welle 416 an, so dass der Suszeptor 402 gedreht wird. Der Motor 417 treibt den Riemen 418 an, der seinerseits die Führungsspindel 428 dreht, so dass die Platte 426 angehoben und gesenkt wird, wodurch der Suszeptor 402 auf und abbewegt wird. Durch die vertikale Bewegung des Suszeptors 402 kann der Suszeptor 402 in geeigneten Höhen für das Be- und Entladen eines oder mehrerer Wafer sowie für das Verarbeiten eines oder mehrerer Wafer positioniert werden. Wie weiter unten ausführlicher beschrieben wird, erstrecken sich weiterhin Zapfen durch Löcher im Suszeptor 402, wenn der Suszeptor 402 in die Waferladeposition abgesenkt wird, um den oder die Wafer über den Suszeptor 402 anzuheben, so dass ein einfaches Be- und Entladen des bzw. der Wafer möglich ist.
  • Die Widerstandsheizung 407 oder alternativ ein Passivwärmeverteilungselement (das weiter unten ausführlicher beschrieben wird) ist auf einer ringförmigen Graphitwelle 419 angebracht. Die Welle 416 ist in der ringförmigen Welle 419 koaxial angeordnet. Eine Ausgleichsbalgbaugruppe 420 (die weiter unten unter Bezugnahme auf Fig. 4E und 4F ausführlicher beschrieben wird), ist zwischen der Platte 426 und dem Boden 401a angebracht, um den Bereich 427, der die Welle 416, die ringförmige Welle 419 und zugehörige Mechanismen umgibt, abzudichten, so dass Gase, die durch Spalte zwischen der Welle 416 und der ringförmigen Welle 419 und zwischen der ringförmigen Welle 419 und dem Boden 401a aus der Reaktionskammer 403 austreten, aufgefangen werden. Diese Gase werden beseitigt, wie weiter unten ausführlicher beschrieben wird.
  • Bei Ausführungsformen der Erfindung, die eine duale Wärmequelle verwenden, wie beispielsweise bei den Reaktoren 220 und 240 aus Fig. 2B und 2C, werden zum Erwärmen eines oder mehrerer Wafer auf eine im Wesentlichen gleichmäßige Temperatur die Lampengruppen 405a, 405b, 405c, 405d und die Widerstandsheizung 407 verwendet. Bei Ausführungsformen der Erfindung, die eine einzelne Wärmequelle verwenden, wie beispielsweise bei dem Reaktor 200 aus Fig. 2A, werden nur die Lampengruppen 405a, 405b, 405c, 405d für die Erwärmung verwendet; bei diesen Ausführungsformen kann ein Passivwärmeverteilungselement (das weiter unten unter Bezugnahme auf Fig. 7E beschrieben wird) verwendet werden, um eine im Wesentlichen gleichmäßige Temperatur in dem Wafer bzw. den Wafern zu erzielen.
  • Wie weiter unten ausführlicher beschrieben wird, werden bei den Ausführungsformen der Erfindung mit einer dualen Wärmequelle die Lampengruppen und die Widerstandsheizung 407 elektrisch separat gesteuert, um variable Wärmemengen als Reaktion auf Messungen der Wafertemperatur bereitzustellen. Bei einer Ausführungsform wird die Wafertemperatur nicht direkt erfasst, d. h. kein Temperatursensor berührt die Wafer. Ein optisches Pyrometer, das bei Ircon, Inc. in Niles, Illinois, erhältlich ist und in der Lage ist, Temperaturen im Bereich von 600ºC bis 1250ºC zu messen, ist im Kopf 455 (Fig. 4B) außerhalb der Schale 452 angebracht. Das Pyrometerwärmeerfassungselement empfängt die abgestrahlte Wärme von innerhalb der Schale 452 durch die Öffnung 456a, die in der Schale 452 gebildet ist. Die Öffnung 456a ist mit einem Fenster abgedeckt, das typischerweise aus dünnem Quarz (BaF&sub2; oder CaF&sub2;) besteht. Eine zweite Öffnung 456b ist in der Schale 452 gebildet, so dass, falls gewünscht, ein Handpyrometer verwendet werden kann. Die Öffnung 456b kann ebenfalls dazu benutzt werden, visuell zu überwachen, was in der Reaktionskammer 403 während des Betriebes des Reaktors 400 vor sich geht. Das Pyrometer wird während Testdurchläufen des Reaktors 400 kalibriert, indem die Pyrometermessungen mit Temperaturmessungen eines Testsuszeptors, die mit einem Thermopaar vorgenommen wurden, das den Testsuszeptor berührt, in Beziehung gebracht werden. Zusätzlich zu oder anstelle von Temperaturmessungen mit einem Pyrometer kann die Wafertemperatur mit einem Thermopaardraht gemessen werden, der durch eine Öffnung, wie beispielsweise die Öffnung 425a (Fig. 4B), die im Gefäß 401 gebildet ist, eingeführt wird, wie weiter unten ausführlicher beschrieben wird. Wie im Fall des Pyrometers wird das Thermopaar während Testdurchläufen des Reaktors 400 kalibriert, indem die Thermopaarmessungen mit Temperaturmessungen von Testwafern, die mit einem anderen Thermopaar vorgenommen wurden, das die Testwafer berührt, in Beziehung gebracht werden.
  • Während des Betriebs des Reaktors 400 werden die Wände 401a, 401b, 401c (Fig. 4A und 4B) auf einer im Verhältnis zur Betriebstemperatur der Reaktionskammer 403 kühlen Temperatur, wie beispielsweise 600ºC, gehalten. Wenn die Wände 401a, 401b, 401c nicht bei dieser kühlen Temperatur gehalten werden, kann sich auf den Wänden 401a, 401b, 401c während eines Aufdampfungsverfahrens im Reaktor 400 ein Film ablagern. Das Wachstum eines Films auf den Wänden 401a, 401b, 401c ist aus verschiedenen Gründen nachteilig. Während des Betriebs des Reaktors 400 absorbiert der Film auf den Wänden 401a, 401b, 401c Wärmeenergie, wodurch die Wärmeverteilung in der Reaktionskammer 403 beeinflusst wird und nicht akzeptable Temperaturgradienten in dem Wafer resultieren können. Zusätzlich kann der Film auf den Wänden 401a, 401b, 401c während des Betriebs des Reaktors 400 Partikel produzieren, die den Wafer verunreinigen.
  • Der Boden 401a und die Seitenwand 401b werden mittels einer Wasserströmung gekühlt, die durch die Wände 401a und 401b strömt, wie weiter unten ausführlicher beschrieben wird. Die Lampengruppen 405a, 405b, 405c, 405d sind zwangsluftgekühlt und wassergekühlt. Die obere Wand 401c ist zwangsluftgekühlt. Die Umluft wird mit Hilfe des Motors 422 umgewälzt, der zwei Zentrifugalgebläse 423 (Fig. 4B) antreibt. In Fig. 4B ist nur ein Gebläse gezeigt. Das andere Gebläse befindet sich unmittelbar hinter dem gezeigten Gebläse. Die Zentrifugalgebläse 423 weisen eine Nennleistung von 600 Kubikfuß pro Minute Luft bei einem Verdichtungsdruck von 18 Zoll Wassersäule auf. Während des Betriebs des Reaktors 400 beträgt die Strömungsgeschwindigkeit durch das Kühlsystem 600 Kubikfuß pro Minute. Der Motor 422 und die Gebläse 423, die für die Erfindung verwendet werden können, sind bei Paxton Products, Inc. in Santa Monica, Kalifornien, mit der Teilenummer RM-87C/184TC erhältlich.
  • Die Luft, die Wärme von der Reaktionskammer 403 oder den Lampengruppen 405a, 405b, 405c, 405d absorbiert hat, wird auf etwa 40-100ºC abgekühlt, indem sie durch einen herkömmlichen Wärmetauscher 424 geleitet wird, der mit der Teilenummer 725 bei EG&G Wakefield Engineering in Wake, Massachusetts, erhältlich ist. Der Wärmetauscher 424 ist so ausgelegt, dass er die Luft um etwa 40ºC abkühlt. Die Kühlwasserströmungsgeschwindigkeit des Wärmetauschers 424 liegt typischerweise im Bereich von 6 bis 10 Gallonen pro Minute. Die erwärmte Abluft wird zuerst durch die Gebläse 423 und danach durch den Wärmetauscher 424 geleitet. Diese Reihenfolge wird bevorzugt, da sie eine bessere Kühlung bietet, als wenn die erwärmte Abluft durch den Wärmetauscher 424 und danach durch die Gebläse 423 geleitet würde.
  • Die Prozessgase werden der Reaktionskammer 403 durch den Gaseinlassschlauch 408a (Fig. 4B) zugeführt und durch den Gaseinblaskopf 414, der weiter unten ausführlicher erläutert wird, in die Reaktionskammer 403 eingeblasen. Alternativ strömen die Gase durch den Gaseinlassschlauch 408b und werden durch eine Mehrzahl von Gaseinblasdüsen, wie beispielsweise die Gaseinblasdüse 421a, die durch Öffnungen, wie beispielsweise die im Boden 401a gebildete Öffnung 425b, eingeführt ist, in die Reaktionskammer 403 eingeblasen, wie weiter unten ausführlicher beschrieben wird. Die Gase strömen an den Wafern auf dem Suszeptor 402 vorbei und werden durch Abgasleitungen 409a, 409b (Fig. 4A und 4B) zur gemeinsamen Abgasleitung 409c (Fig. 4B) aus der Reaktionskammer 403 hinausgeleitet. Der Druck in den Abgasleitungen 409a, 409b, 409c wird auf etwa 1-5 Zoll Wassersäule unterhalb des Drucks der Reaktionskammer 403 gehalten, so dass die Gase aus der Reaktionskammer 403 hinausgeleitet werden. Die Gase strömen durch die Abgasleitung 409c in den Abschnitt 400c des Reaktors 400 und werden schließlich auf herkömmliche Weise aus dem Reaktor 400 hinausgeleitet.
  • Nachdem die gebrauchten Reaktantgase aus dem Reaktor 400 hinausgeleitet wurden, werden sie mit Hilfe eines Gaswäschers (nicht gezeigt) gereinigt, wie beispielsweise dem Gaswäscher, der in U.S.-Patent Nr. 4,986,838 mit dem Titel "Inlet System for Gas Scrubber", ausgegeben an Johnsgard am 22. Januar 1991, beschrieben ist, wobei die relevante Offenbarung desselben hierin durch Bezugnahme miteingeschlossen ist.
  • Fig. 5A und 5B sind Ansichten eines Abschnitts aus Fig. 4A und 4B, die die Schale 452 und die Komponenten des Reaktors 400 zwischen der Schale 452 und dem Tisch 451 detailliert zeigen. Fig. 5C ist eine Unteransicht der Schale 452, die die inneren Abschnitte der Schale 452 zeigt. Fig. 5D ist eine Draufsicht der Reaktionskammer 403 und des Tisches 451, die die Kühllufteinlässe 553a, 553b und die Kühlluftauslässe 554a, 554b zeigt. Fig. 5E und 5F sind Ansichten eines Abschnitts aus Fig. 4B, die einen Abschnitt des Reaktors 400 unterhalb des Tisches 451 detailliert zeigen. Fig. 5E zeigt den Suszeptor 402 in einer zurückgezogenen Position zum Laden des Wafers 511 auf den Suszeptor 402, und Fig. 5F zeigt den Suszeptor 402 in einer angehobenen Position zur Verarbeitung des Wafers 511.
  • Wie in Fig. 5A und 5B gezeigt, befinden sich die Lampengruppen 405a, 405b, 405c, 405d oberhalb der oberen Wand 401c. Jede Lampengruppe 405a, 405b, 405c, 405d umfasst eine oder mehrere Lampen 505 und die gleiche Anzahl an Reflektoren, einen für jede Lampe 505, die als Reflektorbaugruppen 506a, 506b, 506c, 506d einstückig gebildet sind. (Hierin bezeichnet Lampe 505 bzw. Lampen 505 eine typische Lampe bzw. Lampen. Eine oder mehrere bestimmte Lampen werden beispielsweise als Lampe 505a bezeichnet.) Die Lampengruppen 405a und 405b (Fig. 5A) weisen jeweils sieben Lampen 505 auf. Die Lampengruppen 405c und 405d (Fig. 5B) weisen jeweils eine Lampe 505 auf. Wie weiter unten ausführlicher erläutert wird, sind in den Reflektorbaugruppen 506a, 506b, 506c, 506d oberhalb der Lampen 505a, 545b, 505d Schlitze gebildet, wie teilweise in Fig. 5A und 5B gezeigt ist.
  • Die Lampengruppengehäuse 535a, 535b, 535c, 535d schließen jeweils den größten Teil der Lampengruppen 405a, 405b, 405c, 405d ein. Die Lampengruppengehäuse 535a, 535b, 535c, 535d sind links unten, d. h. benachbart zu den Lampen 505, geöffnet, damit Strahlungsenergie von den Lampen 505 in die Reaktionskammer 403 und Kühlluft in das Gefäß 401 gelangen kann.
  • Die Lampengruppengehäuse 535a, 535b, 535c, 535d sind beispielsweise aus vergoldetem rostfreiem Stahl hergestellt.
  • Jede Lampengruppe 405a, 405b, 405c, 405d ist mit vier Bolzen 504, die an jedem Ende mit einem Gewinde versehen sind, an der Schale 452 befestigt. Ein mit Gewinde versehenes Ende jedes Bolzens 504 ist in eine passende Gewindebohrung eingeschraubt, die in der Schale 452 gebildet ist. Das andere Ende jedes Bolzens 504 ist in die entsprechende Lampengruppe, wie beispielsweise die Lampengruppe 405a, eingeschraubt. Bei einer Ausführungsform ist jede Lampengruppe 405a, 405b, 405c, 405d so angebracht, dass die entsprechenden Montageflächen 515a, 515b, 515c, 515d einen Winkel von etwa 20º mit dem Suszeptor 402 bilden. Dieser Winkel kann für eine bestimmte Lampengruppe, wie beispielsweise die Lampengruppe 405a, leicht variiert werden, indem die Position der Ecken der Lampengruppe mit Hilfe von Mitteln, die weiter unten ausführlicher beschrieben werden, in geeigneter Weise eingestellt wird. Diese Veränderung der Winkelausrichtung ist möglich aufgrund der Abstandstoleranz zwischen dem Durchmesser des Gewindeabschnitts des Bolzens 504 und der Gewindebohrung in der Lampengruppe 405a.
  • Es versteht sich von selbst, dass die Lampengruppen 405a, 405b, 405c, 405d in anderen Winkelausrichtungen als 20º angebracht werden können. Bei einer Ausführungsform der Erfindung ist jede Lampengruppe 405a, 405b, 405c, 405d aufgrund der Form der oberen Wand 401c des Reaktors 400, der in Fig. 4A, 4B, 4C, 5A, 5B, 5E und 5F gezeigt ist, so angebracht, dass die entsprechenden Montageflächen 515a, 515b, 515c, 515d mit dem Suszeptor 402 einen Winkel von 10º bis 40º bilden. Andere Winkelbereiche sind für Reaktoren gemäß der Erfindung geeignet, die ein Gefäß mit einer anders geformten oberen Wand aufweisen.
  • Fig. 6 ist eine perspektivische Ansicht der Lampengruppen 405b und 405d. Jede Lampengruppe, wie beispielsweise die Lampengruppe 405b, umfasst einen Lampenrahmen, wie beispielsweise die Lampenrahmen 605b, 605d, eine Reflektorbaugruppe, wie beispielsweise die Reflektorbaugruppen 506b, 506d, eine oder mehrere Lampen 505 (in Fig. 6 nicht gezeigt) und einen oder mehrere Sätze von Lampenklammern 617. Jede Reflektorbaugruppe, wie beispielsweise die Reflektorbaugruppe 506b, ist mittels Muttern und Schrauben an einer Lampengruppe, wie beispielsweise der Lampengruppe 405b, befestigt. In jedem Reflektor der Reflektorbaugruppe 506b sind Schlitze 618 gebildet, damit Kühlluft durch die Reflektorbaugruppe 506b und danach an den Lampen 505 vorbeiströmen kann, wie weiter unten ausführlicher beschrieben wird. Gegenüberliegende Enden jeder Lampe 505 sind an einer der Lampenklammern 617 befestigt, die ihrerseits am Lampenrahmen 605b mit Muttern und Schrauben befestigt sind.
  • Die Bolzen 504 sind in jede der vier Ecken, wie beispielsweise die Ecken 615a, 615b, 615c, 615d, eines Lampenrahmens, wie beispielsweise des Lampenrahmens 605b, eingeschraubt. Ein Abstandshalter, eine Kontermutter und eine Mutter (die in Fig. 6 nicht gezeigt sind) sind auf das Gewindeende jedes Bolzens 504 geschraubt, das in den Lampenrahmen 605b eingeschraubt wird. Die Abstandshalter können verschiedene Längen aufweisen, so dass die Position einer Lampengruppe, wie beispielsweise der Lampengruppe 405b, im Verhältnis zur Schale 452 (Fig. 5A und 5B) variiert werden kann. Bei einer Ausführungsform des Reaktors 400 verläuft die Mittellinie der am nächsten angeordneten Lampen 505a, 505b, 505c, 505d etwa 4,31 Zoll (10,95 cm) von der Oberfläche des Tisches 451 entfernt, auf dem die Schale 452 angebracht ist, und die Mittellinie der am entferntesten angeordneten Lampen 505e, 505f verläuft etwa 6,31 Zoll (16,0 cm) von derselben Oberfläche des Tisches 451 entfernt. Jedoch können diese Abstände für eine Winkelausrichtung der Lampengruppen 405a, 405b, 405c, 405d von 20º um etwa 2 Zoll (5,08 cm) vergrößert oder verkleinert werden.
  • Der Strom wird mittels hochtemperaturbeständigem Draht von Abschnitt 400d (Fig. 4C) des Reaktors 400 zu den Lampen 505 geleitet. Der hochtemperaturbeständige Draht wird durch die Öffnungen 556a, 556b geführt, die im Tisch 451 (Fig. 5D) gebildet sind. Der Draht für zwei Lampengruppen, wie beispielsweise die Lampengruppen 405b, 405d, verläuft durch eine der Öffnungen 556a, 556b, und der Draht für die anderen beiden Lampengruppen, wie beispielsweise die Lampengruppen 405a, 405c, verläuft durch die andere der Öffnungen 556a, 556b.
  • Wie in Fig. 6 gezeigt, tritt der hochtemperaturbeständige Draht durch Militäranschlüsse, wie beispielsweise die Militäranschlüsse 604a, 604b, die in Leitplatten 610 angebracht sind, in die Schale 452 ein. (In Fig. 6 ist nur eine Leitplatte 610 gezeigt, jedoch versteht es sich, dass eine ähnliche Leitplatte 610 vorhanden ist, die zu den Lampengruppen 405a, 405C gehört.) Der hochtemperaturbeständige Draht ist in der Schale 452 zu Drahtbündeln, wie beispielsweise den Drahtbündeln 611a, 611b, zusammengebunden. Das Drahtbündel 611a enthält die hochtemperaturbeständigen Drähte für die Lampen 505 in der Lampengruppe 405b, und das Drahtbündel 611b enthält die hochtemperaturbeständigen Drähte für die Lampen 505 in der Lampengruppe 405d.
  • Ein Abstandshalter, eine Kontermutter, ein Kabelanschluss und eine Mutter, wie beispielsweise der Abstandshalter 606a, die Kontermutter 607a, der Kabelanschluss 608a, die Mutter 609a, sind auf jede der Mehrzahl von Schrauben, wie beispielsweise die Schrauben 616a, die in den Lampenrahmen 605b eingeschraubt sind, geschraubt. Für jede Lampe 505 gibt es eine Schraube. Die Schraube 616a stellt durch den elektrisch isolierenden Abstandshalter 606a hindurch (der bei einer Ausführungsform aus Keramik besteht) die elektrische Verbindung zwischen der entsprechenden Lampe 505 und dem Kabelanschluss 608a her. Ein elektrisch leitender Draht 619a, einer der hochtemperaturbeständigen Drähte im Drahtbündel 611a, verbindet den Kabelanschluss 608a (und somit eine Lampe 505) mit dem Militäranschluss 604a und schließlich mit einer externen Stromquelle.
  • Wie zuvor bemerkt, sind die Lampengruppen 405a, 405b, 405c, 405d wassergekühlt. Kühlwasser, das von einer externen Wasserversorgung bereitgestellt wird, strömt durch die Kupferrohrleitung, wie beispielsweise die Rohrleitung 612, die an der Rückseite einer jeden Lampengruppe 405a, 405b, 405c, 405d befestigt ist. Die Rohrleitung 612 ist mittels Schnellverbindern 613a, 613b an der Leitplatte 610 befestigt. Das Kühlwasser wird durch den Rohrleitungsabschnitt 612a eingeleitet. Das Kühlwasser wird durch die Rohrleitung 612 zur Rückseite der Lampengruppe 405b geleitet, wo die Rohrleitung 612, obwohl in Fig. 6 nicht sichtbar, schlangenlinienförmig über den größten Teil der rückseitigen Fläche der Lampengruppe 405b geführt ist, um ein großes Ausmaß an Wasserkühlung der Lampengruppe 405b zu erzielen. Daraufhin fließt das Kühlwasser zur Rohrleitung 612 an der Rückseite der Lampengruppe 405d, danach fließt es durch die Rohrleitung 612 zum Rohrleitungsabschnitt 612b und wird in den Wasserabfluss der externen Wasserversorgung zurückgeleitet. Die Strömungsgeschwindigkeit des Kühlwassers beträgt bei einer Ausführungsform etwa 1,5 Gallonen pro Minute.
  • Die Lampen 505 versorgen den Wafer 511 (Fig. 5E und 5F) in der Reaktionskammer 403 mit Strahlungsenergie, um den Wafer 511 zu erwärmen. Die Lampen 505 sind beispielsweise Quarzhalogenlampen. An jede der Lampen 505 wird eine Spannung angelegt, die eine Erwärmung des Wolframfilaments bewirkt, so dass Strahlungsenergie in einem kurzen Wellenlängenbereich, wie beispielsweise im Bereich von weniger als 1 um bis etwa 500 um, erzeugt wird. Quarzhalogenlampen, die für die Verwendung mit der Erfindung geeignet sind, sind bei Ushio American, Inc. of Torrance, Kalifornien 90502 mit der Modellnummer QIR 480-6000E erhältlich. Die Spezifikationen für diese Lampen sind in Tabelle 1 aufgeführt. TABELLE I Spezifikationen für Strahlungsenergielampen 505
  • Jede Lampe 505 ist in einem parabolischen vergoldeten hochglanzpolierten Reflektor montiert. Jeder Reflektor weist entlang der Länge der jeweiligen Lampe 505 eine parabolische Querschnittsform auf. Die Reflektoren dienen der Maximierung der Wärmemenge, die auf die Reaktionskammer 403 und somit auf den Wafer 511 übertragen wird. Strahlungsenergie, die von den Lampen 505 in einer Richtung abgestrahlt wird, die von der Reaktionskammer 403 weg weist, wird von den Reflektoren zur Reaktionskammer 403 zurückgeworfen. Darüber hinaus wird Energie, die von der Reaktionskammer 403 reflektiert wird, wiederum von den Reflektoren in Richtung der Reaktionskammer 403 reflektiert. Allgemein können die Reflektoren jede beliebige Form und Ausrichtung aufweisen, die keine Begrenzung der Lebensdauer der Glühlampen in den Lampen 505 und keine ungleichmäßige Temperaturverteilung im Wafer 511 zur Folge hat.
  • Wie oben bemerkt, sind sämtliche Reflektoren für jede Lampengruppe 405a, 405b, 405c, 405d im Reaktor 400 einstückig als Reflektorbaugruppen 506a, 506b, 506c, 506d gebildet. Die Reflektorbaugruppen 506a, 506b, 506c, 506d sind im Handel bei Epitaxial Services in Sunnyvale, Kalifornien, mit der Teilenummer 90145 erhältlich. Eine andere Reflektorbaugruppe, die für die Verwendung mit dieser Erfindung geeignet ist, ist bei Vector Technology Group, Inc. in Santa Clara, Kalifornien, unter dem Namen Spiral-Array Reflector Extended (Teilenummer 90145) erhältlich.
  • Zusätzlich zu den Reflektorbaugruppen 506a, 5066, 506c, 506d sind die Reflektoren 517 (Fig. 5A und 5B) mittels Schrauben am Klemmring 401d befestigt. Die Reflektoren 517 bestehen aus Blech, wie beispielsweise aus rostfreiem Stahl, und sind mit einem reflektierenden Material, wie beispielsweise Gold, Nickel oder Silber beschichtet. Typischerweise ist die gesamte Oberfläche der Reflektoren 517 beschichtet, es ist jedoch nur erforderlich, dass die Oberfläche der Reflektoren 517, die in die Reaktionskammer 403 weist, beschichtet ist. Die Reflektoren 517 sind am gesamten Umfang der Reaktionskammer 403 angebracht und so positioniert, dass sie Energie zum Suszeptor 402 reflektieren.
  • Die obere Wand 401c besteht aus Quarz, so dass ein verhältnismäßig geringer Anteil der Strahlungsenergie der Lampen 505 von der oberen Wand 401c absorbiert wird, wodurch der größte Teil der Strahlungsenergie durch die Reaktionskammer 403 direkt auf den Wafer 511 übertragen werden kann. Wie am besten aus Fig. 5E und 5F ersichtlich ist, ist die obere Wand 401c mittels eines Gewindeelements 549 festgeklemmt, das sich durch den Klemmring 401d in eine Gewindebohrung erstreckt, die im Tisch 451 gebildet ist. Der Klemmring 401d besteht aus rostfreiem Stahl. Zwei O-Ringe 551a, 551b sind im Tisch 451 in Rillen angeordnet, so dass, wenn das Gewindeelement 549 nach unten festgezogen wird, die O-Ringe 551a, 551b zusammengedrückt werden und eine Abdichtung zwischen dem Tisch 451 und der oberen Wand 401c hergestellt wird. Eine weitere Abdichtung zwischen dem Klemmring 401d und der oberen Wand 401c wird durch den O-Ring 551c hergestellt.
  • Zusätzlich zur oben beschriebenen Wasserkühlung werden die Lampen 505 und die Reflektorbaugruppen 506a, 506b, 506c, 506d durch eine Umluftströmung gekühlt. Unter Bezugnahme auf Fig. 5C tritt kühle Luft durch Lufteinlässe 553a, 553b in einen Hohlraum ein, der im oberen Teil der Schale 452 gebildet ist. Die Lufteinlässe 553a, 553b weisen einen Durchmesser von 3 Zoll (7,6 cm) auf. Die kühle Luft gelangt durch sechs Luftöffnungen 555a, 555b, 555c, 55d, 555e, 555f in den Bereich zwischen der Schale 452 und dem Gefäß 401. Wenn die Luft durch den Bereich zwischen der Schale 452 und dem Gefäß 401 strömt, strömt die Luft über die Reflektorbaugruppen 506a, 506b, 506c, 506d und die Lampen 505 und kühlt diese. Daraufhin strömt die Luft an der oberen Wand 401c des Gefäßes 401 vorbei und kühlt die obere Wand 401c.
  • Unter Bezugnahme auf Fig. 5D tritt die erwärmte Luft aus dem Bereich zwischen der Schale 452 und dem Gefäß 401 durch Luftauslässe 554a, 554b, die in dem Tisch 451 gebildet sind, aus. Die Luftauslässe 554a, 554b weisen einen Durchmesser von 4 Zoll (10,2 cm) auf. Wie weiter oben unter Bezugnahme auf Fig. 4B beschrieben ist, wird die erwärmte Luft daraufhin zum Wärmetauscher zurückgeführt, in dem die Luft gekühlt wird. Die gekühlte Luft wird daraufhin in den Bereich zwischen der Schale 452 und dem Gefäß 401 zurückgeführt, um die Lampen 505, die Reflektorbaugruppen 506a, 506b, 506c, 506d und die obere Wand 401c erneut zu kühlen.
  • Bei Ausführungsformen der Erfindung, die, wie weiter unten ausführlicher beschrieben wird, eine HF- Wärmequelle unterhalb des Suszeptors 402 verwenden, wird die Spirale der HF-Wärmequelle durch Wasser, das durch die Spirale strömt und von unterhalb des Gefäßes 401 bereitgestellt wird, gekühlt.
  • Wie in Fig. 5D gezeigt, weist der Tisch 451 zwei Abschnitte auf. Der Tischabschnitt 451a besteht aus Aluminium, und der Tischabschnitt 451b besteht aus rostfreiem Stahl 316. Für den Tischabschnitt 451b wird rostfreier Stahl verwendet, da dieser eine gute Korrosionsbeständigkeit und die Fähigkeit aufweist, den hohen Temperaturen standzuhalten, denen der Tischabschnitt 451b ausgesetzt wird.
  • Wie oben bemerkt, ist die Schale 452 am Bügel 453 (Fig. 4B) befestigt, so dass die Schale 452 zu beiden Seiten des Reaktors 400 vom Tisch 451 weggeschwenkt werden kann. Wie in Fig. 5C ausführlich veranschaulicht, sind die Zapfen 457a und 457b durch Löcher, die in den Befestigungsabschnitten 552a, 552b (manchmal als "Vorsprünge" bezeichnet) der Schale 452 gebildet sind, und durch passende Löcher, die im Bügel 453 gebildet sind (in Fig. 5C nicht gezeigt), eingeführt, um die Schale 452 lateral im Verhältnis zum Bügel 453 in Position zu halten. Die Schale 452 wird durch die Enden 453a, 453b des Bügels 453 (siehe Fig. 4B), die beide Enden der Befestigungsabschnitte 552a, 552b der Schale 452 berühren, vertikal in Position gehalten. Die Schale 452 wird vom Tisch 451 weggeschwenkt, indem einer der Zapfen 457a, 457b entfernt wird und die Schale 452 um den anderen der Zapfen 457a, 457b gedreht wird. Da zwei Zapfen 457a und 457b bereitgestellt sind, kann die Schale 452 in beide Richtungen geöffnet werden, so dass der Zugang zum Gefäß 401 und zu den Komponenten des Reaktors 400 in der Schale 452 unter einer großen Anzahl von Benutzungsbedingungen des Reaktors 400 leicht vorgenommen werden kann.
  • Die Seitenwand 401b und der Boden 401a sind in Fig. 5E und 5F gezeigt. Die Seitenwand 401b und der Boden 401a bestehen beide aus rostfreiem Stahl und sind zusammengeschweißt. Die Quarzauskleidungen 501a und 501b sind in der Reaktionskammer 403 jeweils benachbart zum Boden 401a und zur Seitenwand 401b angeordnet. Die Auskleidungen 501a und 501b schützen jeweils den Boden 401a und die Seitenwand 401b während der Verarbeitung des Wafers 511 im Reaktor 400 vor Gasabscheidungen. Die Auskleidungen 501a, 501b bestehen aus transparentem Quarz mit einer kugelgestrahlten Oberfläche, die in die Reaktionskammer 403 weist. Die kugelgestrahlte Oberfläche bewirkt, dass Filme, die an den Auskleidungen 501a, 501b abgeschieden werden, an den Auskleidungen 501a, 501b haften bleiben, anstatt abzublättern, wie es sonst der Fall wäre. Demgemäß werden Verunreinigungen, die eine Folge des Abblätterns sind, vermieden, und nach längerem Gebrauch des Reaktors 400 können die Auskleidungen 501a und 501b aus der Reaktionskammer 403 entfernt werden und beispielsweise mit Hilfe einer Säureätzung gereinigt werden.
  • Wie aus Fig. 5D ersichtlich, sind durch den Boden 401a Öffnungen 425a, 425b, 425c, 425d gebildet. Die Öffnungen 425a, 425b, 425c, 425d weisen jeweils einen Durchmesser von 0,75 Zoll (1,9 cm) auf. Jede der Öffnungen 425a, 425b, 425c, 425d kann zum Einführen eines Thermopaars in die Reaktionskammer 403 verwendet werden, um Temperaturmessungen vorzunehmen. Jede der Öffnungen 425a, 425b, 425c, 425d kann während der Reinigung nach der Verarbeitung ebenfalls zum Einleiten von zusätzlichen Reinigungsgasen in die Reaktionskammer 403 verwendet werden, so dass der Wafer 511 schneller gekühlt wird. Jede der Öffnungen 425a, 425b, 425c, 425d kann ebenfalls vor oder während der Reinigung, die vor oder nach der Verarbeitung stattfindet, zum Einleiten von Luftstrahlen auf den Wafer 511 verwendet werden, damit vermieden wird, dass sich Partikel auf dem Wafer 511 ansammeln.
  • Bei einer Ausführungsform der Erfindung ist das Thermopaar 525 (Fig. 5E und 5F) durch eine der Öffnungen 425a, 425b, 425c, 425d (zur Veranschaulichung Öffnung 425a) eingeführt.
  • Das Thermopaar 525 umfasst den Thermopaardraht, der mit Quarz ummantelt ist, wobei die Spitze des Thermopaardrahts freiliegt. Der Thermopaardraht kann beispielsweise Thermopaardraht vom Typ K sein. Der Thermopaardraht ist mit Quarz ummantelt, um ihm Steifigkeit zu verleihen, so dass die Position des Thermopaardrahts in der Reaktionskammer 403 leichter gesteuert werden kann und die Qualitätsminderung des Thermopaardrahts verlangsamt wird, die daraus resultiert, dass der Thermopaardraht Wasserstoff ausgesetzt wird, der in der Reaktionskammer 403 vorhanden ist. Die Spitze des Thermopaardrahts kann mit Graphit abgedeckt werden, damit der Thermopaardraht besser gegen die Wasserstoffatmosphäre in der Reaktionskammer 403 geschützt wird. Der Graphit weist eine ausreichende thermische Leitfähigkeit auf, so dass die Temperaturmessfähigkeit des Thermopaardrahts nicht wesentlich beeinträchtigt wird.
  • Das Thermopaar 525 kann in der Reaktionskammer 403 in jeder gewünschten Höhe angeordnet werden, indem das Thermopaar 525 durch die Öffnung 525a auf oder abbewegt wird. Bei einer Ausführungsform wird das Thermopaar 525 etwa 1 Zoll (2,54 cm) über der oberen Fläche des Suszeptors 402 angeordnet.
  • Zusätzlich kann das Thermopaar 525 in jede gewünschte Position gedreht werden. Bei einer Ausführungsform der Erfindung ist das Ende 525a des Thermopaars 525 abgewinkelt, und das Thermopaar 525 ist gedreht, so dass das Ende 525a näher am Suszeptor 402 angeordnet ist, als es der Fall wäre, wenn das Thermopaar 525 gerade wäre.
  • Fig. 7A ist eine Querschnittsansicht einer Widerstandsheizung 407, die aus drei identischen Abschnitten 707a, 707b, 707c besteht, wobei die Querschnittsansicht das Schema des Widerstandselements zeigt. Fig. 7B und 7C sind jeweils eine Draufsicht und ein seitlicher Aufriss von Abschnitt 707a der Widerstandsheizung 407. Fig. 7D ist eine detaillierte Ansicht des Teils von Abschnitt 707a, der in Fig. 7B durch die unterbrochene Linie A dargestellt ist. Die Widerstandsheizung 407 wird von Union Carbide Advance Ceramics Corp. in Cleveland, Ohio, auf Bestellung hergestellt und ist unter Vorlage der Zeichnungen, die in Fig. 7A, 7B, 7C und 7D gezeigt sind, und unter Angabe der Teilenummer E10005 erhältlich.
  • Jeder Abschnitt, wie beispielsweise der Abschnitt 707a, der Widerstandsheizung 407 besteht aus drei Schichten: zwei äußere Schichten aus Keramik und eine innere Schicht aus Graphit. Fig. 7A ist eine Querschnittsansicht der Widerstandsheizung 407, die die Graphitschicht zeigt. Die Graphitschicht ist so gemustert, dass elektrisch isolierende Bereiche, wie beispielsweise der Bereich 708, Abschnitte der Graphitschicht, wie beispielsweise die Abschnitte 709a, 709b, voneinander trennen, so dass der Graphit einen labyrinthartigen Weg bildet. Die Widerstandsheizung 407 erzeugt Wärme, wenn ein Strom durch diesen labyrinthartigen Weg fließt. Die elektrisch isolierenden Bereiche, wie z. B. der Bereich 708, können beispielsweise aus Keramik bestehen. Alternativ können die elektrisch isolierenden Bereiche, wie z. B. der Bereich 708, Rillen sein, die in der Graphitschicht gebildet sind. In diesem letzteren Fall sorgt Luft in den Rillen für die nötige elektrische Isolierung.
  • Der Durchmesser der Widerstandsheizung 407 beträgt 14,0 Zoll (35,6 cm) und die Dicke beträgt 0,5 Zoll (1,27 cm). Die Widerstandsheizung wird mit Drehstrom betrieben. Bei einer Spannung von 240 Volt kann ein Strom von 46 Ampere erzeugt werden; bei 480 Volt kann ein Strom von 92 Ampere erzeugt werden.
  • Ein Loch 710 ist in der Widerstandsheizung 407 mittig gebildet, damit die Welle 516 (Fig. 5E und 5F) durch die Widerstandsheizung 407 hindurchgeführt werden kann und den Suszeptor 402 stützen kann, wie weiter unten ausführlicher erläutert wird. In der Widerstandsheizung 407 ist eine Mehrzahl von Löchern, wie z. B. die Löcher 711a, 711b, gebildet, damit Befestigungsstäbe, wie z. B. die Befestigungsstäbe 512a, 512b (Fig. 5E und 5F), die beim Be- und Entladen des Wafers 511 verwendet werden, hindurchgeführt werden können, wie weiter unten ausführlicher beschrieben wird. Obwohl zwölf Löcher, wie z. B. die Löcher 711a, 711b, in der Widerstandsheizung 407 gezeigt sind, versteht es sich, dass zur Anpassung an ein bestimmtes Be- und Entladeschema für Wafer jede Anzahl von Löchern gebildet werden kann. Jedes der Löcher, wie z. B. die Löcher 711a, 711b, weist einen Durchmesser von 0,375 Zoll (0,953 cm) auf, d. h. etwas größer als der Durchmesser der Befestigungsstäbe, wie z. B. der Befestigungsstäbe 512a, 512b. Die Löcher, wie z. B. die Löcher 711a, 711b, sind so angeordnet, dass sie den Positionen der entsprechenden Befestigungsstäbe, wie z. B. der Befestigungsstäbe 512a, 512b, entsprechen.
  • Wie aus Fig. 7A und 7B ersichtlich ist und weiter unten ausführlicher beschrieben wird, sind drei Molybdänspindeln 714a, 714b, 714c im Abschnitt 707a der Widerstandsheizung 407 angeordnet. Die Spindel 7 14a stellt zwischen einer externen Stromversorgung und dem Graphitwiderstandselement im Abschnitt 707a der Widerstandsheizung 407 eine elektrische Verbindung her. Die Spindeln 714b und 714c werden für die elektrische Verbindung zwischen dem Abschnitt 707a und jeweils den Abschnitten 707b und 707c verwendet. Wie aus Fig. 7A ersichtlich, stehen die Spindel 714b von Abschnitt 707a und die Spindel 714d von Abschnitt 707b mit der Buchse 712, die aus Molybdän oder Graphit besteht und im Boden der Keramikschicht der Widerstandsheizung 407 angeordnet ist, in Kontakt, um zwischen den Graphitwiderstandselementen in den Abschnitten 707a und 707b eine elektrische Verbindung herzustellen. Die gleichen Verbindungen bestehen, um die Abschnitte 707a und 707c sowie die Abschnitte 707b und 707c zu verbinden.
  • In Fig. 7B ist der Mittelpunkt der Molybdänspindeln 714b, 714c jeweils um 6,614 Zoll (16,80 cm) vom Mittelpunkt der Widerstandsheizung 407 und um 0,375 Zoll (0,953 cm) von den entsprechenden Seiten 717a und 717b von Abschnitt 707a beabstandet. Der Mittelpunkt der Molybdänspindel 714a ist um 0,813 Zoll (2,07 cm) vom Mittelpunkt der Widerstandsheizung 407 und um 0,407 Zoll (1,03 cm) von der Seite 717a von Abschnitt 707a beabstandet. Der Durchmesser des Kopfes jeder Molybdänspindel, wie z. B. der Spindeln 714a, 714b, 714c, beträgt 0,359 Zoll (0,912 cm), und unter Bezugnahme auf Fig. 7C beträgt die Dicke 0,2 Zoll (0,508 cm). Benachbart zum Boden der Spindeln 714b und 714c ist ein 0,125 Zoll (0,318 cm) dicker Schlitz 715 gebildet, durch den der elektrische Draht mit den Spindeln 714b und 714c verbunden ist, um zwischen den Abschnitten 707a, 707b und 707c der Widerstandsheizung 707, wie oben beschrieben, eine elektrische Verbindung herzustellen. Bei dem Reaktor 400 ist die Oberfläche 713 (Fig. 7C) benachbart zum Suszeptor 402 angeordnet.
  • Wie aus Fig. 5E und 5F ersichtlich, ist die Widerstandsheizung 407 auf der Quarzauskleidung 508 angebracht und mit einer Quarzabdeckung 507 abgedeckt. Die Oberfläche der Quarzabdeckung 507, die dem Suszeptor 402 zugewandt ist, ist um etwa 0,875 Zoll (2,22 cm) unter dem Suszeptor angeordnet. Die Schicht 508 schützt die Widerstandsheizung 407 während der Verarbeitung des Wafers 511 vor Gasabscheidungen. Die Abdeckung 507 schützt die Widerstandsheizung 407 ebenfalls vor Gasabscheidungen. Dies bietet einen Vorteil, da die Quarzschicht 508 und die Quarzabdeckung 507 einfacher gereinigt werden können als die Widerstandsheizung 407. Wie bei der Quarzauskleidung 501, die oben erörtert wurde, können die Quarzschicht 508 und die Quarzabdeckung 507 nach längerem Gebrauch des Reaktors 400 aus der Reaktionskammer 403 entnommen und gereinigt werden.
  • Da die Schicht 508 und die Abdeckung 507 aus Quarz bestehen, absorbieren die Schicht 508 und die Abdeckung 507 darüber hinaus verhältnismäßig wenig von der Wärme, die von der Widerstandsheizung 407 übertragen wird. Somit wird durch die Abdeckung 507 ermöglicht, dass der größte Teil der Wärme von der Widerstandsheizung 407 auf den Wafer 511 überragen wird, wobei die Schicht 508 nicht als Wärmeableiter wirkt, der vom Wafer 511 Wärme wegleitet.
  • Da sich die Widerstandsheizung 407 innerhalb der Reaktionskammer 403 befindet, muss eine elektrische Versorgungsleitung mit einer hohen Spannung in die Reaktionskammer 403 geleitet werden. Jedoch kann die Temperatur in der Reaktionskammer 403 während des Betriebes des Reaktors 400 etwa 1200ºC erreichen. Diese hohe Temperatur überschreitet die Isolierungstemperaturspezifikation für handelsübliche elektrische Drähte. Beispielsweise wird bei einer Ausführungsform der Erfindung der elektrische Draht Firezone 101, der bei Bay Associates of Redwood City, Kalifornien, erhältlich ist und Nennwerte von 399ºC und 600 Volt aufweist, für die Stromversorgung der Widerstandsheizung 407 verwendet.
  • Weiterhin ist bei vielen Verfahren Wasserstoff in der Reaktionskammer 403 vorhanden. Falls die Isolierung auf dem Draht ausfällt, besteht die Gefahr, dass ein elektrischer Lichtbogenüberschlag in der Reaktionskammer 403 zu einer Explosion führt.
  • Gemäß einer Ausführungsform der Erfindung werden die obengenannten Probleme der Stromversorgung gelöst, indem Kanäle, wie z. B. der Kanal 419a (Fig. 5E und 5F) in der ringförmigen Welle 419 bereitgestellt werden, die sich vom Boden der Widerstandsheizung 407 nach außerhalb der Reaktionskammer 403 erstrecken. Die Kanäle, wie z. B. der Kanal 508a, sind durch die Quarzschicht 508 hindurch gebildet. Der Kanal 508a ist mit Kanal 419a verbunden. Die Molybdänspindeln, wie z. B. die Spindel 524a, befestigen die Widerstandsheizung 407 an der Quarzschicht 508. Die Spindel 524a berührt die Graphitwiderstandselemente der Widerstandsheizung 407 und erstreckt sich in den Kanal 508a. Molybdän wurde aufgrund seiner hohen elektrischen Leitfähigkeit und seiner guten Korrosions- und Wärmebeständigkeit (die Spindel 524a hält Temperaturen von bis zu 1370ºC stand) als Material für die Spindel 524a gewählt. Der elektrisch leitfähige Draht, der für eine Umgebung mit einer Temperatur von 400ºC ausgelegt ist, wird von außerhalb der Reaktionskammer 403 durch die Kanäle 419a und 508a zur Spindel 524a geführt. Auf diese Weise wird elektrischer Strom von außerhalb der Reaktionskammer 403 durch die Widerstandselemente der Widerstandsheizung 407 geleitet, ohne den elektrischen Draht einer Umgebung mit einer unzulässig hohen Temperatur oder einer Wasserstoffatmosphäre auszusetzen. Da die Widerstandsheizung 407 mit Drehstrom versorgt wird, werden, wie oben beschrieben, drei Sätze Kanäle und Spindeln verwendet, um die Stromzuführung in die Reaktionskammer 403 zu leiten.
  • Wie oben beschrieben, wird bei einigen Ausführungsformen der Erfindung nur eine einzelne Strahlungswärmequelle über der Reaktionskammer verwendet. Bei diesen Ausführungsformen ist es wünschenswert, eine Materialschicht unter dem Suszeptor anzuordnen, die die Wärme zum Wafer zurückstrahlt bzw. reflektiert. Ein solches Passivwärmeverteilungselement wirkt bei der Aufrechterhaltung einer im Wesentlichen gleichmäßigen Temperatur in den zur verarbeitenden Wafern unterstützend.
  • Fig. 7E ist eine Querschnittsansicht der Welle 416, die den Suszeptor 402 trägt, auf dem der Wafer 511 angebracht ist. Bei einer Ausführungsform des Reaktors 400 ist das Passivwärmeverteilungselement 727, das beispielsweise ein Tuch oder eine Platte sein kann, zwischen dem Tuchträger 728 und der Tuchabdeckung 729 angeordnet. Das Passivwärmeverteilungselement 727 kann beispielsweise aus Graphit, Metall oder Siliziumkarbid bestehen. Bei einer Ausführungsform der Erfindung besteht das Passivwärmeverteilungselement 727 aus Siliziumkarbid. Bei einer anderen Ausführungsform besteht das Passivwärmeverteilungselement 727 aus Graphit, der mit Siliziumkarbid beschichtet ist. Das Passivwärmeverteilungselement 727 weist denselben Durchmesser auf wie der Suszeptor 402, d. h. 14 Zoll (35,6 cm).
  • Bei einer Ausführungsform der Erfindung bestehen der Tuchträger 728 und die Tuchabdeckung 729 jeweils aus der Quarzschicht 508 und der Quarzabdeckung 507, wie oben unter Bezugnahme auf Fig. 5E und 5F beschrieben ist. Die Quarzschicht 508 ist 0,625 Zoll (1,59 cm) dick, und die Quarzabdeckung 507 ist 0,125 Zoll (0,318 cm) dick. Die Quarzabdeckung 507 erstreckt sich knapp über die untere Oberfläche der Quarzschicht 508 hinaus, damit eine Verunreinigung des Passivwärmeverteilungselements 727 durch Partikel besser vermieden wird. Jedoch sollte sich die Quarzabdeckung 507 nicht soweit erstrecken, dass die Quarzabdeckung 507 auf den Boden 401a stößt, wenn die Quarzabdeckung 507, das Passivwärmeverteilungselement 727 und die Quarzschicht 508 mit dem Suszeptor 402 zur Be- und Entladung des Wafers 511 (Fig. 5E) abgesenkt werden.
  • Fig. 7F ist eine vereinfachte Querschnittsansicht eines Reaktors 700 gemäß einer anderen Ausführungsform der Erfindung, der die Reflektorplatte 730 umfasst, die am Boden 301a des Gefäßes 301 befestigt ist. Der Reaktor 700 entspricht dem Reaktor 300 (Fig. 3A), und gleiche Bauteile sind mit denselben Bezugsnummern bezeichnet. Beim Reaktor 700 ist die Reflektorplatte 730 außerhalb der Reaktionskammer 303 beispielsweise mittels Muttern und Schrauben am Boden 301a des Gefäßes 301 befestigt. Der Boden 301a besteht aus Quarz, so dass Energie von den Lampen 305, die die Reaktionskammer 303 verlässt, in die Reaktionskammer 303 reflektiert wird. Die Reflektorplatte 730 kann beispielsweise aus einer vergoldeten oder vernickelten Platte aus rostfreiem Stahl bestehen. Bei dieser Ausführungsform der Erfindung wurden sowohl die Widerstandsheizung 327 (Fig. 3B und 3D) als auch das Passivwärmeverteilungselement 307 (Fig. 3A und 3C) und die zugehörigen Auflagen und Abdeckungen (Fig. 5E und 5F) aus dem Reaktor 300 ausgelassen, so dass die Seitenwand 301b kürzer gehalten werden kann, als es sonst der Fall wäre. Das bedeutet, dass die Energie, die von der Reflektorplatte 730 zum Suszeptor 302 reflektiert wird, stärker ist, da die Reflektorplatte 730 näher am Suszeptor 402 angeordnet werden kann.
  • Wie oben bemerkt, werden der Boden 401a und die Seitenwand 401b des Gefäßes 401 durch eine Wasserströmung gekühlt, die durch den Boden 401a und die Wand 401b fließt. Wie aus Fig. 5E und 5F ersichtlich, sind im Boden 401a Kanäle 503c gebildet, und die Seitenwand 401b ist mit einem Hohlraum 503a gebildet. Sowohl die Kanäle 503a als auch der Hohlraum 503c enthalten Prallbleche, die die Wasserströmung so leiten, dass der Boden 401a und die Seitenwand 401b gleichmäßig gekühlt werden. Zusätzlich fließt im Hohlraum 503b, der im Tisch 451 gebildet ist, Wasser zur Kühlung der O-Ringe 551a, 551b. Das Wasser wird mit einem Druck von etwa 80 psi von einer externen Wasserversorgung von unterhalb des Gefäßes 501 durch herkömmliche Rohrleitungen zu den Hohlräumen 503a, 503b und dem Kanal 503c geleitet, wobei die Strömungsgeschwindigkeit des Wassers mit Hilfe eines herkömmlichen Ventils geregelt wird. Bei einer Ausführungsform der Erfindung beträgt die Wasserströmungsgeschwindigkeit durch jeden Kanal 503c und die Hohlräume 503a, 503b etwa 1,3 Gallonen pro Minute. Wenn der Wafer 511 auf eine vorbestimmte Temperatur erwärmt worden ist, wird ein Gasgemisch mit Hilfe eines der beiden herkömmlichen Verfahren in die Reaktionskammer 403 eingeleitet:
  • Zentraleinblasung der Gase in der Mitte der domförmigen oberen Wand 401c oder Seiteneinblasung der Gase durch seitliche Öffnungen. Eine Gasleitung verbindet die Gasplatte mit einem herkömmlichen T- Ventil, das unter dem Tisch 451 angeordnet ist. Das Ventil wird zum Umschalten zwischen dem Zentraleinblasverfahren und dem Seiteneinblasverfahren verwendet.
  • Bei dem Zentraleinblasverfahren strömen die Gase durch das Gaseinlassrohr 408a (Fig. 5B) und werden durch Öffnungen, die im Gaseinblaskopf 514 (Fig. 5A und 5B) gebildet sind, abhängig von den verwendeten Gasen mit einer Geschwindigkeit von 3-150 slm in die Reaktionskammer 403 eingeblasen.
  • Der Gaseinblaskopf 514 unterscheidet sich von dem Gaseinblaskopf 414, der in Fig. 4B gezeigt ist. Im Allgemeinen kann ein Gaseinblaskopf zur Verwendung für die Erfindung jede beliebige Form aufweisen, wie z. B. eine Duschkopfform, eine konische Form oder eine Kugelform.
  • Von oberhalb des Gefäßes 401 aus gesehen, ist der Gaseinblaskopf 514 mittig im Gefäß 401 angeordnet. Der Gaseinblaskopf 514 kann aus Quarz oder Graphit bestehen. Graphit wird verwendet, wenn eine Vorerwärmung der Gase gewünscht wird, wenn sie in die Reaktionskammer 403 eintreten. Das Gaseinlassrohr 408a besteht aus rostfreiem Stahl und weist einen Durchmesser von 0,25 Zoll (0,64 cm) auf. Die Gase strömen am Suszeptor 402 und der Widerstandsheizung 407 vorbei durch die Reaktionskammer 403 abwärts und werden durch Abgasrohre 409a und 409b (Fig. 4A und 4B), die im Boden 401a angeordnet sind, aus der Reaktionskammer 403 ausgestoßen.
  • Bei dem Seiteneinblasverfahren strömen die Gase durch das Gaseinlassrohr 408b (Fig. 5B) und werden durch Öffnungen 521a, 521b, 521c (Fig. 5D), die im Boden 401a gebildet ist, über eine Mehrzahl von Gaseinblasdüsen, wie z. B. die Gaseinblasdüse 421a (Fig. 5E und 5F), die um den Umfang der Reaktionskammer 403 angeordnet sind, in die Reaktionskammer 403 eingeleitet. (Im Folgenden werden Gaseinblasdüsen im Allgemeinen als Gaseinblasdüsen 421 bezeichnet, obwohl eine solche numerische Bezeichnung in den Figuren nicht erscheint.) Von oben gesehen sind die Öffnungen 521a, 521b, 521c symmetrisch im Boden 401a nahe der Kante des Bodens 401a und um 120º radial voneinander beabstandet gebildet. Die Mittellinie der Öffnungen 521a, 521b, 521c ist 0,725 Zoll (1,84 cm) von der Seitenwand 401b entfernt. Der Durchmesser der Öffnungen 521a, 521b, 521c beträgt 0,75 bis 1,25 Zoll (1,9 bis 3,2 cm). Bei einer Ausführungsform beträgt der Durchmesser der Öffnungen 521a, 521b, 521c 0,875 Zoll (2,22 cm). Die Gaseinblasdüsen 421 können durch den Boden 401a gedreht und auf und abbewegt werden, so dass die Gase je nach Wunsch in verschiedenen Höhen und/oder Richtungen in die Reaktionskammer 403 eingeblasen werden. Die Gaseinblasdüsen 421 könnten, falls gewünscht, an anderen Stellen in die Reaktionskammer 403 eintreten, wie z. B. durch die Seitenwand 401b oder die obere Wand 401c. Der Ort und die Richtung der Gasabgabe in die Reaktionskammer 403 sind von größerer Bedeutung als die jeweilige Art und Weise, mit der die Gaseinblasdüsen 421 in die Reaktionskammer 403 eintreten.
  • Die Gase werden durch die Gaseinblasdüsen 421, abhängig von den verwendeten Gasen, mit Strömungsgeschwindigkeiten von 10 bis 200 slm in die Reaktionskammer 403 eingeleitet. Bei einer Ausführungsform liegen drei Gaseinblasdüsen 421 vor, die aus Quarz bestehen und eine einzelne kreisförmige Öffnung mit einem Durchmesser von 0,180 Zoll (0,46 cm) aufweisen. Es wird vorausgesetzt, dass die Verwendung einer anderen Anzahl von Gaseinblasdüsen 421 innerhalb des Bereichs der Erfindung liegt. Beispielsweise können zur Erzielung einer gewünschten Gasströmung durch die Reaktionskammer 403 2 bis 10 Gaseinblasdüsen 421 in vorteilhafter Weise verwendet werden. Darüber hinaus können die Gasdüsen 421 mehr als eine Öffnung aufweisen, und die Form der Öffnung kann anders als kreisförmig sein. Zusätzlich könnten die Gaseinblasdüsen 421 aus rostfreiem Stahl oder Graphit anstatt aus Quarz bestehen.
  • Fig. 8A ist eine orthogonale Ansicht eines Abschnitts der Gaseinblasdüse 821 gemäß einer anderen Ausführungsform der Erfindung. Die Gaseinblasdüse 821 entspricht der Gaseinblasdüse 421. Die Gasdüsenspitze 801 ist an einem Ende des Gasdüsenrohrs 805 befestigt, indem die Gasdüsenspitze 801 am Gasdüsenrohr 805 beispielsweise angeschweißt ist. Alternativ könnte ein Gewindeabschnitt an der Gasdüsenspitze 801 gebildet sein, und die Gasdüsenspitze 801 könnte in eine entsprechende, mit Gewinde versehene Aussparung, die im Gasdüsenrohr 805 gebildet ist, eingeschraubt werden. Sowohl die Gasdüsenspitze 801 als auch das Gasdüsenrohr 805 können beispielsweise aus Quarz, rostfreiem Stahl oder Graphit hergestellt werden.
  • Fig. 5B ist eine Querschnittsansicht einer Gasdüsenspitze 803 zur Verwendung mit der Gaseinblasdüse 821 gemäß einer Ausführungsform der Erfindung. Der Hohlraum 803d ist mittig in der Gasdüsenspitze 803 gebildet. In einer Richtung gesehen, die parallel zur allgemeinen Gasströmungsrichtung durch die Gasdüsenspitze 803 verläuft, kann der Hohlraum 803d beispielsweise eine kreisförmige oder quadratische Querschnittsform aufweisen. Der Hohlraum 803d verengt sich von einer ersten Breite 803c auf eine zweite Breite 803a, die die Breite der Öffnung darstellt, von der aus die Gase am Ende 803e der Gasdüsenspitze 803 ausgestoßen werden. Bei einer Ausführungsform der Erfindung beträgt die Breite 803a etwa 0,125 Zoll (3,18 mm), der Winkel 803b etwa 45º und die Breite 803c etwa 0,375 Zoll (9,53 mm).
  • Fig. 8C ist eine Querschnittsansicht einer Gasdüsenspitze 813 zur Verwendung mit der Gaseinblasdüse 821 gemäß einer Ausführungsform der Erfindung. Der Hohlraum 813f ist mittig in der Gasdüsenspitze 813 gebildet. In einer Richtung gesehen, die parallel zur allgemeinen Gasströmungsrichtung durch die Gasdüsenspitze 813 verläuft, kann der Hohlraum 813f beispielsweise eine kreisförmige oder quadratische Querschnittsform aufweisen. Der Hohlraum 813 verengt sich von einer ersten Breite 813e auf eine zweite Breite 813c und erweitert sich wieder auf eine Breite 813b. Die Öffnung am Ende 813g der Gasdüsenspitze 813, aus der die Gase ausgestoßen werden, weist eine Breite 813b auf. Bei einer Ausführungsform der Erfindung beträgt die Breite 813e etwa 0,375 Zoll (9,53 mm), der Winkel 813d beträgt etwa 45º, die Breite 813c beträgt etwa 0,125 Zoll (3,18 mm), der Winkel 813a beträgt etwa 60º und die Breite 813b beträgt etwa 0,25 Zoll (6,35 mm).
  • Fig. 8D ist eine Querschnittsansicht der Gasdüsenspitze 823 zur Verwendung mit der Gaseinblasdüse 821 gemäß einer Ausführungsform der Erfindung. Der Hohlraum 823b ist mittig in der Gasdüsenspitze 823 gebildet. In einer Richtung gesehen, die parallel zur allgemeinen Gasströmungsrichtung durch die Gasdüsenspitze 823 verläuft, kann der Hohlraum 823f beispielsweise eine kreisförmige oder quadratische Querschnittsform aufweisen. Anders als die Gasdüsenspitzen 803 und 813 weist der Hohlraum 823a der Gasdüsenspitze 823 eine konstante Breite 823a auf, die bei einer Ausführungsform der Erfindung etwa 0,25 Zoll (6,35 mm) beträgt. Vorzugsweise wird die Gasdüsenspitze 823 bei einer Ausführungsform eines Gaseinblaskopfes 821 verwendet, der eine der Ausführungsformen einer Leitschaufel umfasst, wie weiter unten unter Bezugnahme auf Fig. 8E bis 8H beschrieben wird.
  • Fig. 8E und 8F sind jeweils eine modifizierte Draufsicht und eine Seitenansicht einer Leitschaufel 802 zur Verwendung mit der Gaseinblasdüse 821 gemäß einer Ausführungsform der Erfindung. Die Leitschaufel 802 umfasst die Leitschaufelplatten 802a und 802b, die beispielsweise mittels Schweißen einstückig an den Innenwänden des Gasdüsenrohrs 805 gebildet sind. Wie in Fig. 8F ersichtlich, sind die Leitschaufelplatten 802a und 802b in einem Winkel zueinander angeordnet. Bei einer Ausführungsform beträgt der Winkel 802e etwa 90º. Fig. 8E ist eine "modifizierte" Draufsicht, da die Leitschaufelplatten 802a und 802b komplanar anstatt in einem Winkel zueinander gezeigt sind, um die Form der Leitschaufelplatten 802a und 802b besser zu veranschaulichen. In den Leitschaufelplatten 802a und 802b sind jeweils Ausschnitte 802c und 802d gebildet. Wie in Fig. 8E gezeigt, sind die Ausschnitte 802c und 802d nahezu halbkreisförmig, jedoch können andere Formen verwendet werden. Darüber hinaus sind die Ausschnitte 802c und 802d bei der Ausführungsform, die in Fig. 8E gezeigt ist, so gebildet, dass die Ausschnitte 802c und 802d einander nicht überlappen und jeder Ausschnitt 802c oder 802d in einer oberen Hälfte der jeweiligen Leitschaufelplatte 802a oder 802b gebildet ist. Jedoch muss dies nicht notwendigerweise der Fall sein.
  • Fig. 8 G und 8H sind jeweils eine modifizierte Draufsicht und eine Seitenansicht der Leitschaufel 812 zur Verwendung mit der Gaseinblasdüse 821 gemäß einer anderen Ausführungsform der Erfindung. Die Leitschaufel 812 umfasst die Leitschaufelplatten 812a und 812b, die einstückig gebildet sind. Wie aus Fig. 8H ersichtlich, sind die Leitschaufelplatten 812a und 812b in einem Winkel zueinander angeordnet. Bei einer Ausführungsform beträgt der Winkel 812e etwa 45º. Fig. 8 G ist eine "modifizierte" Draufsicht, da die Leitschaufelplatten 812a und 812b komplanar anstatt in einem Winkel zueinander gezeigt sind, um die Form der Leitschaufelplatten 812a und 812b besser zu veranschaulichen. In den Leitschaufelplatten 812a und 812b sind jeweils Löcher 812c und 812d gebildet. Wie in Fig. 8G gezeigt, sind die Löcher 812c und 812d nahezu kreisförmig, jedoch können andere Formen verwendet werden.
  • Die Ausführungsformen der Gaseinblasdüse 821, die oben beschrieben wurden, umfassen je eine Gasdüsenspitze mit einem Innenquerschnitt variabler Breite sowie eine Leitschaufel, die am Ende des Gasdüsenrohrs gebildet ist, an dem die Gasdüsenspitze befestigt ist. Diese Ausführungsformen einer Gaseinblasdüse bewirken die Abgabe eines Nebels aus der Gaseinblasdüse. Der Nebel ist erwünscht, um sicherzustellen, dass sich eine Verteilung von Gasen auf der Waferoberfläche niederlässt, die zur Gewährleistung ausreichend gleichmäßiger Eigenschaften der Schicht, die auf die Waferoberfläche aufgedampft wird, wie z. B. spezifischer Widerstand und Dicke, angemessen ist. Gemäß der Erfindung kann im Allgemeinen eine Gaseinblasdüse 821 mit einer Gasdüsenspitze und/oder einer Leitschaufel, wie oben beschrieben, gebildet werden, die einen Nebel erzeugt, der die gewünschte Gleichmäßigkeit bietet. Weiterhin umfasst die Erfindung weitreichend jedes Gaseinblassystem, das in der Reaktionskammer des Reaktors einen Gasnebel erzeugt.
  • Bei einer Ausführungsform sind die Gaseinblasdüsen 421 so ausgerichtet, dass die Gasströmungen von den Gaseinblasdüsen 421 auf einen Punkt knapp unterhalb der oberen Wand 401c gerichtet werden, so dass die Gasströmungen aufeinander stoßen, wodurch eine Gasströmung erzeugt wird, die daraufhin auf den Wafer 511 absinkt, so dass eine gleichmäßige Aufdampfung erreicht wird. Alternativ können die Gaseinblasdüsen 421 so ausgerichtet sein, dass die Gasströmungen zur oberen Wand 401c gerichtet werden und mit der Krümmung der oberen Wand 401c zusammenwirken, so dass eine weitere Gasströmung erzeugt wird, die auf den Wafer 511 absinkt. Da die Gase die Strecke von den Gaseinblasdüsen 421 zur oberen Wand 401c und von der oberen Wand 401c zum Suszeptor 402 zurücklegen, sind die Gase zu dem Zeitpunkt, zu dem sie den Wafer 511 erreichen, gut erwärmt. Die Gase strömen am Suszeptor 402 und der Widerstandsheizung 407 vorbei durch die Reaktionskammer 403 abwärts und werden durch die Abgasöffnungen 509a und 509b ausgestoßen.
  • Während des Betriebes des Reaktors 400 treten die Gase möglicherweise durch Spalte zwischen der Welle 416 und der ringförmigen Welle 419 sowie zwischen der ringförmigen Welle 419 und dem Boden 401a (Fig. 5E und 5F) aus der Reaktionskammer 403 aus. Dieses Austreten wird soweit wie möglich minimiert, indem die Abstände zwischen der Welle 416 und der ringförmigen Welle 419 sowie zwischen der ringförmigen Welle 419 und dem Boden 401a so gering wie möglich gehalten werden. Der Mindestabstand zwischen der Welle 416 und der ringförmigen Welle 419 beträgt bei dieser Ausführungsform etwa 0,062 Zoll (1,6 mm). Der Abstand zwischen der ringförmigen Welle 419 und dem Boden 401a beträgt 0,031 Zoll (0,8 mm).
  • Wie oben bemerkt, dichtet die herkömmliche Ausgleichsbalgbaugruppe 420, die mit der Teilenummer SK-1601-6009 bei Metal Fab. Corp. in Ormond Beach, Florida, erhältlich ist, zusätzlich den Bereich 427 (siehe Fig. 4A und 4B), der die Welle 416, die ringförmige Welle 419 und die zugehörigen Mechanismen umgibt, ab, um austretende Gase aufzufangen. Die Ausgleichsbalgbaugruppe 420 weist einen akkordeonartigen Abschnitt 420b (Fig. 5E und 5F) auf, der zwischen die beiden Flanschabschnitte geschweißt ist (nur der obere Flanschabschnitt 420a ist in Fig. 5E und 5F gezeigt). Der Abschnitt 420b besteht aus rostfreiem Stahlblech und wird zusammengedrückt und auseinandergezogen, wenn der Suszeptor 402 abgesenkt und angehoben wird. Die Flanschabschnitte, wie z. B. der obere Flanschabschnitt 420a, bestehen ebenfalls aus rostfreiem Stahl. Der obere Flanschabschnitt 420a ist mit dem Boden 401a verschraubt. Der untere Flanschabschnitt (nicht gezeigt) ist an der Platte 426 (Fig. 4B) befestigt.
  • Die Ausgleichsbalgablassvorrichtung 526 beseitigt Gase aus dem Bereich 427. In den Bereich 427 wird durch die Ausgleichsbalgablassvorrichtung 526 Spülgas mit einem Druck eingeleitet, der höher ist als der Druck in der Reaktionskammer 403. Folglich werden Gase, die andernfalls aus der Reaktionskammer 403 austreten würden, in die Reaktionskammer 403 zurückgedrückt. Das Spülgas tritt ebenfalls in die Reaktionskammer 403 ein, da jedoch das Spülgas durch den Boden 403a in die Unterseite der Reaktionskammer 403 eintritt und die Strömung in der Reaktionskammer 403 abwärts in Richtung der Abgasleitungen 409a, 409b gerichtet ist, wird das Spülgas durch die Abgasleitungen 409a, 409b schnell aus der Reaktionskammer 403 ausgestoßen. Das restliche Spülgas im Bereich 427 sowie sonstige Prozessgase, die in den Bereich 427 ausgetreten sind, werden durch das Abgasrohr 527 abgelassen. Bei einer Ausführungsform erzeugt eine Unterdruckpumpe einen Unterdruck von etwa 10 Torr im Abgasrohr 527, um die Beseitigung von Gasen und Partikeln aus dem Bereich 427 zu unterstützen. Während der Verarbeitung des Wafers 511 im Reaktor 400 wird Wasserstoff als Spülgas verwendet, das durch die Ausgleichsbalgablassvorrichtung 526 geleitet wird, da etwas Spülgas in die Reaktionskammer 403 eintritt. Nach der Verarbeitung des Wafers 511 wird Stickstoff als Spülgas verwendet.
  • Wie in Fig. 5E und 5F gezeigt, wird der Suszeptor 402 von der Welle 516 getragen. Das Ende der Welle 516, das dem Ende gegenüberliegt, das an der Unterseite des Suszeptors 402 befestigt ist, weist eine konische Form auf und ist mittels eines Zapfens (nicht gezeigt) in eine konisch geformte Aussparung eingesetzt und an dieser befestigt, die an einem Ende der Welle 416 gebildet ist. Durch die Passung zwischen dem konischen Ende der Welle 516 und der konischen Aussparung der Welle 416 wird gewährleistet, dass der Suszeptor 402 waagerecht bleibt (d. h. dass er nicht wackelt), wenn die Welle 416 während des Betriebs des Reaktors 400 gedreht wird. Es ist wichtig, den Suszeptor 402 waagerecht zu halten, um sicherzustellen, dass Materialschichten, die auf den Wafer 511 während des Betriebs des Reaktors 400 aufgedampft werden, gleichmäßig auf der Oberfläche des Wafers 511 aufgedampft werden.
  • Alternativ könnte die Welle 516 mit einem zylindrischen Ende anstatt mit einem konischen Ende und die Welle 416 mit einem zylindrischen Passloch gebildet werden, wenn festgestellt wird, dass eine solche Verbindung ein Wackeln des Suszeptors 402 verringert, wenn sich dieser dreht. Wichtig ist, dass die Verbindung der Wellen 416 und 516 so beschaffen ist, dass der Suszeptor 402 während der Drehung des Suszeptors 402 waagerecht bleibt.
  • Bei einer alternativen Ausführungsform ist das Ende der Welle 516, das in die Welle 416 eingesetzt ist, zylindrisch und weist einen sechskantigen Querschnitt auf. Eine passende sechskantige Aussparung ist in der Welle 416 gebildet. Die Welle 516 wird durch das Gewicht des Suszeptors 402 in der Aussparung, die in der Welle 416 gebildet ist, in Position gehalten. Durch die Passung zwischen dem sechskantigen Ende der Welle 516 und der sechskantigen Aussparung der Welle 416 wird gewährleistet, dass der Suszeptor 402 in Bezug auf die Zapfen, die dazu verwendet werden, den Wafer 511 (gemäß einer Ausführungsform der Erfindung, die weiter unten ausführlicher beschrieben wird) über den Suszeptor 402 anzuheben, korrekt ausgerichtet ist, so dass sich diese Zapfen durch die entsprechenden Löcher im Suszeptor 402 erstrecken können. Alternativ könnte das Ende des Suszeptors 516 eine andere Querschnittsform aufweisen, wie z. B. eine quadratische Form, die den Suszeptor 402 korrekt ausgerichtet hält. Das Ende des Suszeptors minimiert ebenfalls das Wackeln des Suszeptors 402, so dass die Oberfläche des Suszeptors 402, die den Wafer 511 trägt, während der Drehung des Suszeptors 402 waagerecht gehalten wird.
  • Die Welle 516 kann beispielsweise aus Quarz, Graphit oder jedem beliebigen Keramikmaterial bestehen, das den Betriebsbedingungen in der Reaktionskammer 403 standhält (d. h. hohe Temperatur, gashaltige Atmosphäre). Bei einer Ausführungsform der Erfindung besteht die Welle 516 aus Quarz. Verglichen mit Graphit absorbiert Quarz verhältnismäßig wenig Wärme, so dass, wenn die Welle 516 aus Quarz besteht, eine geringere Wahrscheinlichkeit besteht, dass sich die Welle 516 erwärmen und möglicherweise Temperaturungleichmäßigkeiten im Wafer 511, der auf dem Suszeptor 402 angebracht ist, hervorrufen wird. Die Welle 416 besteht beispielsweise aus rostfreiem Stahl.
  • Bei der Ausführungsform der Erfindung, die in Fig. 5E und 5F gezeigt ist, ist erwünscht, dass der Träger für den Suszeptor 402 in zwei Abschnitten gebildet ist, d. h. den Wellen 416 und 516, da die Welle 516 bei der bevorzugten Ausführungsform einstückig mit dem Suszeptor 402 gebildet ist. Wie weiter unten beschrieben wird, ist es wünschenswert, verschiedene Suszeptoren 402 für die Verarbeitung verschieden großer Wafer, wie z. B. des Wafers 511, zu verwenden. Daher muss die Suszeptorauflage mit zwei Wellen 416 und 516 gebildet sein, so dass die Welle 516 leicht von der übrigen Suszeptorauflage getrennt werden kann, wenn gewünscht wird, zu einem anderen Suszeptor 402 zu wechseln.
  • Fig. 9A und 9B sind eine Draufsicht und eine Querschnittsansicht der Suszeptorauflage 916 gemäß einer anderen Ausführungsform der Erfindung. Die Suszeptorauflage 916 umfasst die Suszeptorauflagewelle 916a sowie drei Arme 916b, 916c, 916d, die sich rechtwinklig zu und an einem Ende der Suszeptorauflagewelle 916a erstrecken. Die Arme 916b, 916c, 916d weisen zueinander denselben Abstand auf.
  • Die Schlitze 916e sind an gegenüberliegenden Seiten der Welle der Suszeptorauflage 916 gebildet. In der Welle sind Passfedern gebildet, in die die Welle der Suszeptorauflage 916 passt, so dass das Drehmoment verteilt und ein Brechen der Suszeptorauflage 916 verhindert wird.
  • Am Ende jedes Arms 916b, 916c, 916d ist ein Sockel gebildet, der in ein entsprechendes Loch passt, das in der Unterseite des Suszeptors gebildet ist. Die Sockel halten den Suszeptor lateral in Position.
  • Fig. 11D, die weiter unten ausführlicher beschrieben wird, veranschaulicht einen Suszeptor 1102, der auf einer Suszeptorauflage 916 angebracht ist. Wie aus Fig. 11D ersichtlich ist, erstrecken sich die Arme 916b, 916c, 916d etwa über die Hälfte des Abstands vom Mittelpunkt des Suszeptors 1102 zur Kante des Suszeptors 1102. Gemäß einer Ausführungsform der Erfindung erstrecken sich die Arme 916b, 916c, 916d über ein Fünftel bis zwei Drittel des Abstands vom Mittelpunkt des Suszeptors 1102 zur Kante des Suszeptors 1102.
  • Die Suszeptorauflage 916 berührt den Suszeptor nur an den Sockeln, die am Ende der Arme 916b, 916c, 916d gebildet sind. Dies ist wünschenswert, damit nur die Sockel die Wärmeverteilung auf der Rückseite des Suszeptors beeinflussen. Wie aus Fig. 11D ersichtlich, sind die Sockel so angeordnet, dass sie nicht unterhalb der Wafer 1101a, 1101b, 1101c liegen. Allgemein ist eine Suszeptorauflage, die der Suszeptorauflage 916 entspricht, gemäß der Erfindung so gebildet, dass die Sockel den Suszeptor nicht an einer Stelle berühren, die unterhalb eines Wafers liegt, der auf dem Suszeptor angeordnet ist.
  • Eine Suszeptorauflage, wie z. B. die Suszeptorauflage 916, die unter Bezugnahme auf Fig. 9A und 9B beschrieben ist, weist verschiedene Vorteile gegenüber einer Suszeptorauflage auf, die Arme aufweist, die sich zur Kante des Suszeptors erstrecken. Erstens ist ein solcher Suszeptor kostengünstiger, da zur Herstellung der Suszeptorauflage weniger Material benötigt wird. Zweitens können die Arme mit einem kleineren Querschnitt hergestellt werden (wodurch weniger Material verwendet wird), während eine angemessene konstruktive Integrität beibehalten wird, da sich die Arme über eine kürzere Entfernung erstrecken.
  • Fig. 10A und 10B sind eine Draufsicht und eine Seitenansicht der Suszeptorauflage 1016 gemäß einer anderen Ausführungsform der Erfindung. Die Suszeptorauflage 1016 umfasst die Auflagewelle 1016a, von der aus sich der Auflagearm 1016b erstreckt. Am Ende des Auflagearms 1016b ist die Suszeptorbefestigung 1016c gebildet.
  • Fig. 10C ist eine Draufsicht des Suszeptors 1002 zur Verwendung mit der Suszeptorauflage 1016 aus Fig. 10A und 10B. Fig. 10D ist eine Querschnittsansicht des Suszeptors aus Fig. 10C, die entlang der Schnittlinie 10C-10C genommen ist. Ein Wafer (nicht gezeigt) ist in einer Aussparung 1002a angeordnet, die im Suszeptor 1002 gebildet ist. Ein Suszeptorauflageschlitz 1002b ist durch den Suszeptor 1002 hindurch gebildet. Der Auflagearm 1016b passt in den Suszeptorauflageschlitz 1002b. Der abgewinkelte Abschnitt des Suszeptorauflageschlitzes 1002b liegt am Auflagearm 1016b an, um den Suszeptor 1002 vertikal in Position zu halten. Der abgewinkelte Abschnitt und die Seitenwände des Suszeptorauflageschlitzes 1002b liegen am Auflagearm 1016b an, um den Suszeptor 1002 lateral in Position zu halten. Alternativ kann der Auflagearm 106b einstückig mit dem Suszeptor 1002 gebildet sein.
  • Als Teil der Verarbeitung des Wafers 511 mit dem Reaktor 400 ist es erforderlich, den Wafer 511 vor Beginn des Verfahrens auf dem Suszeptor 402 in der Reaktionskammer 403 anzuordnen und den verarbeiteten Wafer 511 nach Abschluss des Verfahrens aus der Reaktionskammer 403 herauszunehmen. Wenn gewünscht wird, den Wafer 511 aus der Reaktionskammer 403 zu entnehmen oder ihn in die Reaktionskammer 403 hineinzugeben, wird der Suszeptor 402 in eine bestimmte Position gedreht (die als "Ausgangsposition" bezeichnet wird), die die Entnahme des Wafers 511 ermöglicht. Wenn der Wafer 511 auf den Suszeptor 402 gelegt oder diesem entnommen wird, wird der Suszeptor 402 in eine Position nahe des Bodens 401a abgesenkt.
  • Fig. 5E zeigt den Suszeptor 402 in einer abgesenkten Position, die eine Vorbereitung für das Laden des Wafers 511 auf den Suszeptor 402 darstellt. Eine Mehrzahl von Befestigungsstäben, wie z. B. die Befestigungsstäbe 512a, 512b, ist am Boden 401a befestigt. Die Befestigungsstäbe, wie z. B. der Befestigungsstab 512a, bestehen aus rostfreiem Stahl oder Graphit. Entsprechende Löcher, wie z. B. die Löcher 531a, 532a und 533a, die dem Befestigungsstab 512a entsprechen, sind jeweils in der Widerstandsheizung 407, der Quarzschicht 508 und dem Suszeptor 402 gebildet. Waferauflagezapfen, wie z. B. die Waferauflagezapfen 513a, 513b, sind in zylindrischen Aussparungen befestigt, die für die Waferauflagezapfen 513a, 513b in den Enden der Befestigungsstäbe, wie z. B. den Befestigungsstäben 512a, 512b, gebildet sind. (Im Folgenden werden die Befestigungsstäbe, die Waferauflagezapfen und die entsprechenden Löcher im Allgemeinen als Befestigungsstäbe 512, Waferauflagezapfen 513 und Löcher 531, 532 und 533 bezeichnet, obwohl diese numerischen Bezeichnungen in den Figuren nicht erscheinen, außer wenn auf einen bestimmten Befestigungsstab, einen bestimmten Waferauflagezapfen oder ein bestimmtes entsprechendes Loch, beispielsweise den Befestigungsstab 512a, Bezug genommen wird.) Wenn sich der Suszeptor 402 in der Position befindet, die in Fig. 5E gezeigt ist, erstrecken sich die Befestigungsstäbe 512 durch die Löcher 531, 532, 533 und greifen in die Waferauflagezapfen 513 ein, so dass die Waferauflagezapfen 513 über die Oberfläche des Suszeptors 402 angehoben werden, auf der der Wafer 511 angebracht werden soll.
  • Die Tür 413 (in Fig. 5E und 5F nicht gezeigt), durch die der Wafer 511 in die Reaktionskammer 403 hineingegeben und aus dieser herausgenommen wird, ist an einer Seite des Gefäßes 401 vorgesehen. Der Wafer 511 kann entweder mit Hilfe eines Robotersystems oder eines manuellen mechanischen Systems auf dem Suszeptor 402 angeordnet oder von diesem entfernt werden. Wenn das Robotersystem verwendet wird, ist der Roboter so programmiert, dass der Roboterarm um die korrekte Distanz ausführt, um den Wafer 511 aufzunehmen oder den Wafer 511 akkurat in einer vorbestimmten Position auf dem Suszeptor 402 anzuordnen. Wenn das manuelle System verwendet wird, sind mechanische Anschläge angeordnet, um die Bewegung des Waferhandhabungsarms zu begrenzen, so dass der Arm, wenn er gegen die Anschläge stößt, korrekt positioniert ist, um den Wafer 511 von dem Suszeptor 402 zu nehmen oder ihn auf diesem anzuordnen. Somit wird mit beiden Systemen eine gute Steuerung der Positionierung des Wafers 511 auf dem Suszeptor 402 erreicht.
  • Nachdem der Wafer 511 auf den Waferauflagezapfen 513 positioniert ist, wird der Waferhandhabungsarm aus der Reaktionskammer 403 entfernt und die Tür 413 geschlossen. Der Suszeptor 402 wird in die Position angehoben, in der der Suszeptor 402 während der Verarbeitung des Wafers 511 (Fig. 5F) gehalten wird. Wenn der Suszeptor 402 angehoben ist, werden die Befestigungsstäbe 512 durch die Löcher 531, 532, 533 zurückgezogen. Die Waferauflagezapfen 513 werden durch die Löcher 533 zurückgezogen. Schließlich werden die Waferauflagezapfen 513 zurückgezogen, so dass die kegelförmigen Enden der Waferauflagezapfen 513 in den kegelförmigen Abschnitten der Löcher 533 aufsitzen. Zu diesem Zeitpunkt schließen die Waferauflagezapfen 513 mit der Oberfläche des Suszeptors 402, auf der der Wafer 511 angebracht ist, bündig ab, so dass der Wafer 511 auf dem Suszeptor 402 ruht.
  • Fig. 11A ist eine ausführliche Querschnittsansicht des Waferauflagezapfens 513, der im kegelförmigen Abschnitt des Lochs 533 im Suszeptor 402 zu dem Zeitpunkt aufsitzt, zu dem der Befestigungsstab 512 gerade weit genug zurückgezogen ist, um ein Aufsitzen des Waferauflagezapfens 513 zu ermöglichen.
  • Fig. 11B ist eine ausführliche Querschnittsansicht des Waferauflagezapfens 1113 gemäß einer anderen Ausführungsform der Erfindung zu dem Zeitpunkt, zu dem der Befestigungsstab 1114 weit genug zurückgezogen ist, um ein Aufsitzen des Waferauflagezapfens 1113 zu ermöglichen. Der Waferauflagezapfen 1113 weist einen zylindrischen Kopf auf, der in einer zylindrischen Aussparung 1133a aufsitzt, die im Suszeptor 1103 gebildet ist. Der Waferauflagezapfen 1113 umfasst weiterhin eine zylindrische Welle, die sich durch das Loch I 133b erstreckt, das im Suszeptor 1103 gebildet ist. In der zylindrischen Welle ist eine zylindrische Aussparung vorgesehen, in die sich der Befestigungsstab 1114 erstreckt. Alternativ könnte die zylindrische Welle mit einem geringeren Durchmesser und ohne Aussparung gebildet sein und sich in eine Aussparung erstrecken, die in einer passenden Welle gebildet ist, die den Befestigungsstab 1114 ersetzen würde. Der Befestigungsstab 1114 und der Waferauflagezapfen 1113 wirken wie oben für den Waferauflagezapfen 513 und den Befestigungsstab 512 beschrieben.
  • Fig. 11C ist eine ausführliche Querschnittsansicht des Waferauflagezapfens 1123 gemäß einer anderen Ausführungsform der Erfindung zu dem Zeitpunkt, zu dem der Befestigungsstab 1122 weit genug zurückgezogen ist, um ein Aufsitzen des Waferauflagezapfens 1123 zu ermöglichen. Der Waferauflagezapfen 1123 ist kegelförmig und weist eine Vertiefung auf, die in der Spitze des Kegels gebildet ist. Der Waferauflagezapfen 1123 sitzt in einer kegelförmigen Aussparung 1143a, die im Suszeptor 1104 gebildet ist. Der Befestigungsstab 1122 erstreckt sich durch das Loch 1143b, das durch den Suszeptor 1104 hindurch gebildet ist und passt in die Vertiefung, die im Waferauflagezapfen 123 gebildet ist. Der Befestigungsstab 1122 und der Waferauflagezapfen 1123 wirken in gleicher Weise, wie oben für den Waferauflagezapfen 513 und den Befestigungsstab 512 beschrieben.
  • Die Waferauflagezapfen 513, 1113 oder 1123 bestehen aus Quarz, Siliziumkarbid oder Graphit. Vorzugsweise bestehen die Waferauflagezapfen 513, 1113 oder 1123 aus demselben Material wie der entsprechende Suszeptor 402, 1103 oder 1104 (oder zumindest wie der Bereich des Suszeptors 402, 1103 oder 1104, der die Waferauflagezapfen 513, 1113 oder 1123 umgibt), so dass die Wärmeübertragungseigenschaften der Waferauflagezapfen 513, 1113 oder 1123 dieselben sind wie die des umgebenden Suszeptors 402, 1103 oder 1104. Die Waferauflagezapfen 513, 1113 oder 1123 müssen passgenau im ausgesparten Abschnitt der Löcher im Suszeptor 402, 1103 oder 1104 sitzen, so dass die Reaktantgase nicht in die Löcher strömen können.
  • Wie weiter unten ausführlicher beschrieben wird, benötigen Wafer unterschiedlicher Größen jeweils einen anderen Suszeptor 402, da die Wafer je nach Wafergröße an verschiedenen Stellen auf dem Suszeptor 402 angeordnet werden. Weiterhin variiert die Anzahl und die Position der Befestigungsstäbe 512, der Waferauflagezapfen 513 und der Löcher 531, 532, 533 mit dem jeweiligen verwendeten Suszeptor 402. Demzufolge werden verschiedene Befestigungsstäbe 512 zum Anheben und Absenken der Wafer unterschiedlicher Größen verwendet.
  • Die Positionen der Befestigungsstäbe 512 für jede Wafergröße sind in Fig. 5D gezeigt. Für 125 mm (5 Zoll), 150 mm (6 Zoll) und 200 mm (8 Zoll) werden die Befestigungsstäbe 512b, 512d und 512e verwendet. Wahlweise können die Befestigungsstäbe 512a, 512b, 512c und 512d für 200 mm (8 Zoll) Wafer verwendet werden. Für 250 mm (10 Zoll) Wafer werden die Befestigungsstäbe 512a, 512c, 512f und 512g verwendet. Für 300 mm (12 Zoll) Wafer werden die Befestigungsstäbe 512f, 512g, 512h und 512i verwendet.
  • Wie weiter unten ausführlicher beschrieben wird, werden bei anderen Ausführungsformen der Erfindung ein Waferumgebungsring bzw. Waferumgebungsringe in der Tasche bzw. den Taschen, die im Suszeptor gebildet sind, so angeordnet, dass jeder Waferumgebungsring einen Wafer umgibt, der in der Tasche angeordnet ist, und mindestens unter einem Abschnitt des Wafers liegt. Bei diesen Ausführungsformen können die Waferauflagezapfen, die den oben beschriebenen entsprechen, so gebildet sein, dass sie beim Absenken des Suszeptors den Waferumgebungsring berühren und anheben. Der Wafer bzw. die Wafer können daraufhin im Waferumgebungsring angeordnet werden, und der Suszeptor kann angehoben werden, so dass der Wafer bzw. die Wafer im Waferumgebungsring sitzen. Nach der Verarbeitung des Wafers bzw. der Wafer wird der Suszeptor wieder abgesenkt, und die Waferauflagezapfen heben den Waferumgebungsring über den Suszeptor an. Da der Wafetumgebungsring bzw. die Waferumgebungsringe mindestens unter einem Abschnitt des Wafers bzw. der Wafer liegen, werden die Wafer ebenfalls über den Suszeptor angehoben, so dass die Wafer leicht aus der Reaktionskammer entnommen werden können.
  • Fig. 11D ist eine Draufsicht des Suszeptors 1102 gemäß der Erfindung zum Halten von drei Wafern. Die Waferumgebungsringe 1101a, 1101b, 1101c, die weiter unten ausführlicher beschrieben werden, werden in entsprechenden Taschen des Suszeptors 1102 angeordnet. Der Suszeptor 1102 ruht auf der Suszeptorauflage 916.
  • Fig. 11E ist eine vereinfachte Querschnittsansicht des Waferumgebungsrings 1101a, der mit Hilfe der Waferauflagezapfen 1112a, 1112b, 1112c, 1112d über den Suszeptor 1102 angehoben ist. Aus Gründen der Übersichtlichkeit wurde die Suszeptorauflage 916 aus Fig. 11E ausgelassen. Die Waferauflagezapfen 1112a, 1112b, 1112c, 1112d sind mit der Auflagezapfenplatte 1115 einstückig gebildet. Alternativ können die Waferauflagezapfen 1112a, 1112b, 1112c, 1112d an die Auflagezapfenplatte 1115 angeschweißt oder in Löcher eingeschraubt sein, die in der Auflagezapfenplatte 1115 vorgesehen sind. Die Auflagezapfenplatte 1115 ist am Boden 401a des Gefäßes 401 beispielsweise mit Muttern und Schrauben befestigt, oder die Auflagezapfenplatte 1115 kann am Boden 401a verstiftet sein.
  • Bei einer Ausführungsform weist die Rückseite jedes Waferumgebungsrings, wie z. B. des Waferumgebungsrings 1101a, Vertiefungen auf, in die entsprechende Waferauflagezapfen, wie z. B. die Waferauflagezapfen 1112a, 1112b, 1112c, 1112d, passen, wenn der Suszeptor 1102 abgesenkt wird, so dass die Waferauflagezapfen 1112a, 1112b, 1112c, 1112d den Waferumgebungsring 1101a berühren.
  • Entsprechend weist jeder der Waferauflagezapfen, wie z. B. die Waferauflagezapfen 112a, 1112b, 1112c, 1 l 12d, einen kegelförmigen Kopf auf, der in die entsprechende Vertiefung passt, die im Waferumgebungsring 1 101a gebildet ist. Die Waferauflagezapfen 1112a, 1112b, 1112c, 1112d bestehen beispielsweise aus Quarz, Graphit, Keramik oder rostfreiem Stahl. Obwohl vier Waferauflagezapfen gezeigt sind, könnten drei oder fünf oder mehr verwendet werden.
  • Wie aus Fig. 5E und 5F ersichtlich, ist nahezu nichts von der Suszeptorauflagekonstruktion der Reaktionskammer 403 ausgesetzt. Nur ein kleiner Abschnitt der Welle 516 und ein veränderlicher Abschnitt der ringförmigen Welle 419 (abhängig von der Position des Suszeptors 402) sind der Reaktionskammer 403 ausgesetzt. Der mittlere Abschnitt der Welle 516 ist von einer Quarzschicht 507 umgeben, die ebenfalls dazu dient, die Welle 416 und den unteren Abschnitt der Welle 516 im Wesentlichen gegen die Reaktionskammer 403 abzudichten. Da die Widerstandsheizung 407 mit dem Suszeptor 402 angehoben oder abgesenkt wird, trifft dies zu, gleich ob sich der Suszeptor 402 in einer abgesenkten Position, wie in Fig. 5E, oder in einer angehobenen Position, wie in Fig. 5F, befindet.
  • Es ist von Bedeutung, dass sich beide Motoren 415 und 417 (Fig. 4A und 4B) außerhalb der Reaktionskammer 403 befinden. Da die meisten Komponenten der Konstruktion zum Tragen und Bewegen des Suszeptors 402 außerhalb der Reaktionskammer 403 angeordnet sind, gibt es im Vergleich zu herkömmlichen Reaktoren weniger Oberflächen, auf denen sich die Prozessgase unerwünschterweise ablagern können. Somit sind bei nachfolgenden Verwendungen des Reaktors 400 weniger Verunreinigungsstoffe vorhanden, die die Materialschicht, die auf den Wafer 511 aufgedampft wird, nachteilig beeinflussen können oder die Erwärmungseigenschaften des Reaktors 400 verändern können.
  • Wie oben angemerkt, kann der Suszeptor 402 gedreht werden. Der Suszeptor 402 kann sowohl im Uhrzeigersinn als auch gegen den Uhrzeigersinn gedreht werden. Durch die Drehung des Suszeptors 402 wird die Position jedes Punktes auf der Oberfläche des Wafers 511 (abgesehen von einem Punkt, der mit der Drehachse des Suszeptors 402 übereinstimmt) im Verhältnis zur durchschnittlichen Gasströmung, die an dem Wafer 511 vorbeiströmt, während des Betriebes des Reaktors 400 fortwährend verändert. Demzufolge werden die Auswirkungen von Ungleichmäßigkeiten bei der Erwärmung oder der Gasverteilung, die andernfalls Ungleichmäßigkeiten in einem Film, der auf dem Wafer 511 aufgedampft wird, sowie Versetzungen und Abgleitung auf dem Wafer 511 hervorrufen würden, wesentlich vermieden. Durch die Drehung werden die Ungleichmäßigkeiten bei der Erwärmung oder der Gasverteilung über die obere Fläche 511a des Wafers 511 (Fig. 5F) verteilt anstatt ihre Konzentration auf einer bestimmten Stelle zu ermöglichen. Typischerweise wird der Suszeptor 402 mit einer Drehzahl von 0,5 bis 30 U/min gedreht. Die genaue Drehzahl wird als Teil des "Einstellungsverfahrens" des Reaktors 400 empirisch bestimmt, nachdem der Reaktor 400 für eine spezifische Anwendung bestimmt wurde.
  • Wie aus Fig. 5E und 5F ersichtlich, ist die Widerstandsheizung 407 an der ringförmigen Welle 419 befestigt, so dass die Widerstandsheizung 407 um einen geringen Abstand unterhalb des Suszeptors 402 angeordnet ist. Obwohl die Widerstandsheizung 407 und der Suszeptor 402 einander nicht berühren dürfen, da die Drehung des Suszeptors 402 zwischen dem Suszeptor 402 und der Widerstandsheizung 407 Verschleiß verursachen würde, der unerwünschte Partikel erzeugen und möglicherweise den Suszeptor 402 oder die Widerstandsheizung 407 beschädigen würde, besteht idealerweise ein minimaler Abstand zwischen der Widerstandsheizung 407 und dem Suszeptor 402. Bei einer Ausführungsform ist die Widerstandsheizung 407 etwa 0,5 Zoll (1,3 cm) unterhalb des Suszeptors 402 angeordnet. Da sich die Widerstandsheizung 407 mit dem Suszeptor 402 auf und abbewegt, wenn der Suszeptor 402 in der Reaktionskammer 403 auf und abbewegt wird, liefert die Widerstandsheizung 407 dem Wafer 511 für einen gegebenen Leistungspegel unabhängig von der Position des Suszeptors 402 in der Reaktionskammer 403 dieselbe Wärmemenge.
  • Zu Beginn der Verarbeitung des Wafers 511 im Reaktor 400 liefern die Lampen 505 und die Widerstandsheizung 407 Wärme, so dass die Temperatur des Wafers 511 so schnell und gleichmäßig wie möglich erhöht wird, ohne übermäßige Spannungen im Wafer zu erzeugen. Von jeder der Lampen 505 und der Widerstandsheizung 407 können unterschiedliche Wärmemengen geliefert werden. Die Wärmemenge, die von jeder Lampe 505 und der Widerstandsheizung 407 geliefert wird, ist basierend auf einer zuvor vorgenommenen Temperaturkalibrierung vorherbestimmt. Wenn die Temperatur im Reaktor 400 eine Temperatur innerhalb des Betriebsbereiches des Temperatursensors des Reaktors, wie z. B. des Thermopaars 525, erreicht, werden die Lampengruppen 505 und die Widerstandsheizung 407, basierend auf der gemessenen Temperatur im Reaktor 400, separat geregelt, um je nach Bedarf variierende Wärmemengen bereitzustellen und eine im Wesentlichen gleichmäßige Temperatur im Wafer 511 aufrechtzuerhalten, wenn der Wafer 511 auf die Verarbeitungstemperatur gebracht wird.
  • Eine Mehrzahl von gesteuerten Siliziumgleichrichtern (SCRs) steuert den Strom, der an beide Wärmequellen angelegt wird, und somit die Wärmemenge von jeder der Wärmequellen. Bei der Ausführungsform der Erfindung, die in Fig. 4A, 4B, 5A, 5B, 5C, 5D, 5E und 5F gezeigt ist, werden sieben gesteuerte Siliziumgleichrichter verwendet. Die gesteuerten Siliziumgleichrichter 1 und 2 steuern die Widerstandsheizung 407. Da die Wärmemenge, die von der Widerstandsheizung 407 erzeugt wird, direkt proportional zur Größe der Spannung, die an die Heizelemente der Widerstandsheizung 407 angelegt wird, und zur Stärke des Stroms ist, der durch die Heizelemente der Widerstandsheizung 407 fließt, ändern die gesteuerten Siliziumgleichrichter 1 und 2 die Stärke des Stroms, der durch die Heizelemente der Widerstandsheizung 407 fließt, um die Wärmemenge zu vergrößern oder zu verringern, die von der Widerstandsheizung 407 geliefert wird. Die gesteuerten Siliziumgleichrichter 3 bis 7 steuern je eine Lampengruppe 505. Die Strahlungsenergie jeder Lampe 505 ist direkt proportional zur Spannung, die an die Lampe 505 angelegt wird, und zum Strom, der durch die Lampe 505 fließt. Daher steuert jeder der gesteuerten Siliziumgleichrichter 3 bis 7 den Strom, der durch die zugehörigen Lampen 505 fließt, um die Wärmemenge, die von diesen Lampen 505 geliefert wird, zu verändern.
  • Fig. 12A ist eine vereinfachte Draufsicht einer Lampengruppe 1200 von Lampen 505 gemäß einer Ausführungsform der Erfindung. Wie zuvor erwähnt, sind sechzehn Lampen 505 vorgesehen, d. h. 505a, 505b, 505c, 505d, 505e, 505f, 505g, 505h, 5051, 505j, 505k, 5051, 505m, 505n, 5050, 505p. Die sechzehn Lampen 505 sind in fünf Gruppen angeordnet. Der gesteuerte Siliziumgleichrichter 3 betreibt zwei Seitenlampen 505a und 505b. Der gesteuerte Siliziumgleichrichter 4 betreibt die vier äußersten Lampen 505c, 505d, 505m und 505p in der mittleren Reihe der Lampen SOS. Der gesteuerte Siliziumgleichrichter 5 betreibt die beiden mittleren Lampen 505e und 505f in der mittleren Reihe. Der gesteuerte Siliziumgleichrichter 6 betreibt die Lampen 505g, 505h, 505i und 505j, und der gesteuerte Siliziumgleichrichter 7 betreibt die Lampen 505k, 5051, 505n und 5050.
  • Gemäß der Erfindung können die Lampen 505 parallel oder in einer Kombination aus Reihen- /Parallelschaltung geschaltet werden. Bei der bevorzugten Ausführungsform der Erfindung sind alle Lampen 505 parallel geschaltet und werden mit einer 480 Volt-Stromversorgung betrieben. Wenn beispielsweise zwei Lampen 505 in Reihe geschaltet wären, wäre es nötig, für den Betrieb der Lampen 505 eine 960 Volt-Stromversorgung zu verwenden.
  • Die Steuerung der Lampen 505 und der Widerstandsheizung 407 zur Veränderung der Wärmemenge, die von denselben während des Betriebes des Reaktors 400 geliefert wird, erfolgt mit Hilfe eines Computers. Wenn der Reaktor 400 zu Beginn des Betriebes beginnt, sich zu erwärmen, steuert der Computer jede Lampengruppe 505 und die Widerstandsheizung automatisch gemäß parametrischen Informationen, die im Computer gespeichert sind, und basierend auf vorherigen Temperaturkalibrierungen, die mit dem Reaktor 400 durchgeführt wurden. Die parametrischen Informationen, die aus den Kalibrierungsdurchläufen erhalten wurden, werden vom Computer verwendet, um die Ströme des gesteuerten Siliziumgleichrichters und der Widerstandsheizung zu verändern, um die korrekten räumlichen und zeitlichen Wärmeverteilungen zu erzielen, die für die Aufrechterhaltung einer im Wesentlichen gleichmäßigen Temperatur im Wafer 511 während der anfänglichen Erwärmung des Wafers 511 erforderlich sind.
  • Mit Hilfe der Computersteuerung kann eine Anzahl verschiedener Leistungsanstiegsgeschwindigkeiten während der anfänglichen Erwärmung des Wafers 511 festgelegt werden. Bei einer Ausführungsform der Erfindung können bis zu 30 verschiedene Anstiegsgeschwindigkeiten während der anfänglichen Erwärmung verwendet werden, indem der Computer zuvor in geeigneter Weise programmiert wird. Die verwendeten Leistungsanstiegsgeschwindigkeiten werden mit Hilfe einer Reihe von Testläufen des Reaktors 400 empirisch bestimmt, so dass eine im Wesentlichen gleichmäßige Temperatur im Wafer 511 aufrechterhalten wird und, falls es für das Verfahren angemessen ist, eine Abgleitung des Wafers minimiert wird.
  • Wenn die Temperatur in der Reaktionskammer 403 ein Niveau erreicht, bei dem der verwendete Temperatursensor genau arbeitet (z. B. 800 bis 1100ºC, falls das Thermopaar 525 als Temperatursensor verwendet wird), schaltet der Computer von der oben beschriebenen automatischen Steuerung auf die Feedback-Steuerung um. Die erfasste Temperatur wird vom Computer überwacht und zusammen mit den gespeicherten parametrischen Informationen bezüglich der Lampen 505 und der Widerstandsheizung 407 dazu verwendet, die Ströme der gesteuerten Siliziumgleichrichter und der Widerstandsheizung 407 angemessen einzustellen, um die Wärmeabgabe der Lampen 505 und der Widerstandsheizung 407 in geeigneter Weise zu steuern und die Temperaturverteilung im Wafer 511 innerhalb der vorbestimmten Grenzwerte aufrechtzuhalten. Der Strom, der an die Lampen 505 angelegt wird, wird als Ganzes erhöht oder verringert; jedoch ist das Leistungsverhältnis zwischen den Lampen typischerweise auf einen Wert, der von 1 verschieden ist, festgelegt, so dass eine Erhöhung des Stroms, der an die Lampen 505 angelegt wird, unterschiedliche Ausmaße der Erhöhung an einzelnen Lampengruppen gemäß den (während der Kalibrierungsläufe) vorherbestimmten Leistungsverhältnissen der Lampengruppen zur Folge hat.
  • Eine Seitenansicht der mittleren Lampenreihe SOS aus Fig. 12A ist in Fig. 5A zu sehen. Die Lampen 505, die sich nahe der Mitte der Reihe (und somit oberhalb der Mitte des Suszeptors 402) befinden, wie z. B. die Lampen 505e und 505f, sind weiter von der Oberfläche des Suszeptors 402 und daher von der Oberfläche 511a (Fig. 5F) des Wafers 511 entfernt angeordnet als die Lampen 505, die sich an beiden Enden der Reihe befinden, wie z. B. die Lampen 505c und 505d. Obwohl erwartet werden könnte, dass die Lampen 505c und 505d so betrieben werden, dass sie mehr Wärme liefern als die Lampen 505e und 505f, so dass der Kante 511c (Fig. 5F) des Wafers 511 mehr Wärme bereitgestellt wird und dem bekannten Wärmeverlust an der Waferkante 511c entgegengewirkt und eine im Wesentlichen gleichmäßige Temperatur im Wafer 511 aufrechterhalten wird, ist dies folglich nicht notwendigerweise der Fall, da die Wärme von den Lampen 505e und 505f, verglichen mit den Lampen 505c und 505d, einen längeren Weg zurücklegen muss, bevor sie vom Wafer 511 absorbiert wird.
  • Bei Ausführuttgsformen des Reaktors 400 ohne Widerstandsheizung 407 und mit Passivwärmeverteilungselement 727 (Fig. 7E) werden die Lampen 505a, 505b, 505c, 505d (Fig. 5A und 5B), die auf die Kante 511c des Wafers 51 I gerichtet sind, während der anfänglichen Erwärmung des Wafers 511 so gesteuert, dass sie etwa 20 bis 30% mehr Energie abstrahlen als die Lampen 505e und 505f, die auf einen Bereich nahe des Mittelpunkts des Wafers 511 gerichtet sind. Wenn die Reaktionskammer 403 die Verarbeitungstemperatur erreicht, werden die Lampen 505a, 505b, 505c, 505d so gesteuert, dass sie etwa doppelt soviel Energie abstrahlen wie die Lampen 505e und 505f. Die anderen Lampen 505 werden so gesteuert, dass sie eine Wärmemenge abstrahlen, die zwischen dem Energieniveau der Lampen 505a, 505b, 505c, 505d und dem der Lampen 505e und 505f liegt. Die genaue Energiemenge, die von den anderen Lampen 505 abgestrahlt wird, wird empirisch bestimmt, so dass Waferabgleitung minimiert und ein akzeptabel gleichmäßiger spezifischer Widerstand erzeugt wird. Es hat sich herausgestellt, dass durch die oben genannten Verhältnisse der Energiemenge, die von verschiedenen Lampengruppen abgestrahlt wird, eine im Wesentlichen gleichmäßige Temperatur im Wafer 511 (bzw. in jedem Wafer, wenn mehr als ein Wafer verarbeitet wird) erzeugt wird, wenn der Wafer 511 erwärmt wird.
  • Bei anderen Ausführungsformen der Erfindung, die die Widerstandsheizung 407 (Fig. 4A, 4B, 5E, 5F) anstelle des Passivwärmeverteilungselements 727 umfassen, besteht ein ähnliches Verhältnis zwischen den abgestrahlten Energiemengen der einzelnen Lampen 505. Die angemessenen Leistungsverhältnisse können mit Hilfe verschiedener Kalibrierungsläufe empirisch bestimmt werden. Es wäre zu erwarten, dass die mittleren Lampen 505e und 505f im Verhältnis zu den äußersten Lampen 505a, 505b, 505c, 505d mehr Energie liefern als bei Ausführungsformen, bei denen die Widerstandsheizung 407 nicht vorhanden oder nicht in Betrieb ist.
  • Es ist von Bedeutung, anzumerken, dass die Lampengruppe 1200, die in Fig. 12A gezeigt ist, Ausführungsformen der Erfindung mit oder ohne Widerstandsheizung 407 aufnimmt. Die Lampengruppe 1200 ist in beiden Ausführungsformen dieselbe; es ist lediglich nötig, Temperaturkalibrierungsläufe durchzuführen, um die angemessenen Leistungsverhältnisse für die jeweiligen Lampengruppen 505 zu ermitteln, so dass eine im Wesentlichen gleichmäßige Temperatur im Wafer 511 aufrechterhalten wird.
  • Zusätzlich können Reaktoren gemäß der Erfindung, die größer als der Reaktor 400 sind, die Lampengruppen 1200 verwenden, wobei es wiederum lediglich nötig ist, Temperaturkalibrierungsläufe durchzuführen, um die angemessenen Leistungsverhältnisse der Lampen zu bestimmen und eine im Wesentlichen gleichmäßige Temperatur im Wafer zu erreichen. Solche größeren Reaktoren könnten dazu verwendet werden, größere Wafer oder pro Durchlauf mehr Wafer einer gegebenen Größe zu verarbeiten, als es mit dem Reaktor 400 möglich ist.
  • Alternativ können bei Reaktoren, die ausreichend größer als der Reaktor 400 sind, zusätzliche Lampen zur Lampengruppe 1200 hinzugefügt werden. Beispielsweise könnten eine oder mehrere zusätzliche Lampen an jeder Seite der Lampengruppe 1200 hinzugefügt werden, d. h. zu den benachbarten Lampen 505a, 505b, 505c, 505d. Zusätzlich können bei Reaktoren, die wesentlich größer als der Reaktor 400 sind, diagonal positionierte Lampen an den Ecken der Lampengruppe 1200 hinzugefügt werden, um die "Lücken" zu füllen, die sich an den Ecken ergeben, wenn nach und nach Lampen an den Enden der Lampengruppe 1200 hinzugefügt werden. Wiederum werden für die oben genannten Lampengruppen Kalibrierungsläufe durchgeführt, um die geeignete Steuerung der Lampen 505 zu bestimmen und während der Verarbeitung, die am Wafer 511 vorgenommen wird, eine im Wesentlichen gleichmäßige Temperatur im Wafer 511 zu erreichen.
  • Fig. 12B ist eine vereinfachte Draufsicht der Lampengruppe 1250 gemäß einer anderen Ausführungsform der Erfindung. Die Lampen 1250a bis 1250r sind in einer Reihe angeordnet, die sich über den Suszeptor 1202 in einer Richtung gesehen erstreckt, die senkrecht zur Oberfläche des Suszeptors 1202 verläuft, in dem der Wafer oder die Wafer positioniert sind. Die Lampen 1250s und 1250t sind senkrecht an und auf einer Seite der Lampenreihe 1250a bis 1250r positioniert, und die Lampen 1250u und 1250v sind an und auf einer gegenüberliegenden Seite der Lampenreihe 1250a bis 1250r angeordnet. Die Lampen 1250w bis 1250z sind diagonal in einer Ecke der Lampengruppe 1250 in der "Lücke" angeordnet, die an jeder Ecke der Lampengruppe 1250 besteht.
  • Bei einer alternativen Ausführungsform der Erfindung ist anstelle der Verwendung der Widerstandsheizung 407 unterhalb des Suszeptors 402 eine Hochfrequenzwärmequelle (HF- Wärmequelle), die eine Induktionsspirale umfasst, unterhalb des Suszeptors 402 angeordnet. Fig. 13A und 13B sind eine Seitenansicht der Induktionsspirale 1311, die unterhalb des Suszeptors 402 angeordnet ist, und eine Draufsicht der Induktionsspirale 1311 gemäß einer Ausführungsform der Erfindung. Die Spirale 1311 ist im Wesentlichen in einer Ebene gewickelt, die parallel zur Ebene des Suszeptors 402 verläuft. Wie aus Fig. 13A ersichtlich, weisen die Windungen der Spirale 1311 einen variierenden Abstand vom Suszeptor 402 auf. An der Kante des Suszeptors 402 verlaufen die Windungen der Spirale 1311 verhältnismäßig nahe am Suszeptor 402. In Richtung des Mittelpunkts des Suszeptors 402 entfernen sich die Windungen der Spirale 1311 verhältnismäßig weiter vom Suszeptor 402. In der Nähe des Mittelpunktes des Suszeptors 402 verlaufen die Windungen der Spirale 1311 wieder verhältnismäßig nahe am Suszeptor 402.
  • Durch die Spirale 1311 wird elektrischer Strom geleitet, der in der Nähe der Spirale 1311 ein elektromagnetisches Feld induziert. Dieses elektromagnetische Feld induziert seinerseits im Suszeptor 402 einen elektrischen Strom. Dieser Strom erzeugt im Suszeptor 402 Wärme. Wie bekannt ist, steht die Stromverteilung (und somit die Wärmeverteilung) im Suszeptor 402 in Funktion zum Abstand zwischen den Windungen der Spirale 1311, dem Abstand zwischen einer gegebenen Windung der Spirale 1311 und dem Suszeptor 402 und der Frequenz des Stroms, der durch die Spirale 1311 fließt. Daher sind diese Parameter so eingestellt, dass im Suszeptor 402 eine gewünschte Temperaturverteilung erzielt wird.
  • Wenn eine HF-Wärmequelle verwendet wird, muss der Suszeptor 402 aus Graphit (anstatt aus Quarz) bestehen, um die Energie von dem elektromagnetischen Feld zu absorbieren, das durch den Wechselstrom in der Spirale 1311 erzeugt wird. Da der Graphitsuszeptor 402 Energie absorbieren muss, um den Wafer 511 zu erwärmen, der auf dem Suszeptor 402 angebracht ist, wird mehr Zeit benötigt, um ein gewünschtes Temperaturniveau zu erreichen, als es mit der Kombination aus Widerstandsheizung 407 und Quarzsuszeptor 402 der Fall ist.
  • Der Reaktor 400 kann zur Verarbeitung einzelner Wafer oder einer Mehrzahl von Wafern verwendet werden. Da der oder die zu verarbeitenden Wafer in einer Aussparung im Suszeptor angebracht werden, ist für jede unterschiedliche Wafergröße ein anderer Suszeptor, wie z. B. der Suszeptor 402, erforderlich, da Anzahl und Größe der Aussparungen unterschiedlich sind. Ein anderer Suszeptor 402 ist auch aufgrund der unterschiedlichen Anzahl der Waferauflagezapfen 513 (Fig. 5E und 5F) erforderlich, die zum Anheben der verschiedenen Wafergrößen über den Suszeptor 402 verwendet werden. Typischerweise stellt dies kein Hindernis für das Erreichen eines hohen Waferdurchsatzes dar, da die Stapel einer bestimmten Wafergröße normalerweise aufeinanderfolgend verarbeitet werden, wodurch die Anzahl der erforderlichen Suszeptorwechsel minimiert wird. Jeder Suszeptor, wie z. B. der Suszeptor 402, weist einen Durchmesser von 14 Zoll (35,6 cm) und eine Dicke von etwa 0,375 bis 0,5 Zoll (0,95 bis 1,27 cm) auf (wobei diese von den Maßen an der Stelle der Waferaussparungen verschieden sind).
  • Der Suszeptor 402 kann aus Quarz bestehen. Wenn der Suszeptor 402 aus Quarz besteht, ist die Oberfläche des Suszeptors 402, die den Lampen 505 zugewandt ist, kugelgestrahlt, um die Wärmehaltung zu erhöhen. Die Oberfläche des Suszeptors 402, die der Widerstandsheizung 407 oder dem Passivwärmeverteilungselement 727 zugewandt ist, ist beispielsweise durch Flammenpolieren oder mechanisches Polieren transparent gemacht, wodurch durch den Suszeptor 402 hindurch mehr Wärme zum Wafer 511 gelangen kann.
  • Bei der Ausführungsform der Erfindung, bei der die Wärmequelle unterhalb des Suszeptors 402 die Widerstandsheizung 407 ist, besteht der Suszeptor 402 vorzugsweise aus Quarz, der verhältnismäßig wenig von der Wärme der Widerstandsheizung 407 absorbiert. Der größte Anteil der Wärme wird durch den Quarz auf den Wafer 511 übertragen, wodurch der Wafer bzw. die Wafer verhältnismäßig schnell erwärmt werden können (in der Größenordnung von 15 bis 30 Sekunden).
  • Bei Ausführungsformen der Erfindung, bei denen eine HF-Wärmequelle unterhalb des Suszeptors 402 verwendet wird, muss der Suszeptor 402 aus Graphit bestehen, damit die HF-Energie absorbiert und Wärme erzeugt wird, die auf den Wafer 511 übertragen werden kann. Wenn der Suszeptor 402 aus Graphit besteht, ist der Suszeptor 402 mit einer dünnen Beschichtung aus Siliziumkarbid beschichtet, damit eine Verunreinigung des Wafers 511 mit Kohlenstoff vermieden wird, wenn der Wafer 511 auf dem Suszeptor 402 aufsitzt.
  • Wie bereits mehrmals angemerkt wurde, ist die Aufrechterhaltung einer im Wesentlichen gleichmäßigen Temperatur im Wafer 511 für eine akkurate Verarbeitung des Wafers 511 von wesentlicher Bedeutung. Insbesondere kann die Wärmeabgabe vom Wafer 511 an der Kante 511c des Wafers 511 an die Umgebung mit niedrigerer Temperatur in der Reaktionskammer 403 große Temperaturgradienten an der Kante 511c hervorrufen, die ein unerwünschtes Phänomen verursachen, das bei der Epitaxialverarbeitung als "Abgleitung" bekannt ist. Daher besteht ein besonderer Bedarf für ein Mittel zur Steuerung der Temperatur an der Kante 511c des Wafers 511.
  • Fig. 14A und 14B sind eine Draufsicht und eine Seitenansicht des Suszeptors 402, auf dem der Waferumgebungsring 1401 und der Wafer 1404 gemäß einer Ausführungsform der Erfindung in der Tasche 1403 angebracht sind. In der Mitte des Waferumgebungsrings 1401 ist ein Loch gebildet. Eine vertieft angeordnete Platte ist benachbart zum Loch gebildet und wird von der Waferkantenkontaktfläche 1401f und der Waferrtlckseitenkontaktfläche 1401e eingegrenzt. Der Waferumgebungsring 1401 ist so um die Spindel 1402 angeordnet, dass die Waferrückseitenkontaktfläche 1401e des Waferumgebungsrings 1401 mit der Waferrückseitenkontaktfläche 1402a der Spindel 1402 im Wesentlichen komplanar ist.
  • Die Spindel 1402 kann mit dem Suszeptor 402 einstückig gebildet sein, oder die Spindel 1402 kann als separates Teil gebildet sein, das in die Tasche 1403 eingesetzt wird. Im Folgenden wird der Begriff "Spindel" in der folgenden Beschreibung der Erfindung zur Bezeichnung eines Elements verwendet, das mittig in einer Tasche eines Suszeptors angeordnet ist und einstückig mit dem Suszeptor oder separat von demselben gebildet sein kann. Der Begriff "Suszeptoreinsatz" wird zur Bezeichnung eines Elements verwendet, das mittig in einer Tasche eines Suszeptors angeordnet ist und separat vom Suszeptor gebildet ist. Weiterhin bezeichnet der Begriff "Spindel", wie er typischerweise hierin verwendet wird, ein Element, das dicker als ein "Suszeptoreinsatz" ist, jedoch muss dies nicht der Fall sein, und in einigen Fällen können die Spindel und der Suszeptoreinsatz dieselbe Dicke aufweisen. Im Allgemeinen bezeichnen die Begriffe "Spindel" und "Suszeptoreinsatz" Elemente, die einander im Wesentlichen entsprechen, und die Verwendung des einen oder des anderen Begriffs kann die separate oder einstückige Bildung des Elements mit dem Suszeptor oder die Bildung des Elements mit beliebigen Dicken umfassen.
  • Der Wafer 1404 ist auf der Waferrückseitenkontaktfläche 1401e des Waferumgebungsrings 1401 und der Waferrückseitenkontaktfläche 1402a der Spindel 1402 so angebracht, dass die obere Fläche 1404a des Wafers 1404 im Verhältnis zur ringförmigen oberen Fläche 1401d des Waferumgebungsrings 1401 leicht vertieft angeordnet ist.
  • Der Waferumgebungsring 1401 ist im Handel bei Midland Materials Research of Midland, Michigan erhältlich. Der Waferumgebungsring 1401 besteht aus einem Material mit einer verhältnismäßig geringen thermischen Leitfähigkeit, wie beispielsweise Graphit oder Siliziumkarbid. Wenn Graphit verwendet wird, ist der Waferumgebungsring 1401 mit Siliziumkarbid beschichtet. Das Siliziumkarbid wirkt unterstützend bei der Minimierung der Partikelverunreinigung, da das Siliziumkarbid verhindert, dass Kohlenstoff aufgrund von Abblättern des Graphits vom Graphit auf den Wafer wandert. Die Siliziumkarbidbeschichtung wird ausreichend dick ausgelegt, um eine Verunreinigung des Wafers 1404 mit Kohlenstoff, der vom Graphit abblättert, zu verhindern. Die exakte Dicke der Siliziumkarbidbeschichtung ist eine geschützte Information von Midlands Materials Research.
  • Weiter unten können bei zusätzlichen Ausführungsformen der Erfindung verschiedene Komponenten, wie z. B. der Waferumgebungsring, die Spindel und der Suszeptoreinsatz, aus Graphit bestehen. Wenn eine dieser Komponenten aus Graphit besteht, ist es wünschenswert, die Komponente in der oben beschriebenen Weise und aus den oben beschriebenen Gründen mit Siliziumkarbid zu beschichten.
  • Für einen Suszeptor 1402 mit einem Durchmesser von 14 Zoll (356 mm) und eine Tasche 1403, die groß genug ist, um einen 8 Zoll (200 mm) Wafer zu halten, weist die ringförmige obere Fläche 1401d des Waferumgebungsrings 1401 zur Veranschaulichung eine Breite 1401a von 0,125 Zoll (3,18 mm), eine Dicke 1401b des Waferumgebungsrings 1401 unterhalb des Wafers 1404 von 0,10 Zoll (2,54 mm) und eine Breite 1401c zwischen der Fläche der äußeren Kante 1401g und der Fläche der inneren Kante 1401h des Waferumgebungsrings 1401 von 0,60 Zoll (15,2 mm) auf. Andere Breiten 1401a, Dicken 1401b und Breiten 1401c können verwendet werden.
  • Die Spindel 1402 kann beispielsweise aus Graphit, Siliziumkarbid oder Quarz bestehen. Graphit und Siliziumkarbid sind für eine bessere Wärmeübertragung auf die Rückseite 1404b des Wafers 1404 und/oder zur Verhinderung der Wärmeübertragung von derselben erwünscht.
  • Quarz ermöglicht eine geringe oder keine Wärmeübertragung auf die Rückseite 1404b des Wafers 1404 bzw. verhindert die Wärmeübertragung von der Rückseite 1404b des Wafers 1404 kaum oder überhaupt nicht. Da jedoch der Wärmeverlust hauptsächlich an der Kante 1404c des Wafers 1404 ein Problem darstellt, kann durch die Verwendung von Quarz für die Spindel 1402, d. h. unterhalb der Mitte des Wafers 1404, und durch die Verwendung von Graphit oder Siliziumkarbid für den Waferumgebungsring 1401, d. h. unterhalb und um die Kante 1404c des Wafers 1404, die gewünschte Temperaturgleichmäßigkeit im Wafer 1404 bereitgestellt werden.
  • Der Waferumgebungsring 1401 (und die Waferumgebungsringe gemäß zusätzlicher Ausführungsformen der Erfindung, die unten beschrieben werden) hält den Wafer 1404 in der Tasche 1403 des Suszeptors 402 in Position. Insbesondere wird durch das Vorhandensein des Waferumgebungsrings 1401 um die Kante 1404c des Wafers 1404 ein Aufrechterhalten einer Temperatur in der Nähe der Kante 1404c des Wafers 1404 unterstützt, die im Wesentlichen der Temperatur im übrigen Teil des Wafers 1404 entspricht.
  • Fig. 14C ist eine Querschnittsansicht des Suszeptors 402, auf dem der Waferumgebungsring 1401, der Suszeptoreinsatz 1417, die Spindel 1412 und der Wafer 1404 in der Tasche 1403 gemäß einer anderen Ausführungsform der Erfindung angebracht sind. Nachdem der Waferumgebungsring 1401 in der Tasche 1403 angeordnet ist, wird der Suszeptoreinsatz 1417 innerhalb der Tasche 1403 in das Loch, das mittig durch den Waferumgebungsring 1401 gebildet ist, eingesetzt. Die Spindel 1412, die ein- bis dreimal so dick sein kann wie der Suszeptoreinsatz 1417, wird in dem Loch im Waferumgebungsring 1401 auf der Oberseite des Suszeptoreinsatzes 1417 so angeordnet, dass die Waferkontaktfläche 1412a der Spindel 1412 mit der Waferkontaktfläche 1401e des Waferumgebungsrings 1401 im Wesentlichen komplanar ist. Der Wafer 1404 ist im Waferumgebungsring 1401 auf der Waferkontaktfläche 1401e des Waferumgebungsrings 1401 und der Waferkontaktfläche 1412a der Spindel 1412 angeordnet.
  • Die Spindel 1412 und der Suszeptoreinsatz 1417 können beispielsweise aus Quarz, Siliziumkarbid oder Graphit bestehen. Typischerweise besteht die Spindel 1412 aus Quarz und der Suszeptoreinsatz 1417 aus Graphit oder Siliziumkarbid oder umgekehrt.
  • Der Suszeptoreinsatz 1417 kann alternativ eine starre Platte oder ein flexibles Tuch sein. Bei einer Ausführungsform der Erfindung ist der Suszeptoreinsatz 1417 eine Graphitplatte, die mit Siliziumkarbid mit einer Gesamtdicke von etwa 0,125 Zoll (3,18 mm) beschichtet ist.
  • Bei einer anderen Ausführungsform der Erfindung ist der Suszeptoreinsatz 1417 eine Siliziumkarbidplatte mit einer Dicke zwischen 0,0001 Zoll (0,0025 mm) und 0,125 Zoll (3,18 mm). Die Siliziumkarbidplatte kann dünner ausgelegt werden als die oben beschriebene Graphitplatte, da die Siliziumkarbidplatte Biegungen besser standhält als die Graphitplatte, wenn die Platte den extremen Temperaturen der Verfahren ausgesetzt wird, für die der Reaktor gemäß der Erfindung typischerweise verwendet wird. Die dünnere Siliziumkarbidplatte ermöglicht eine schnellere Erwärmung und Abkühlung des Wafers 1404. Jedoch ist die Graphitplatte kostengünstiger in der Herstellung als die Siliziumkarbidplatte.
  • Bei einer weiteren Ausführungsform der Erfindung besteht der Suszeptoreinsatz 1417 aus einem Siliziumkarbidtuch. Das Siliziumkarbidtuch ist kostengünstiger in der Herstellung als die Siliziumkarbidplatte, erzeugt jedoch möglicherweise eine stärkere Partikelverunreinigung als die Platte.
  • Fig. 14D ist eine Querschnittsansicht eines Waferumgebungsrings 1421, einer Spindel 1422 und eines Wafers 1404, die in der Tasche 1403 des Suszeptors 402 angebracht sind, gemäß einer anderen Ausführungsform der Erfindung. Der Waferumgebungsring 1421 ist um die Spindel 1422 in der Tasche 1403 des Suszeptors 402 angeordnet. Im Gegensatz zum Waferumgebungsring 1401 (Fig. 14A bis 14C) ist der Waferumgebungsring 1421 nicht mit einer Platte benachbart zum Loch gebildet, das mittig durch den Waferumgebungsring 1421 gebildet ist. Stattdessen erstrecken sich die Fläche der inneren Kante 1421c und die Fläche der äußeren Kante 1421d des Waferumgebungsrings 1421 von der oberen Fläche 1421a zur unteren Fläche 1421b des Waferumgebungsrings 1421. Der Wafer 1404 ist auf der Waferrückseitenkontaktfläche 1422a der Spindel 1422 positioniert und wird durch den Kontakt zwischen der Fläche der inneren Kante 1421c des Waferumgebungsrings 1421 und der Kante 1404c des Wafers 1404 lateral in Position gehalten.
  • Die Spindel 1422 kann einstückig mit dem Suszeptor 402 gebildet sein, oder die Spindel 1422 kann als separates Teil gebildet sein und in die Tasche 1403 eingesetzt sein. Die Spindel 1422 kann beispielsweise aus Graphit, Siliziumkarbid oder Quarz bestehen. Wenn die Spindel 1422 mit dem Suszeptor 402 einstückig gebildet ist, besteht die Spindel 1422 aus demselben Material wie der Suszeptor 1402. Der Waferumgebungsring 1421 besteht beispielsweise aus Siliziumkarbid oder Graphit.
  • Fig. 14E ist eine Querschnittsansicht des Waferumgebungsrings 1421, des Suszeptoreinsatzes 1437, der Spindel 1432 und des Wafers 1404, die in der Tasche 1403 des Suszeptors 402 angebracht sind, gemäß einer anderen Ausführungsform der Erfindung. Der Waferumgebungsring 1421 ist in der Tasche 1403 angeordnet. Der Suszeptoreinsatz 1437 ist in dem Loch angeordnet, das durch den Waferumgebungsring 1421 hindurch gebildet ist. Die Spindel 1432 ist auf der Oberseite des Suszeptoreinsatzes 1437 in dem Loch angeordnet, das mittig im Waferumgebungsring 1421 gebildet ist. Der Wafer 1404 ist auf der Waferrückseitenkontaktfläche 1432a der Spindel 1432 angeordnet und wird durch den Kontakt zwischen der Fläche der inneren Kante 1421c des Waferumgebungsrings 1421 und der Kante 1404c des Wafers 1404 lateral gehalten.
  • Der Waferumgebungsring 1421, der Suszeptoreinsatz 1437 und die Spindel 1432 bestehen aus denselben Materialien wie der Waferumgebungsring 1401, der Suszeptoreinsatz 1417 und die Spindel 1412 (Fig. 14C). Zusätzlich kann der Suszeptoreinsatz 1437 wie der Suszeptoreinsatz 1417 entweder als flexibles Tuch oder als starre Platte gebildet sein.
  • Fig. 14F ist eine Querschnittsansicht des Waferumgebungsrings 1441 und des Wafers 1404, die in der Tasche 1403 des Suszeptors 402 angebracht sind, gemäß einer anderen Ausführungsform der Erfindung. Der Waferumgebungsring 1441 ist in der Tasche 1403 angeordnet. Im Waferumgebungsring 1441 ist durch die Waferrückseitenkontaktfläche 1441a und die Waferkantenkontaktfläche 1441b eine Aussparung abgegrenzt. Der Wafer 1404 ist in der Aussparung im Waferumgebungsring 1441 so positioniert, dass sich die obere Fläche 1404a des Wafers 1404 etwas unterhalb der oberen Fläche 1441c des Waferumgebungsrings 1441 befindet. Der Waferumgebungsring 1441 kann beispielsweise aus Siliziumkarbid oder Graphit bestehen.
  • Fig. 14 G ist eine Querschnittsansicht eines Suszeptoreinsatzes 1457, eines Waferumgebungsrings 1451 und eines Wafers 1404, die in der Tasche 1403 des Suszeptors 402 angebracht sind, gemäß einer anderen Ausführungsform der Erfindung. Der Suszeptoreinsatz 1457 ist so in der Tasche 1403 positioniert, dass er die gesamte Bodenfläche der Tasche 1403 bedeckt. Der Waferumgebungsring 1451 ist auf der Oberseite des Suszeptoreinsatzes 1457 in der Tasche 1403 angeordnet. Im Waferumgebungsring 1451 ist durch die Waferrückseitenkontaktfläche 1451a und die Waferkantenkontaktfläche 1451b eine Aussparung abgegrenzt. Der Wafer 1404 wird so in der Aussparung im Waferumgebungsring 1451 positioniert, dass die obere Fläche 1404a des Wafers 1404 etwas unterhalb der oberen Fläche 1451c des Waferumgebungsrings 1441 liegt.
  • Der Waferumgebungsring 1451 kann aus demselben Material wie der Waferumgebungsring 1441 (Fig. 14F) bestehen. Der Suszeptoreinsatz 1457 besteht beispielsweise aus Graphit. Wie oben kann der Suszeptoreinsatz 1457 entweder als flexibles Tuch oder als starre Platte gebildet sein.
  • Fig. 14H ist eine Querschnittsansicht des Waferumgebungsrings 1401, der Spindel 1433, des Suszeptoreinsatzes 1417 und des Wafers 1404, die in der Tasche 1403 des Suszeptors 402 angeordnet werden können (Fig. 14A bis 14 G), gemäß einer anderen Ausführungsform der Erfindung. Wie oben unter Bezugnahme auf Fig. 14B beschrieben, kann die Spindel 1433 ein separates Teil sein, oder die Spindel 1433 kann mit dem Suszeptor 402 einstückig gebildet sein. Die Konfiguration aus Fig. 14H entspricht der Konfiguration aus Fig. 14C, abgesehen davon, dass die Positionen der Spindel 1412 und des Suszeptoreinsatzes 1417 in Fig. 14C den Positionen der Spindel 1433 und des Suszeptoreinsatzes 1417 in Fig. 14H gegenüberliegen. Die oben ausgeführte Erörterung bezüglich Fig. 14C trifft ebenfalls auf Fig. 14H zu. Übereinstimmend mit der oben ausgeführten Erörterung können die Spindel 1433 und der Suszeptoreinsatz 1417 jede beliebige Dicke aufweisen und können bei einer Ausführungsform dieselbe Dicke aufweisen.
  • Fig. 141 ist eine Querschnittsansicht eines Waferumgebungsrings 1461 und eines Wafers 1404, die in der Tasche 1403 des Suszeptors 402 angeordnet werden können (Fig. 14A bis 14G), gemäß einer anderen Ausführungsform der Erfindung. Der Waferumgebungsring 1461 entspricht dem Waferumgebungsring 1441 (Fig. 14F), abgesehen davon, dass der Hohlraum 1461a in der Bodenfläche 1461b des Waferumgebungsrings 1461 gebildet ist.
  • Der Hohlraum 1461a ist vorzugsweise in Bezug auf die Aussparung 1461c, die in der oberen Fläche 1461d des Waferumgebungsrings 1461 gebildet ist, in dem der Wafer 1404 angeordnet wird, symmetrisch gebildet. Der Hohlraum 1461a weist über seine gesamte Ausdehnung hinweg eine gleichmäßige Tiefe auf, so dass der Hohkaum 146 1a, senkrecht zur Ebene aus Fig. 141 gesehen, eine rechteckige Querschnittsform aufweist. Bei einer Ausführungsform weist der Hohlraum 1461a, parallel zur Welle 516 (Fig. 5E und 5F) des Suszeptors 402 gesehen, eine kreisförmige Querschnittsform auf, so dass der Hohlraum 1461a zylindrisch ist, jedoch können andere Querschnittsformen, wie z. B. ein Quadrat, verwendet werden.
  • Fig. 14J ist eine Querschnittsansicht eines Waferumgebungsrings 1471 und des Wafers 1404, die in der Tasche 1403 des Suszeptors 402 (Fig. 14A bis 14G) angeordnet werden können, gemäß einer anderen Ausführungsform der Erfindung. Der Waferumgebungsring 1471 entspricht dem Waferumgebungsring 1461, abgesehen davon, dass der Hohlraum 1471a, im Gegensatz zum Hohlraum 1461a, keine gleichmäßige Tiefe über seine gesamte Ausdehnung aufweist. Stattdessen nimmt die Tiefe des Hohlraums 1471a von der Kante des Hohlraums 1471a zum Mittelpunkt des Hohlraums 1471a schrittweise ab, so dass der Hohlraum 1471a, senkrecht zu Fig. 14 J gesehen, eine dreieckige Form aufweist. Bei einer Ausführungsform weist der Hohlraum 1471a, parallel zur Welle 516 (Fig. 5E und 5F) des Suszeptors 402 gesehen, eine kreisförmige Querschnittsform auf, so dass der Hohlraum 1461a kegelförmig ist; andere Querschnittsformen, wie z. B. ein Quadrat, können verwendet werden.
  • Es versteht sich, dass andere Waferumgebungsringe gemäß der Erfindung mit einem Hohlraum gebildet werden können, der eine andere Form aufweist als die, die bei den Waferumgebungsringen 1461 und 1471 gezeigt sind. Im Allgemeinen kann der Hohlraum in jeder Form gebildet werden, die die Aufrechterhaltung einer gleichmäßigen Temperatur an der Rückseite 1404b (Fig. 14B) des Wafers 1404 bewirkt.
  • Fig. 14K und 14L sind eine Querschnittsansicht und eine Draufsicht des Waferumgebungsrings 1481, der Spindel 1402 und des Wafers 1404, die in der Tasche 1403 des Suszeptors 402 (Fig. 14A bis 14G) angeordnet werden können, gemäß einer anderen Ausführungsform der Erfindung. Aus Gründen einer besseren Veranschaulichung des Waferumgebungsrings 1481 ist der Wafer 1404 in Fig. 14L nicht gezeigt. Wie oben kann die Spindel 1402 ein separates Teil sein, das in der Tasche 1403 des Suszeptors 402 angeordnet ist, oder die Spindel 1402 kann mit dem Suszeptor 402 einstückig gebildet sein. Der Waferumgebungsring 1481 und die Spindel 1402 bestehen aus den Materialien, die oben für den Waferumgebungsring 1401 und die Spindel 1402 (Fig. 14A und 14B) beschrieben sind.
  • Die Gesamtform des Waferumgebungsrings 1481 entspricht der des Waferumgebungsrings 1401. Jedoch sind bei dem Waferumgebungsring 1481 Rillen 1481a in der Waferrückseitenkontaktfläche 1481b des Waferumgebungsrings 1481 gebildet. Die Rillen 1481a sind am Umfang um das mittig gebildete Loch im Waferumgebungsring 1481, in dem die Spindel 1402 angeordnet ist, gebildet.
  • Fig. 14M ist eine Draufsicht des Waferumgebungsrings 1482 und der Spindel 1402, die in der Tasche 1403 des Suszeptors 402 (Fig. 14A bis 14 G) angeordnet werden können, gemäß einer anderen Ausführungsform der Erfindung. Zur besseren Veranschaulichung des Waferumgebungsrings 1482 ist der Wafer 1404 in Fig. 14M nicht gezeigt. Der Waferumgebungsring 1482 entspricht dem Waferumgebungsring 1481, abgesehen davon, dass Rillen 1482a anstatt am Umfang, wie beim Waferumgebungsring 1481, radial um das mittig gebildete Loch im Waferumgebungsring 1481 gebildet sind.
  • Durch die Bildung der Rillen 1481a oder 1482a im Waferumgebungsring 1481 oder 1482 wird ein größerer Oberflächenbereich des Waferumgebungsrings 1481 oder 1482 erreicht, der der Rückseite 1404b (Fig. 14K) des Wafers 1404 im Bereich der Kante 1404c des Wafers 1404 ausgesetzt ist. Daher findet zwischen dem Wafer 1404 und dem Waferumgebungsring 1481 oder 1482 nahe der Kante 1404c des Wafers 1404 eine bessere Wärmeübertragung statt, als es andernfalls der Fall wäre, wodurch die Überwindung des oben beschriebenen Problems des Wärmeverlustes an der Kante 1404c des Wafers 1404 unterstützt und die Aufrechterhaltung einer gleichmäßigen Temperatur im Wafer 1404 ermöglicht wird.
  • Die Rillen 1481a oder 1482a können beispielsweise durch Fräsen gebildet werden. Zur Veranschaulichung können die Rillen 1481a oder 1482a eine Tiefe von 0,030 Zoll (0,76 mm) und eine Breite von 0,020 Zoll (0,51 mm) aufweisen. Allgemeiner ausgedrückt, können die Rillen 1481a und 1482a jede Breite und Tiefe aufweisen, von denen sich zeigt, dass damit eine Aufrechterhaltung der Temperaturgleichmäßigkeit nahe der Kante 1404c des Wafers 1404 erreicht werden kann.
  • Obwohl in Fig. 14L zwei Rillen 1481a und in Fig. 14M 39 Rillen 1482a gezeigt sind, versteht es sich, dass jede beliebige Anzahl von Rillen in beiden Ausführungsformen gebildet werden kann, die in Fig. 14L und 14M gezeigt sind. Obwohl die Rillen 1481a in Fig. 14K mit einer quadratischen Querschnittsform gezeigt sind, versteht es sich weiterhin, dass sowohl die Rillen 1481a als auch die Rillen 1482a andere Querschnittsformen aufweisen können, wie z. B. eine rechteckige Form oder eine V- Form. Obwohl weiterhin die Rillen 1481a so gezeigt sind, dass sie fortlaufend um den Umfang des Lochs gebildet sind, das mittig im Waferumgebungsring 1481 gebildet ist, muss dies nicht notwendigerweise der Fall sein. Ebenso brauchen die Rillen 1482a nicht von der äußeren Kante 1482b der Aussparung, die den Wafer 1404 hält, zur inneren Kante 1482c des Waferumgebungsrings 1482, wie in Fig. 14M gezeigt, gebildet sein.
  • Fig. 14N ist eine Querschnittsansicht des Waferumgebungsrings 1491 und des Wafers 1404, die in der Tasche 1403 des Suszeptors 402 (Fig. 14A bis 14G) angeordnet werden können, gemäß einer anderen Ausführungsform der Erfindung. Bei dem Waferumgebungsring 1491 weist die Aussparung, in der der Wafer 1404 positioniert ist, anstelle einer flachen Platte wie bei den oben beschriebenen Ausführungsformen eine abgeschrägte Platte 1491a auf.
  • Fig. 140 ist eine Querschnittsansicht des Waferumgebungsrings 1491 und des Wafers 1404, die die Biegung des Wafers 1404 veranschaulicht, die auftreten kann, wenn der Wafer 1404 während der Verarbeitung erwärmt wird. Durch die Bildung der abgeschrägten Platte 1491a wird ermöglicht, dass der Bereich benachbart zur Kante 1404c des Wafers 1404 mit der abgeschrägten Platte 1491a in Kontakt bleibt, wenn der Wafer 1404 beginnt, sich zu biegen. Folglich wird eine gleichmäßigere Temperatur im Bereich der Kante 1404c des Wafers 1404 aufrechterhalten als in dem Fall, in dem die Platte des Waferumgebungsrings flach ist. Vorzugsweise wird der Winkel der abgeschrägten Platte 1491a so gewählt, dass er etwa dem Winkel nahe der Kante des Wafers 1404 entspricht, wenn sich der Wafer 1404 während der Erwärmung biegt. Zur Veranschaulichung kann dieser Winkel etwa 4,7º für einen Waferumgebungsring betragen, der mit einem 6 Zoll (150 mm) Wafer verwendet wird, und der Winkel kann etwa 4,1º für einen Waferumgebungsring betragen, der mit einem 8 Zoll (200 mm) Wafer verwendet wird.
  • Obwohl in Fig. 14N und 140 nicht gezeigt, könnte die Spindel unterhalb des Wafers 1404 im mittig gebildeten Loch im Waferumgebungsring 1491 positioniert sein. Weiterhin könnte die Spindel eine Oberfläche benachbart zum Wafer 1404 aufweisen, die so geformt ist, dass sie sich an die erwartete Krümmung des Wafers 1404 anpasst, wenn sich der Wafer 1404 bei der Erwärmung biegt.
  • Fig. 14P ist eine Querschnittsansicht des Waferumgebungsrings 1492 gemäß einer anderen Ausführungsform der Erfindung. Der Waferumgebungsring 1492 ist mit einer abgeschrägten Platte 1492a gebildet, auf der der Wafer 1404 ruht. Zusätzlich sind in der abgeschrägten Platte 1492a am Umfang Rillen 1492b gebildet. Die Rillen 1492b bieten dieselbe Wirkung wie oben in Bezug auf die Rillen 1481a (Fig. 14K und 14L) beschrieben. Die Rillen 1492b könnten auch radial in der abgeschrägten Platte 1492a gebildet sein, wie in Fig. 14M gezeigt. Die Rillen 1492b können mit Hilfe der Verfahren gebildet werden, die oben in Bezug auf die Rillen 1481a und 1482a beschrieben sind. Die Abmessungen der Rillen 1492b können, wie oben für die Rillen 1481a und 1482a beschrieben, bestimmt werden.
  • Bei den oben beschriebenen Ausführungsformen aus Fig. 14A bis 14P sind die spezifischen Abmessungen des Waferumgebungsrings, der Spindel und des Suszeptoreinsatzes empirisch bestimmt, um Abgleitungen zu minimieren und eine im Wesentlichen gleichmäßige Temperatur im Wafer 1404 aufrechtzuerhalten. Wenn des Weiteren Quarz anstelle von Siliziumkarbid oder Graphit verwendet werden kann, hängt die Wahl von dem Ergebnis der Abwägung der gewünschten Wärmehaltung von Graphit oder Siliziumkarbid gegen die unerwünschte thermische Trägheit dieser Materialien ab. Wenn weiterhin Quarz für eine Spindel oder einen Waferumgebungsring verwendet wird, kann die Oberfläche des Quarzes kugelgestrahlt oder transparent sein. Kugelstrahlung hat zur Folge, dass der Quarz mehr Wärme halten kann. Obwohl in Fig. 14A bis 14P Ausführungsformen der Erfindung beschrieben sind, bei denen ein einzelner Wafer auf dem Suszeptor angeordnet ist, versteht es sich schließlich, dass die oben beschriebenen Konstruktionen mit Suszeptoren verwendet werden können, auf denen mehr als ein Wafer angebracht ist, indem eine der Konstruktionen in jeder Tasche angeordnet wird, in der ein Wafer angeordnet wird.
  • Fig. 14Q und 14R sind eine Draufsicht und ein seitlicher Aufriss des C-förmigen Abschnitts 1495 eines Waferumgebungsrings gemäß einer Ausführungsform der Erfindung. Der Waferumgebungsring gemäß dieser Ausführungsform besteht aus zwei Teilen: einem C-förmigen Abschnitt 1495 und einem Gegenabschnitt (nicht gezeigt), der zu dem C-förmigen Abschnitt 1495 passt, um einen kreisförmigen Waferumgebungsring zu bilden. Auf der Waferrtlckseitenkontaktfläche 1495f, die im Verhältnis zur oberen Fläche 1495g des Waferumgebungsrings vertieft angeordnet ist, ruht ein Wafer. In der Suszeptorkontaktfläche 1495e mit C-förmigem Querschnitt 1495 sind Vertiefungen 1495a, 1495b, 1495c, 1495d gebildet.
  • Wie oben unter Bezugnahme auf Fig. 11A und 11B beschrieben, berühren die Waferauflagezapfen 1112a, 112b, 1112c, 1112d die Vertiefungen 1495a, 1495b, 1495c, 1495d, wenn der Suszeptor abgesenkt wird, wodurch der C-förmige Abschnitt 1495 vom Suszeptor angehoben wird. Der Wafer, der auf der Waferrückseitenkontaktfläche 1495f ruht, wird mit dem C-förmigen Abschnitt 1495 angehoben. Jedoch bleibt der Gegenabschnitt im Suszeptor sitzen, wenn der C-förmige Abschnitt 1495 angehoben wird. Somit bleibt an der Stelle, an der sich der Gegenabschnitt befand, ein offener Bereich zurück, und ein Roboterarm oder ein Waferhandhabungssystem kann sich leicht durch diesen offenen Bereich erstrecken, um den Wafer aus dem C-förmigen Abschnitt 1495 herauszuheben und zu entfernen.
  • Die Fläche der inneren Kante 1495h und die Fläche der äußeren Kante 1495i des C-förmigen Abschnitts 1495 sind abgeschrägt, so dass die Suszeptorkontaktfläche 1495e eine geringere Breite aufweist als die Breite, die sich aus der Waferrückseitenkontaktfläche 1495f und der oberen Fläche 1495g zusammensetzt. Die Seiten der Tasche des Suszeptors sind abgeschrägt, so dass sie zur Fläche der inneren Kante 1495h und zur Fläche der äußeren Kante 1495i des C-förmigen Abschnitts 1495 passen. Durch das Abschrägen der Kontaktfläche zwischen dem C-förmigen Abschnitt 1495 und dem Suszeptor wird ein guter Sitz des C-förmigen Abschnitts 1495 erreicht, wenn der C-förmige Abschnitt 1495 auf dem Suszeptor ruht, so dass keine Gase zwischen dem C-förmigen Abschnitt 1495 und dem Suszeptor austreten und die Rückseite des Wafers verunreinigen können. Durch das Abschrägen werden weiterhin die Installation und die Entnahme des Waferumgebungsrings aus dem Suszeptor erleichtert. Es ist zu beachten, dass der Gegenabschnitt entsprechende abgeschrägte Flächen aufweist.
  • Die Kanten 1495j und 1495k am offenen Ende des C-förmigen Abschnitts 1495 sind ebenfalls von der Waferrückseitenkontaktfläche 1495f und der oberen Fläche 1495g zur Suszeptorkontaktfläche 1495e einwärts abgeschrägt. Der Gegenabschnitt des Waferumgebungsrings ist mit entsprechenden abgeschrägten Kanten versehen. Wiederum wird durch die Abschrägung der Kontaktfläche zwischen dem C-förmigen Abschnitt 1495 und dem Gegenabschnitt ein guter Sitz des C-förmigen Abschnitts 1495 am Gegenabschnitt erreicht. Die Kanten 1495j und 1495k müssen einwärts abgeschrägt sein, so dass der C- förmige Abschnitt 1495 den Gegenabschnitt nicht anhebt, wenn der Suszeptor zur Vorbereitung für das Laden oder Entladen eines Wafers abgesenkt wird. Der Gegenabschnitt wird durch Zapfen, die in entsprechende Löcher passen, die im Gegenabschnitt und im Suszeptor gebildet sind, lateral auf dem Suszeptor in Position gehalten.
  • Bei einer alternativen Ausführungsform sind der C-förmige Abschnitt 1495, die Seiten der Tasche des Suszeptors und der Gegenabschnitt mit geraden Kanten anstatt mit abgeschrägten Kanten gebildet.
  • Fig. 145 ist eine Draufsicht eines Waferumgebungsrings 1497 gemäß einer anderen Ausführungsform der Erfindung, der einen C-förmigen Abschnitt 1498 und einen Gegenabschnitt 1499 umfasst. Der C- förmige Abschnitt 1498 umfasst einen Rand 1498a und einen Boden 1498b. Ebenso umfasst der Gegenabschnitt 1499 einen Rand 1499a und einen Boden 1498b. Der Waferumgebungsring 1497 entspricht dem Waferumgebungsring, der oben unter Bezugnahme auf Fig. 14Q und 14R beschrieben wurde, abgesehen davon, dass sich die Böden 1498b und 1499b vollständig unter dem Wafer erstrecken anstatt, wie bei dem Waferumgebungsring aus Fig. 14Q und 145, nur teilweise. Der Waferumgebungsring kann aus denselben Materialien, wie oben für den Waferumgebungsring aus Fig. 14Q und 145 beschrieben, bestehen.
  • Bei dem Reaktor 400 gibt es einen Bereich mit einer im Wesentlichen gleichmäßigen Temperatur in der Mitte der Reaktionskammer 403, aus dem hinaus sich der oder die Wafer, die verarbeitet werden sollen, nicht erstrecken dürfen, wenn während der Verarbeitung eine im Wesentlichen gleichmäßige Temperatur im Wafer bzw. in den Wafern aufrechterhalten werden soll. Jedoch können ein oder mehrere Wafer innerhalb dieses Bereichs mit einer im Wesentlichen gleichmäßigen Temperatur an jeder Stelle des Suszeptors 402 angebracht werden. Fig. 15A, 15B und 15C sind Draufsichten von drei Suszeptoren 1502, 1522 und 1542 zur Verwendung mit dem Reaktor 400, die drei mögliche Arten der Anbringung eines oder mehrerer Wafer veranschaulichen.
  • In Fig. 15A ist der Wafer 1511 so angebracht, dass der Mittelpunkt 1511a des Wafers 1511 nicht mit dem Mittelpunkt 1502a des Suszeptors 1502 übereinstimmt. Bei einer Ausführungsform liegt der Mittelpunkt 1511a 2 Zoll (5,08 cm) vom Mittelpunkt 1502a entfernt. Durch den großen Bereich, der eine Temperaturgleichmäßigkeit aufweist und im Reaktor 400 festgelegt ist, wird eine im Wesentlichen gleichmäßige Temperatur im Wafer 1511 aufrechterhalten, selbst wenn der Wafer 1511 nicht auf dem Suszeptor 402 zentriert ist, d. h. wenn der Wafer 1511 nicht innerhalb der Reaktionskammer 403 zentriert ist. Diese außermittige Anbringung ist wünschenswert, da die Entfernung, die der Waferladearm zurücklegen muss, um den Wafer 1511 zu laden und zu entladen, minimiert ist, wenn der Suszeptor 1502 in die korrekte Position gedreht ist, wodurch die Möglichkeit, dass Probleme bei dem Waferhandhabungsverfahren auftreten (wie z. B. Fehlausrichtung des Wafers 1511 auf dem Suszeptor 1502), verringert wird.
  • In Fig. 15B ist der Wafer 1531 so angebracht, dass der Mittelpunkt 1531a des Wafers 1531 mit dem Mittelpunkt 1522a des Suszeptors 1522 übereinstimmt und daher innerhalb des Bereiches mit einer im Wesentlichen gleichmäßigen Temperatur in der Reaktionskammer 403 in etwa zentriert ist. Aufgrund dieser Zentrierung können die Wafer 1531, die mit dem Suszeptor 1522 verarbeitet werden, größer sein als die Wafer 1511, die mit dem Suszeptor 1502 verarbeitet werden.
  • In Fig. 15C sind die Wafer 1551, 1552, 1553 symmetrisch auf dem Suszeptor 1542 angeordnet. Bei einer Ausführungsform sind die Mittelpunkte 1551a, 1552a, 1553a der Wafer 1551, 1552, 1553 um 3,783 Zoll (9,609 cm) vom Mittelpunkt 1542a des Suszeptors 1542 entfernt angeordnet. Die Mittelpunkte 1551a, 1552a, 1553a der Wafer 1551, 1552, 1553 sind in einem Winkel α von 120º zueinander um den Umfang des Suszeptors 1542 angeordnet. Da mehr als ein Wafer gleichzeitig verarbeitet wird, ist die Maximalgröße der Wafer 1551, 1552, 1553 geringer als die Maximalgröße des Wafers 1531 in Fig. 15B, um die Wafer 1551, 1552, 1553 innerhalb des Bereiches mit einer im Wesentlichen gleichmäßigen Temperatur in der Reaktionskammer 403 zu halten.
  • Obwohl Fig. 15A, 15B und 15C entweder einen oder drei Wafer auf einem Suszeptor zeigen, können ebenfalls Suszeptoren, auf denen zwei, vier oder mehr Wafer angebracht sind, mit Reaktoren gemäß der Erfindung verwendet werden. Jedoch ist die Anzahl der Wafer, die gleichzeitig verarbeitet werden kann, durch die Größe der Wafer, die verarbeitet werden, begrenzt.
  • Fig. 15D und 15E sind Draufsichten von Suszeptoren 1562 und 1582 zur Verwendung mit dem Reaktor 400, auf dem drei 150 mm (6 Zoll) Wafer 1571a, 1571b, 1571c und ein 200 mm (8 Zoll) Wafer 1591 angebracht sind. In Fig. 15D sind Löcher 1563a, 1563b, 1563c, 1563d, 1563e, 1563f, 1563g, 1563h, 1563i durch den Suszeptor 1562 gebildet, damit die Waferauflagezapfen 513 ausfahren können, um den Wafer 1571a, 157 ib, 1571c über den Suszeptor 1562 anzuheben. Jeder Wafer 1571a, 1571b, 1571c wird angehoben, indem der Suszeptor 1562 so gedreht wird, dass sich der Wafer 1571a, 1571b oder 1571c über den Befestigungsstäben 512b, S 12c, 5 12d (Fig. 5D) in Position befindet. In Fig. ISE sind Löcher 1583a, 1583b, 1583c, 1583d, 1583e durch den Suszeptor 182 gebildet, damit die Waferauflagezapfen 513 ausfahren können, so dass sie den Wafer 1591 über den Suszeptor 1582 anheben können. Der Wafer 1591 wird angehoben, indem der Suszeptor 1582 so gedreht wird, dass sich der Wafer 1591 in einer Position oberhalb der Befestigungsstäbe 512a, 512b, 512c, 512d, 512e befindet. Zum Anheben des Wafers 159 t können die Befestigungsstäbe 512a, 512b, 512c, 512d oder die Befestigungsstäbe 512b, 512c, 512e verwendet werden.
  • Wie zuvor beschrieben, werden Reaktantgase von einer Gasplatte durch das Gaseinlassrohr 408a und entweder einen Gaseinblaskopf, wie z. B. den Gaseinblaskopf 414 oder die Gaseinblasdüsen 421 in die Reaktionskammer 403 eingeleitet und durch Abgasleitungen 409a, 409b, 409c aus dem Reaktor 400 hinaus zu einem Gaswäscher geleitet, der die Gase reinigt, bevor sie an die Atmosphäre abgegeben werden. Bei herkömmlichen Reaktoren wurden separate Computer zur individuellen Steuerung des Gasverteilungssystems und des Gaswäschers verwendet.
  • Fig. 16A ist eine vereinfachte Ansicht eines Reaktors 1600 gemäß der Erfindung, bei dem ein einzelner Computer 1610 zur Steuerung sowohl der Gasplatte 1601 als auch des Gaswäschers 1606 verwendet wird. Die Reaktantgase werden von der Gasplatte 1601 durch den Gaseinlass 1602 zur Reaktionskammer 1603 geleitet. Die Gase strömen durch die Reaktionskammer 1603 am Wafer 1604 vorbei und werden durch die Abgasleitung 1605 zum Gaswäscher 1606 hinausgeleitet. Der Gaswäscher 1606 reinigt die Gase und gibt sie über die Gaswäscherabgasleitung 1607 an die Atmosphäre ab.
  • Der Computer 1610 steuert den Typ und die Strömungsgeschwindigkeit der Gase, die von der Gasplatte 1601 über die Gasverteilungssteuerungsleitung 1608 gemäß vom Bediener spezifizierter Daten, die im Computer 1610 für das gewünschte Verfahren gespeichert sind, geliefert werden. Ebenso steuert der Computer 1610 den Reinigungsvorgang des Gaswäschers 1606 über die Gaswäschersteuerungsleitung 1609 gemäß anderer vom Bediener spezifizierter Daten, die im Computer 1610 gespeichert und für die verwendeten Prozessgase angemessen sind. Somit wird die Computersteuerung der Gasverteilung und - reinigung, die zusammenhängende Vorgänge sind, bei dem Reaktor 1600 im Gegensatz zu herkömmlichen Reaktoren vereinfacht, da die Daten für jeden Vorgang mit Hilfe einer einzigen Vorrichtung gespeichert und verarbeitet werden.
  • Bei einer Ausführungsform dieser Erfindung steuert der Prozesscomputer, wie oben beschrieben, die Stromunterbrecher, die bei dem Betrieb des Reaktors verwendet werden, sowie die Temperaturprozesssteuerungen, die Stromsteuerung usw. Während der Reaktor dieser Erfindung viele neuartige Eigenschaften aufweist, entspricht der Betrieb des Prozesscomputers dem anderer Reaktoren, wenn die neuartigen Eigenschaften, die hierin beschrieben sind, in Betracht gezogen werden.
  • Bei einer anderen Ausführungsform umfasst der Prozesscomputer zusätzlich zur Prozesssteuerung des Reaktors eine Datenbank mit statistischen Daten für jeden Prozesslauf sowie die Reaktorkonfiguration für jeden Prozesslauf. Wenn die Datenbank genügend Daten für eine bedeutende statistische Analyse enthält, übernimmt der Prozesscomputer vollständig die Steuerung des Prozesszyklus'. Der Bediener des Reaktors gibt einfach Informationen bezüglich der Stapelgröße, des gewünschten Prozesses und der erforderlichen Wafergleichmäßigkeiten ein. Der Prozesscomputer nimmt diese Informationen und analysiert die Datenbank, um die korrekten Prozessparameter für den Lauf zu bestimmen. Daraufhin konfiguriert der Prozesscomputer den Reaktor automatisch und führt den Prozess automatisch aus, um die Ergebnisse zur erzielen, die der Bediener des Reaktors spezifiziert hat.
  • Im Gegensatz zu Systemen des Stands der Technik, die einen Computer für den Reaktor, ein anderen Computer zur Steuerung der Gasbehälter und noch einen weiteren Computer zur Steuerung der Gaswäscher aufwiesen, bearbeitet der Prozesscomputer dieser Erfindung des Weiteren alle diese Vorgänge. Somit kann der Bediener des Reaktors von einem einzigen Bedienungspult aus je nach Bedarf die Gasplatte für die Bereitstellung von Gasen in einer bestimmten Reihenfolge für ein bestimmtes Verfahren konfigurieren, und er kann den Gaswäscher für die Verarbeitung der Abgase konfigurieren. Die Zentralisierung dieser Vorgänge in einem einzelnen Computer verringert die Hardware-Kosten und, was noch mehr von Bedeutung ist, verringert den Zeitaufwand, der für die Konfiguration des gesamten Systems erforderlich ist, wodurch die Stapelzykluszeit weiter verbessert wird.
  • Fig. 16B ist ein Blockdiagramm, das die Schritte in einem Prozess 1650 veranschaulicht, für den der Reaktor gemäß der Erfindung verwendet werden kann. Bei dem Prozess 1650 kann es sich um ein chemisches Aufdampfungsverfahren zur Aufdampfung beispielsweise einer Epitaxieschicht oder einer Schicht aus Polysilizium handeln. Es versteht sich, dass ein Reaktor gemäß der Erfindung für Prozesse verwendet werden kann, die von dem Prozess 1650 verschieden sind, einschließlich Prozessen, die weiter oben ausführlicher beschrieben sind.
  • In Schritt 1651 werden ein oder mehrere Wafer in die Reaktionskammer des Reaktors geladen, wie weiter oben ausführlicher beschrieben ist. In Schritt 1652 wird eine Stickstoffspülung durchgeführt, wie weiter oben ausführlicher beschrieben ist, gefolgt von einer Wasserstoffspülung, wie ebenfalls weiter oben ausführlicher beschrieben ist. In Schritt 1654 werden der oder die Wafer auf 900 bis 1200ºC erwärmt. In Schritt 1655 wird gasförmiges HCl eingeleitet, um die korrekte Strömungsgeschwindigkeit festzulegen, und es wird eine weitere Wasserstoffspülung durchgeführt. In Schritt 1656 werden der oder die Wafer mit dem gasförmigen HCl geätzt, damit jegliche nativen Oxide auf der Oberfläche des Wafers oder der Wafer, die verarbeitet werden sollen, entfernt werden. In Schritt 1657 wird eine weitere Wasserstoffspülung durchgeführt, die Prozesstemperatur wird festgelegt und die Prozessgase werden ausgeleitet, um die korrekte Gasströmungsgeschwindigkeit festzulegen. In Schritt 1658 werden die Prozessgase in die Reaktionskammer eingelassen und auf die Oberfläche des Wafers oder der Wafer aufgedampft, wie weiter oben ausführlicher beschrieben ist. In Schritt 1659 werden der bzw. die Wafer abgekühlt und die Prozessgase aus der Reaktionskammer mit Wasserstoff hinausgespült, wie ebenfalls oben ausführlicher beschrieben ist. In Schritt 1660 wird der Wasserstoff aus der Reaktionskammer gespült, wie oben beschrieben ist. Schließlich werden in Schritt 1661 der bzw. die Wafer aus der Reaktionskammer entnommen, wie oben beschrieben ist.
  • Da, wie oben bemerkt, ein Reaktor gemäß der Erfindung für eine Reihe von Halbleiterverarbeitungprozessen verwendet werden kann, ist es möglich, eine Gruppe von Reaktoren zusammenzustellen, um eine sequentielle Reihe von Schritten in einem Halbleiterverarbeitungprozessfluss auszuführen. Fig. 17 ist eine Draufsicht einer Gruppe von Reaktoren 1710, 1720, 1730, 1740 gemäß der Erfindung, die zur Durchführung eines bestimmten Halbleiterverarbeitungsprozesses verwendet werden (wie z. B. Aufdampfung, Ausheilen usw.). Die Reaktoren 1710, 1720, 1730, 1740 sind um die abgedichtete Kammer 170 angeordnet, in der sich ein Roboter 1704 befindet. Eine Mehrzahl von Waferkassetten 1702a, 1702b, 1702c, die je eine Mehrzahl von aufeinandergestapelten Wafern enthalten, ist im Kassettenraum 1703 benachbart zu Raum 1701 angeordnet.
  • Die Waferkassetten 1702a, 1702b, 1702c werden zuerst vom Reinraum 1701 zum Kassettenraum 1703 befördert. Ein Computersteuerungssystem wird dazu verwendet, den Roboter 1704 anzuweisen, der Waferkassette, wie z. B. der Waferkassette 1702% aus dem Kassettenraum 1703 einen geeigneten Wafer zu entnehmen und ihn in eine geeignete Reaktionskammer, wie z. B. die Reaktionskammer 1740a, eines Reaktors, wie z. B. des Reaktors 1740, einzugeben. Der Roboter 1704 wird ebenfalls so gesteuert, dass er Wafer von einer Reaktionskammer, wie z. B. der Reaktionskammer 1740a, zu einer anderen Reaktionskammer, wie z. B. der Reaktionskammer 1720% befördert. Folglich kann mit Hilfe des Roboters 1704 und einer Gruppe von Reaktoren, wie z. B. den Reaktoren 1710, 1720, 1730, 1740 gemäß der Erfindung, ein Halbleiterprozessfluss automatisiert und schnell durchgeführt werden. Obwohl in Fig. 17 vier Reaktoren 1710, 1720, 1730, 1740 gezeigt sind, versteht es sich, dass zwei, drei, fünf oder mehr Reaktoren gemäß der Erfindung in gleicher Weise angeordnet werden können.
  • Wie oben unter Bezugnahme auf den Reaktor 400 aus Fig. 4A und 4B angemerkt, ist es wünschenswert, die Schale 452 des Reaktors 400 vom Gefäß 401 wegdrehen zu können, wenn am Reaktor 400 Wartungsarbeiten durchgeführt werden sollen. Räumliche Beschränkungen können es günstiger erscheinen lassen, die Schale 452 zur einen oder zur anderen Seite des Reaktors 400 zu drehen. Gemäß der Erfindung kann die Schale 452 leicht zu beiden Seiten des Reaktors 400 gedreht werden. In Fig. 17 ist der Reaktor 1720 mit einer Schale 1720b gezeigt, die zu einer ersten Seite des Reaktors 1720 gedreht ist, und der Reaktor 1740 ist mit einer Schale 1740b gezeigt, die zu einer zweiten Seite des Reaktors 1740 gedreht ist.
  • Weiter oben wurden verschiedene Ausführungsformen der Erfindung beschrieben. Die Beschreibungen sollen dem Zweck der Veranschaulichung und nicht der Einschränkung dienen. Somit wird für Durchschnittsfachleute deutlich, dass bestimmte Modifikationen an der beschriebenen Erfindung vorgenommen werden können, ohne vom Bereich der Ansprüche, die weiter unten dargelegt sind, abzuweichen.

Claims (42)

1. Schnellwärmeverarbeitungsreaktor (200, 220, 240), der folgendes umfasst:
eine Schnellwärmeverarbeitungs-Reaktionskammer (209);
einen ersten drehbaren Schnellwärmeverarbeitungssuszeptor (241) mit einer ersten Fläche, auf der ein Einzelsubstrat (250) montiert wird, und einer zweiten Fläche, und einen zweiten drehbaren Schnellwärmeverarbeitungssuszeptor (201) mit einer ersten Fläche, auf der eine Mehrzahl von Substraten (210) montiert wird, und einer zweiten Fläche,
wobei nur der genannte erste oder der genannte zweite drehbare Schnellwärmeverarbeitungssuszeptor (201, 241) in der Schnellwärmeverarbeitutgs-Reaktionskammer (209) montiert ist, und wobei der Suszeptor (201, 241) auf der Basis gewählt wird, ob ein einzelnes Substrat (250) oder eine Mehrzahl von Substraten (210) verarbeitet werden soll(en); und
wobei ferner der genannte erste oder der genannte zweite drehbare Schnellwärmeverarbeitungssuszeptor (201, 241), der in der genannten Schnellwärmeverarbeitutgs- Reaktionskammer (209) montiert ist, nachfolgend als der genannte drehbare Schnellwärmeverarbeitungssuszeptor bezeichnet wird; und
eine Schnellwärmeverarbeitutgs-Strahlungsheizquelle (204), die außerhalb der genannten Schnellwärmeverarbeitungs-Reaktionskammer (209) montiert ist, so dass Strahlungswärme von der genannten Schnellwärmeverarbeitungs-Strahlungsheizquelle (204) direkt entweder (i) ein einzelnes Substrat (250) oder (ii) eine Mehrzahl von Substraten (210) auf eine im Wesentlichen gleichförmige Verarbeitungstemperatur in einer Zeitperiode erhitzt, die für einen Schnellwärmeverarbeitutgsreaktor charakteristisch ist, so dass der genannte Schnellwärmeverarbeitungsreaktor für Einzelsubstratstapel und eine Mehrzahl von Substratstapeln verwendet werden kann.
2. Reaktor nach Anspruch 1, bei dem das wenigstens eine Substrat ein Einzelsubstrat (250) mit einem Durchmesser umfasst, der ausgewählt wurde aus der Gruppe von Durchmessern einschließlich 125 mm, 150 mm, 200 mm, 250 mm, 300 mm, 350 mm und 400 mm, und wobei die genannte Strahlungswärmequelle (204) das Einzelsubstrat (250) direkt erhitzt.
3. Reaktor nach Anspruch 1 oder 2, umfassend eine Heizung (224), die in der Reaktionskammer (209) in der Nähe der zweiten Fläche des drehbaren Suszeptors (201, 241) montiert ist.
4. Reaktor nach Anspruch 3, bei dem die Heizung eine Widerstandsheizung (327) ist.
5. Reaktor nach Anspruch 4, umfassend eine isolierte Stromversorgungsleitung, die mit der Wiederstandsheizung (407) verbunden ist, wobei die Isolierung an der isolierten Stromversorgungsleitung eine Temperaturnennleistung hat, die geringer ist als eine Reaktionskammer-Betriebstemperatur.
6. Reaktor nach Anspruch 5, der folgendes umfasst: eine ringförmige Welle (419) mit: einer Wand, einem ersten Ende, das fest an der Widerstandsheiztung (407) befestigt ist, einem zweiten Ende und einem Kanal (419a), der in einer Richtung lotrecht zum ersten und zweiten Ende vom zweiten Ende zum ersten Ende durch die Wand verläuft; wobei sich das zweite Ende der Welle (419) außerhalb der Reaktionskammer (403) befindet, und wobei die isolierte Stromversorgungsleitung durch den Kanal (419a) zur Widerstandsheizung (407) verläuft, um so die isolierte Stromversorgungsleitung thermisch von der Reaktionskammer-Betriebstemperatur zu isolieren.
7. Reaktor nach Anspruch 5 oder 6, umfassend eine Spindel (524a), wobei die Spindel (524a) die isolierte Stromversorgungsleitung mit der Widerstandsheizung (407) verbindet.
8. Reaktor nach Anspruch 7, wobei die Spindel eine Molybdänspindel (524a) umfasst.
9. Reaktor nach einem der vorherigen Ansprüche, wobei die Reaktionskammer (403) an ein Gefäß mit einer wassergekühlten Seitenwand (401b), einem wassergekühlten Boden (401a) und einem zwangsluftgekühlten Deckel (401c) begrenzt ist.
10. Reaktor nach Anspruch 9, bei dem der zwangsluftgekühlte Deckel (401c) eine kreisrunde, domförmige Quarzwand umfasst.
11. Reaktor nach einem der vorherigen Ansprüche, umfassend ein Passivwärmeverteilungsmittel (727), das in der Reaktionskammer (209) in der Nähe der zweiten Fläche des drehbaren Suszeptors montiert ist.
12. Schnellwärmeverarbeitungsreaktor nach einem der Ansprüche 1 bis 11, verwendet für die Verarbeitung einer Mehrzahl von Substraten (210), wobei: der genannte drehbare Schnellwärmeverarbeitungssuszeptor (201) eine Mehrzahl von Montageorten aufweist, an denen die genannte Mehrzahl von Substraten (210) montiert wird, und die genannte Schnellwärmeverarbeitungs-Strahlungsheizquelle (204) die genannte Mehrzahl von Substraten (210) direkt erhitzt, wobei die genannte Schnellwärmeverarbeitungs-Strahlungsheizquelle (204) die Temperatur der genannten Mehrzahl von Substraten (210) auf eine im Wesentlichen gleichförmige Verarbeitungstemperatur in einer Zeitperiode erhöht, die den genannten Reaktor (200, 220, 240) als Schnellwärmeverarbeitungsreaktor kennzeichnet.
13. Schnellwärmeverarbeitungsreaktor nach einem der vorherigen Ansprüche, bei dem die genannte Schnellwärmeverarbeitungs-Reaktionskammer (209) ferner eine transparente Unterseite aufweist, die der genannten Oberseite gegenüberliegt und von dieser entfernt ist; und
wobei der genannte Schnellwärmeverarbeitungsreaktor ferner folgendes umfasst:
einen Reflektor (730), der außerhalb der genannten transparenten Unterseite des genannten Schnellwärmeverarbeitungsreaktors montiert ist, wobei Wärmeenergie, die den genannten Schnellwärmeverarbeitungsreaktor durch die genannte transparente Unterseite verlässt, von dem genannten Reflektor zurück in den genannten Schnellwärmeverarbeitungsreaktor reflektiert wird.
14. Reaktor nach Anspruch 12 oder 13, umfassend ein Passivwärmeverteilungsmittel (307) umfasst Siliziumkarbid, das in einer Quarzkonstruktion enthalten oder an dieser positioniert ist.
15. Reaktor nach einem der Ansprüche 6 bis 14, umfassend eine ringförmige Graphitwelle (419).
16. Reaktor nach einem der vorherigen Ansprüche, bei dem der drehbare Suszeptor (201) ein drehbarer Quarzsuszeptor ist.
17. Reaktor nach Anspruch 16, bei dem die erste Fläche des drehbaren Quarzsuszeptors kugelgestrahlt und/oder die zweite Fläche des drehbaren Quarzsuszeptors flammenpoliert ist.
18. Reaktor nach einem der vorherigen Ansprüche, bei dem der drehbare Suszeptor ein Zentrum hat und ferner wenigstens eine Tasche (1403) mit einem Zentrum beinhaltet.
19. Reaktor nach Anspruch 18, bei dem das Zentrum der oder jeder Tasche (1403) mit dem Zentrum des drehbaren Suszeptors übereinstimmt oder vom Zentrum des drehbaren Suszeptors versetzt ist.
20. Reaktor nach Anspruch 18, bei dem das Zentrum der oder jeder Tasche (1403) um das Zentrum des drehbaren Suszeptors symmetrisch ist.
21. Reaktor nach Anspruch 18, 19 oder 20, umfassend einen Suszeptoreinsatz (1417), der in der oder jeder Tasche (1403) platziert ist.
22. Reaktor nach Anspruch 21, bei dem der oder jeder Suszeptoreinsatz (1417) ein Tuch oder eine Platte ist.
23. Reaktor nach Anspruch 21, bei dem der oder jeder Suszeptoreinsatz (1417) aus Siliziumkarbid oder Graphit besteht und/oder mit Siliziumkarbid beschichtet ist.
24. Reaktor nach einem der Ansprüche 18 bis 23, ferner umfassend einen Waferumgebungsring (1101), der in der oder jeder Tasche montiert ist.
25. Reaktor nach Anspruch 24, bei dem der oder jeder Waferumgebungsring (1101) aus Graphit oder Siliziumkarbid besteht.
26. Reaktor nach einem der vorherigen Ansprüche, umfassend eine Mehrzahl von Gasdüsen (821), die in der Reaktionskammer montiert sind.
27. Reaktor nach einem der vorherigen Ansprüche, bei dem die Strahlungsenergiequelle eine Mehrzahl von Lampengruppen (405) umfasst, wobei jede Lampengruppe wenigstens eine Lampe umfasst.
28. Reaktor nach Anspruch 27, bei dem die wenigstens eine Lampe (405a) eine Quarzhalogenlampe umfasst.
29. Reaktor nach Anspruch 28, bei dem die Quarzhalogenlampe ein Wolframfilament hat.
30. Reaktor nach Anspruch 27, 28 oder 29, bei dem eine aus der Mehrzahl von Lampengruppen (405) 7 Lampen oder 9 Lampen aufweist.
31. Reaktor nach einem der Ansprüche 27 bis 30, bei dem die Mehrzahl von Lampengruppen (405) die Temperatur des oder jedes Substrats mit einer Rate von etwa 20ºC pro Sekunde oder etwa 10ºC pro Sekunde erhöht.
32. Schnellwärmeverarbeitungsreaktor nach einem der vorherigen Ansprüche, bei dem der oder jeder Suszeptor folgendes umfasst:
eine Quarzauflage mit einem Zentrum, der ersten Fläche und der zweiten Fläche, wobei die erste Fläche eine Tasche (1403) mit einem Zentrum aufweist; und
einen Siliziumkarbid-Suszeptoreinsatz (1417), der in der Tasche platziert ist.
33. Reaktor nach Anspruch 32, bei dem die erste Fläche kugelgestrahlt und/oder die zweite Fläche flammenpoliert ist.
34. Reaktor nach Anspruch 32 oder 33, bei dem das Zentrum der Quarzauflage mit dem Zentrum der Tasche (1403) übereinstimmt oder vom Zentrum der Tasche versetzt ist.
35. Reaktor nach Anspruch 32, 33 oder 34, umfassend einen Waferumgebungsring (1401), der in der Tasche montiert ist.
36. Schnellwärmeverarbeitungsreaktor nach einem der vorherigen Ansprüche, wobei:
die erste Fläche so gestaltet ist, dass ein einzelnes Substrat (250) oder eine Mehrzahl von Substraten (210) darauf montiert werden; und wobei der Reaktor folgendes umfasst:
ein Passivwärmeverteilungsmittel, das in der Nähe der zweiten Fläche des oder jedes drehbaren Suszeptors montiert ist.
37. Reaktor nach Anspruch 36, bei dem das Passivwärmeverteilungsmittel (727) ferner Siliziumkarbid umfasst, das in einer Quarzstruktur enthalten oder an dieser positioniert ist.
38. Reaktor nach einem der vorherigen Ansprüche zum Verarbeiten eines Substrats, bei dem der oder jeder Suszeptor folgendes umfasst:
wenigstens eine Öffnung (533), die von der ersten Fläche zur zweiten Fläche durch den Suszeptor (402) verläuft; und
einen Substratauflagezapfen (513), der beweglich in der oder jeder Öffnung so montiert ist, dass in einer ersten Position der oder jeder Substratauflagezapfen (513) im Suszeptor enthalten ist und in einer zweiten Position der oder jeder Substratauflagezapfen (513) das Substrat über der ersten Fläche hält, wobei der oder jeder Zapfen (S 13) eine Fläche hat, die mit einer entsprechenden Fläche der jeweiligen Öffnung zusammenpasst, um Gasstrom durch die oder jede Öffnung in dem Suszeptor während der Verarbeitung zu sperren.
39. Reaktor nach Anspruch 38, umfassend eine Mehrzahl von Auflagen, eine für jeden Substratauflagezapfen (513), die in dem Reaktor so montiert sind, dass, wenn sich der Suszeptor in einer dritten Position befindet, die Mehrzahl von Auflagen in die Mehrzahl von Substratauflagezapfen (513) eingreifen und die Substratauflagezapfen (513) in der zweiten Position halten.
40. Reaktor nach Anspruch 38 oder 39, bei dem dann, wenn sich der Suszeptor in einer vierten Position befindet, die Mehrzahl von Substratauflagezapfen (513) sich in der ersten Position befinden.
41. Reaktor nach einem der vorherigen Ansprüche zur Verarbeitung eines Substrats, bei dem:
die erste Fläche des oder jedes Suszeptors so gestaltet ist, dass sie ein Substratauflagestück aufnimmt, und eine Mehrzahl von Öffnungen umfasst, die von der ersten Fläche zur zweiten Fläche durch den genannten Suszeptor verlaufen, und ferner umfassend:
ein zweiteiliges Substratauflagestück, das auf der genannten ersten Fläche des genannten Suszeptors (201, 241) platziert ist, wobei ein erster Teil des genannten Substratauflagestücks einen Schlitz zur Aufnahme einer Substrathandhabungsvorrichtung beinhaltet; ein zweiter Teil der genannten Substratauflage in den genannten Schlitz des genannten ersten Teils passt; und das genannte Substrat in Kontakt mit dem genannten ersten Teil des genannten Substratauflagestücks zur Verarbeitung platziert wird; und ferner, bei dem, wenn sich der genannte Suszeptor (201, 241) in einer ersten Position befindet, beide Teile des genannten Substratauflagestücks sich in Kontakt miteinander und mit dem genannten Suszeptor (201, 241) befinden; und
wenn sich der genannte Suszeptor (201, 241) in einer zweiten Position befindet, Zapfen (513), die durch die genannte Mehrzahl von Öffnungen in dem genannten Suszeptor verlaufen, den genannten ersten Teil des genannten Substratauflagestücks und das genannte Substrat (210, 250) über die genannte erste Fläche des genannten Suszeptors (201, 241) heben, so dass die genannte Substrathandhabungsvorrichtung in den genannten Schlitz platziert werden kann, um das genannte Substrat (210, 250) zu bewegen und der genannte zweite Teil des genannten Substratauflagestücks in Kontakt mit der genannten ersten Fläche des genannten Suszeptors (201, 241) bleibt.
42. Schnellwärmeverarbeitungsverfahren, umfassend die folgenden Schritte:
Laden von wenigstens einem Substrat (210, 250) auf eine Fläche eines Schnellwärmeverarbeitungssuszeptors (201, 241) in einer Reaktionskammer (209) des Schnellwärmeverarbeitungsreaktors nach einem der vorherigen Ansprüche;
Erhitzen des oder jedes Substrats auf eine Verarbeitungstemperatur mit einer Rate von 10ºC pro Sekunde im Reaktor;
Einleiten von Prozessgasen in die Reaktionskammer (209), so dass die Gase auf eine Fläche des oder jedes Substrats (210, 250) deponiert werden;
Kühlen des oder jedes Substrats (210, 250) auf eine Temperatur, bei der das oder jedes Substrat (210, 250) gehandhabt werden kann; und
Entladen des oder jedes Substrats aus der Reaktionskammer (209).
DE69429218T 1993-01-21 1994-01-21 Vorrichtung zur schnellen thermischen behandlung zur herstellung von halbleiterwafers Expired - Fee Related DE69429218T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/007,981 US5444217A (en) 1993-01-21 1993-01-21 Rapid thermal processing apparatus for processing semiconductor wafers
PCT/US1994/000456 WO1994017353A1 (en) 1993-01-21 1994-01-21 A rapid thermal processing apparatus for processing semiconductor wafers

Publications (2)

Publication Number Publication Date
DE69429218D1 DE69429218D1 (de) 2002-01-10
DE69429218T2 true DE69429218T2 (de) 2002-08-29

Family

ID=21729164

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69434773T Expired - Fee Related DE69434773T2 (de) 1993-01-21 1994-01-21 Vorrichtung zur schnellen thermischen Behandlung zur Herstellung von Halbleiterwafern
DE69429218T Expired - Fee Related DE69429218T2 (de) 1993-01-21 1994-01-21 Vorrichtung zur schnellen thermischen behandlung zur herstellung von halbleiterwafers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69434773T Expired - Fee Related DE69434773T2 (de) 1993-01-21 1994-01-21 Vorrichtung zur schnellen thermischen Behandlung zur Herstellung von Halbleiterwafern

Country Status (5)

Country Link
US (5) US5444217A (de)
EP (2) EP0633997B1 (de)
JP (3) JP4084412B2 (de)
DE (2) DE69434773T2 (de)
WO (1) WO1994017353A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007059662B4 (de) * 2006-12-11 2014-01-09 Samsung Electronics Co., Ltd. Vorrichtung für Mehrkammer-CVD

Families Citing this family (651)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
KR0135840B1 (ko) * 1994-07-26 1998-04-29 김광호 개구부 매몰(filling)장치와 이를 이용한 반도체소자 제조방법
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3094816B2 (ja) * 1994-10-25 2000-10-03 信越半導体株式会社 薄膜の成長方法
JP3011866B2 (ja) * 1994-11-30 2000-02-21 信越石英株式会社 枚葉式ウエーハ熱処理装置
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5982986A (en) * 1995-02-03 1999-11-09 Applied Materials, Inc. Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
JPH08316154A (ja) * 1995-02-23 1996-11-29 Applied Materials Inc 疑似ホットウォール反応チャンバ
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US6053982A (en) * 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5651827A (en) * 1996-01-11 1997-07-29 Heraeus Quarzglas Gmbh Single-wafer heat-treatment apparatus and method of manufacturing reactor vessel used for same
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5751896A (en) * 1996-02-22 1998-05-12 Micron Technology, Inc. Method and apparatus to compensate for non-uniform film growth during chemical vapor deposition
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
JPH09260364A (ja) * 1996-03-26 1997-10-03 Tokyo Electron Ltd 熱処理方法および熱処理装置
US6031211A (en) * 1997-07-11 2000-02-29 Concept Systems Design, Inc. Zone heating system with feedback control
EP0823492A3 (de) * 1996-08-07 1999-01-20 Concept Systems Design Inc. Zonenheizungssystem mit Rückkopplungsreglung
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
KR100239405B1 (ko) * 1996-10-24 2000-01-15 김영환 반도체 제조장치
US5889258A (en) * 1996-12-12 1999-03-30 Lubomirski; Dimitri High temperature heating apparatus
US5789309A (en) * 1996-12-30 1998-08-04 Memc Electronic Materials, Inc. Method and system for monocrystalline epitaxial deposition
US6110289A (en) * 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
US5986329A (en) * 1997-03-07 1999-11-16 Advanced Micro Devices, Inc. Deposition of super thin PECVD SiO2 in multiple deposition station system
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
US6051512A (en) * 1997-04-11 2000-04-18 Steag Rtp Systems Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
AU7291398A (en) 1997-05-06 1998-11-27 Thermoceramix, L.L.C. Deposited resistive coatings
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US5840124A (en) * 1997-06-30 1998-11-24 Emcore Corporation Wafer carrier with flexible wafer flat holder
US5926615A (en) * 1997-07-08 1999-07-20 National Science Council Temperature compensation method for semiconductor wafers in rapid thermal processor using separated heat conducting rings as susceptors
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5870526A (en) * 1997-07-17 1999-02-09 Steag-Ast Inflatable elastomeric element for rapid thermal processing (RTP) system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JPH1197446A (ja) * 1997-09-18 1999-04-09 Tokyo Electron Ltd 縦型熱処理装置
JP2001522142A (ja) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
EP2099061A3 (de) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Verfahren und Anlage zur Handhabung von Werkstücken unter Vakuum mit niedriger Kontamination und hohem Durchsatz
JP2928210B1 (ja) 1998-01-30 1999-08-03 九州日本電気株式会社 半導体基板の不純物拡散処理方法および半導体製造装置
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6592661B1 (en) 1998-02-25 2003-07-15 Micron Technology, Inc. Method for processing wafers in a semiconductor fabrication system
JP3374743B2 (ja) * 1998-03-05 2003-02-10 日本電気株式会社 基板熱処理装置及び同装置からの基板の分離方法
US6301434B1 (en) 1998-03-23 2001-10-09 Mattson Technology, Inc. Apparatus and method for CVD and thermal processing of semiconductor substrates
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
TW463028B (en) * 1998-04-21 2001-11-11 Hitachi Shipbuilding Eng Co Working robot for heat exchangers and operating method thereof
US6188044B1 (en) * 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
DE19821007A1 (de) * 1998-05-11 1999-11-25 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6034357A (en) * 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6169271B1 (en) 1998-07-13 2001-01-02 Mattson Technology, Inc. Model based method for wafer temperature control in a thermal processing system for semiconductor manufacturing
US6406543B1 (en) * 1998-07-23 2002-06-18 Applied Materials, Inc. Infra-red transparent thermal reactor cover member
IL125690A0 (en) * 1998-08-06 1999-04-11 Reiser Raphael Joshua Furnace for processing semiconductor wafers
US6300600B1 (en) 1998-08-12 2001-10-09 Silicon Valley Group, Inc. Hot wall rapid thermal processor
US6900413B2 (en) 1998-08-12 2005-05-31 Aviza Technology, Inc. Hot wall rapid thermal processor
US6462310B1 (en) 1998-08-12 2002-10-08 Asml Us, Inc Hot wall rapid thermal processor
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6957690B1 (en) * 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
JP3516596B2 (ja) * 1998-10-19 2004-04-05 松下電器産業株式会社 半導体装置の製造方法
US6454854B1 (en) * 1998-10-29 2002-09-24 Shin-Etsu Handotai Co., Ltd. Semiconductor wafer and production method therefor
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6091889A (en) * 1999-01-08 2000-07-18 National Science Council Rapid thermal processor for heating a substrate
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
US6105274A (en) * 1999-03-18 2000-08-22 International Business Machines Corporation Cryogenic/phase change cooling for rapid thermal process systems
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
TW466576B (en) 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
TW425635B (en) 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
US6799603B1 (en) 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2001127143A (ja) * 1999-10-27 2001-05-11 Applied Materials Inc 基板支持装置
DE19951991C2 (de) * 1999-10-28 2001-10-25 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Beladen eines Suszeptors
DE19952705A1 (de) * 1999-11-02 2001-05-10 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit einer epitaktischen Schicht
US6246031B1 (en) 1999-11-30 2001-06-12 Wafermasters, Inc. Mini batch furnace
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6303906B1 (en) 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
US6436796B1 (en) * 2000-01-31 2002-08-20 Mattson Technology, Inc. Systems and methods for epitaxial processing of a semiconductor substrate
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
US6328221B1 (en) 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
EP1123992A3 (de) * 2000-02-09 2003-09-17 Moore Epitaxial, Inc. Vorrichtung und Verfahren zur Behandlung von Halbleitern
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6544339B1 (en) * 2000-03-22 2003-04-08 Micro C Technologies, Inc. Rectilinear wedge geometry for optimal process control in chemical vapor deposition and rapid thermal processing
US6399926B2 (en) * 2000-04-03 2002-06-04 Sigmameltec Ltd. Heat-treating apparatus capable of high temperature uniformity
WO2001082342A1 (en) * 2000-04-26 2001-11-01 Wafermasters Incorporated Gas assisted rapid thermal annealing
US6464412B1 (en) 2000-05-15 2002-10-15 Eastman Kodak Company Apparatus and method for radiant thermal film development
US6417076B1 (en) 2000-06-05 2002-07-09 Micron Technology, Inc. Automated combi deposition apparatus and method
US6545369B1 (en) 2000-06-05 2003-04-08 Micron Technology, Inc. Overlay error reduction by minimization of unpatterned wafer area
JP2001351871A (ja) * 2000-06-09 2001-12-21 Asm Japan Kk 半導体製造装置
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US6599818B2 (en) * 2000-10-10 2003-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
KR100436941B1 (ko) * 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
JP2002164423A (ja) * 2000-11-28 2002-06-07 Tokyo Seimitsu Co Ltd ウェーハリフト装置を備えたウェーハ保持装置
JP2004528677A (ja) 2000-11-29 2004-09-16 サーモセラミックス インコーポレイテッド 抵抗加熱器及びその使用法
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP2002176000A (ja) * 2000-12-05 2002-06-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び半導体装置の製造方法
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6770146B2 (en) 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
US7118780B2 (en) 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
JP3975321B2 (ja) * 2001-04-20 2007-09-12 信越化学工業株式会社 フォトマスク用シリカガラス系基板及びフォトマスク用シリカガラス系基板の平坦化方法
KR100422199B1 (ko) * 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
US6344631B1 (en) 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
CN1271678C (zh) * 2001-05-18 2006-08-23 马特森热力产品有限责任公司 搬运装置
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
US6645344B2 (en) * 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
KR100876927B1 (ko) * 2001-06-01 2009-01-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 열처리장치 및 열처리방법
JP4703891B2 (ja) * 2001-06-07 2011-06-15 ルネサスエレクトロニクス株式会社 薄膜製造方法
JP4181761B2 (ja) * 2001-06-21 2008-11-19 ジュン キム ヒョン 熱感受性非導電性基板上の半導体フィルムを熱処理するための方法および装置
EP1274121A1 (de) 2001-06-29 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Halbleiterwaferhalter
DE10131673A1 (de) * 2001-06-29 2003-01-30 Infineon Technologies Ag Tragevorrichtung für einen Wafer
KR20030006245A (ko) * 2001-07-12 2003-01-23 삼성전자 주식회사 웨이퍼 건조장치
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
TW559905B (en) * 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003086522A (ja) * 2001-09-13 2003-03-20 Sumitomo Chem Co Ltd 半導体製造装置
JP3798674B2 (ja) * 2001-10-29 2006-07-19 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP3715228B2 (ja) * 2001-10-29 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
KR100974848B1 (ko) * 2001-12-03 2010-08-11 가부시키가이샤 알박 혼합기, 박막 제조 장치 및 박막 제조 방법
US7445382B2 (en) * 2001-12-26 2008-11-04 Mattson Technology Canada, Inc. Temperature measurement and heat-treating methods and system
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6868302B2 (en) * 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
US6998580B2 (en) 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6687456B1 (en) * 2002-07-15 2004-02-03 Taiwan Semiconductor Manufacturing Co., Ltd In-line fluid heater
KR100992803B1 (ko) * 2002-07-25 2010-11-09 도쿄엘렉트론가부시키가이샤 기판 처리 용기
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
US7166168B1 (en) 2002-10-18 2007-01-23 Carl Zeiss Smt Ag Substrate-coating system and an associated substrate-heating method
EP1568068A1 (de) * 2002-11-22 2005-08-31 Applied Materials, Inc. Rückseitenheizkammer
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
KR20050084200A (ko) * 2002-12-09 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 웨이퍼 제조 장치, 웨이퍼 제조 방법 및 웨이퍼 간의온도차 감소 방법
KR101163682B1 (ko) 2002-12-20 2012-07-09 맷슨 테크날러지 캐나다 인코퍼레이티드 피가공물 지지 장치
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
KR20050088159A (ko) * 2003-01-17 2005-09-01 제너럴 일렉트릭 캄파니 웨이퍼 처리 장치
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US6991003B2 (en) * 2003-07-28 2006-01-31 M.Braun, Inc. System and method for automatically purifying solvents
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
US7190889B2 (en) * 2004-05-17 2007-03-13 Neocera, Llc Non-contact heater and method for non-contact heating of a substrate for material deposition
DE102004025150B4 (de) * 2004-05-21 2019-05-09 Mattson Technology, Inc. Lagebestimmung eines Halbleitersubstrats auf einer Rotationsvorrichtung
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
US7785456B2 (en) * 2004-10-19 2010-08-31 Jds Uniphase Corporation Magnetic latch for a vapour deposition system
DK1630260T3 (da) * 2004-08-20 2011-10-31 Jds Uniphase Inc Magnetisk holdemekanisme til et dampudfældningssystem
US7332195B2 (en) * 2004-08-26 2008-02-19 Honeywell International Inc. Chemical vapor deposition method
JP2006190795A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および急速熱処理装置
JP4934595B2 (ja) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
EP1688534A1 (de) * 2005-02-02 2006-08-09 Wolff Cellulosics GmbH & Co.KG Verwendung von Arabinoxylanen in der Papierherstellung
US7598477B2 (en) * 2005-02-07 2009-10-06 Guy Smith Vacuum muffle quench furnace
US7402778B2 (en) * 2005-04-29 2008-07-22 Asm Assembly Automation Ltd. Oven for controlled heating of compounds at varying temperatures
JP2008546203A (ja) * 2005-06-01 2008-12-18 マットソン テクノロジー インコーポレイテッド パルス化された加熱処理の間に熱収支を最適化する方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
EP1739213B1 (de) * 2005-07-01 2011-04-13 Freiberger Compound Materials GmbH Vorrichtung und Verfahren zum Tempern von III-V-Wafern sowie getemperte III-V-Halbleitereinkristallwafer
EP1760170B1 (de) 2005-09-05 2011-04-06 Japan Pionics Co., Ltd. Vorrichtung zur chemischen Dampfabscheidung
US7905109B2 (en) * 2005-09-14 2011-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rapid cooling system for RTP chamber
JP5017950B2 (ja) * 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US20070125303A1 (en) 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
JP5105396B2 (ja) * 2006-04-12 2012-12-26 東京応化工業株式会社 加熱処理装置
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US8571396B2 (en) * 2006-06-26 2013-10-29 Tp Solar, Inc. Rapid thermal firing IR conveyor furnace having high intensity heating section
US9301340B2 (en) 2006-06-26 2016-03-29 Tp Solar, Inc. IR conveyor furnace having single belt with multiple independently controlled processing lanes
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US8454356B2 (en) 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
TW200836578A (en) * 2006-11-27 2008-09-01 Momentive Performance Mat Inc Quartz encapsulated heater and heater assembly thereof
JP2008182180A (ja) * 2006-12-26 2008-08-07 Epicrew Inc 加熱装置及び半導体製造装置
ATE532609T1 (de) * 2007-02-01 2011-11-15 Ibp Conex Ltd Einführ- und freigabewerkzeug für rohrverbindungsanordnung und verfahren zur verwendung solch eines werkzeugs
US8610033B1 (en) * 2007-03-29 2013-12-17 Moore Epitaxial, Inc. Rapid thermal process reactor utilizing a low profile dome
WO2008142747A1 (ja) * 2007-05-16 2008-11-27 Canon Anelva Corporation 加熱処理装置
JP4288309B2 (ja) * 2007-09-03 2009-07-01 キヤノンアネルバ株式会社 基板熱処理装置及び基板の熱処理方法
US8372327B2 (en) * 2007-09-13 2013-02-12 The Boeing Company Method for resin transfer molding composite parts
US8017059B2 (en) 2007-09-13 2011-09-13 The Boeing Company Composite fabrication apparatus and method
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US8375758B1 (en) 2007-09-13 2013-02-19 The Boeing Company Induction forming of metal components with slotted susceptors
US8865050B2 (en) 2010-03-16 2014-10-21 The Boeing Company Method for curing a composite part layup
JP5444607B2 (ja) * 2007-10-31 2014-03-19 株式会社Sumco エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
KR100906341B1 (ko) 2007-11-22 2009-07-06 에이피시스템 주식회사 급속열처리용 기판회전요동장치
JP5283370B2 (ja) * 2007-11-29 2013-09-04 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8404049B2 (en) 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20090214843A1 (en) * 2008-02-26 2009-08-27 Siltronic Corporation Controlled edge resistivity in a silicon wafer
WO2009108221A2 (en) * 2008-02-27 2009-09-03 S.O.I.Tec Silicon On Insulator Technologies Thermalization of gaseous precursors in cvd reactors
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090269939A1 (en) * 2008-04-25 2009-10-29 Asm International, N.V. Cyclical oxidation process
CN104313529A (zh) * 2008-05-01 2015-01-28 萨莫希雷梅克斯公司 制造烹饪器具的方法
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
CN102089873A (zh) 2008-05-16 2011-06-08 加拿大马特森技术有限公司 工件破损防止方法及设备
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
CN101308981A (zh) * 2008-07-11 2008-11-19 永泰电子(东莞)有限公司 一种运用红外线加热的焊接工艺及焊接装置
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
KR101182502B1 (ko) * 2008-09-30 2012-09-12 도쿄엘렉트론가부시키가이샤 기판의 이상 배치 상태의 검지 방법, 기판 처리 방법, 컴퓨터 판독 가능한 기억 매체 및 기판 처리 장치
US20100095890A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gas supply system, pumping system, coating system, gas supply method, and pumping method
JP5123820B2 (ja) * 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8110435B2 (en) * 2008-12-18 2012-02-07 Jusung Engineering Co., Ltd. Method and apparatus for manufacturing semiconductor device
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
CN102308381B (zh) * 2009-02-11 2014-08-13 应用材料公司 非接触性基板处理
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
KR101091369B1 (ko) 2009-02-17 2011-12-07 엘지이노텍 주식회사 반도체 제조장치
JP5438992B2 (ja) * 2009-02-20 2014-03-12 昭和電工株式会社 炭化珪素半導体装置の製造方法
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US8298629B2 (en) * 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
US8673081B2 (en) * 2009-02-25 2014-03-18 Crystal Solar, Inc. High throughput multi-wafer epitaxial reactor
JP2010205922A (ja) * 2009-03-03 2010-09-16 Canon Anelva Corp 基板熱処理装置及び基板の製造方法
US20100240224A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufactruing Co., Ltd. Multi-zone semiconductor furnace
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101536257B1 (ko) * 2009-07-22 2015-07-13 한국에이에스엠지니텍 주식회사 수평 흐름 증착 장치 및 이를 이용한 증착 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
JP2013503414A (ja) * 2009-08-26 2013-01-31 ビーコ・インスツルメンツ・インコーポレーテッド 磁気記録媒体上にパターンを製造するためのシステム
JP5357689B2 (ja) * 2009-10-02 2013-12-04 三洋電機株式会社 触媒cvd装置、膜の形成方法、太陽電池の製造方法及び基材の保持体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
US8242033B2 (en) * 2009-12-08 2012-08-14 Corning Incorporated High throughput recrystallization of semiconducting materials
JP2011171450A (ja) * 2010-02-17 2011-09-01 Nuflare Technology Inc 成膜装置および成膜方法
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
EP2368860A1 (de) * 2010-03-01 2011-09-28 Saint-Gobain Glass France Vorrichtung und Verfahren zur Substratprozessierung
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
TWI398545B (zh) * 2010-04-29 2013-06-11 Chi Mei Lighting Tech Corp 有機金屬化學氣相沉積機台
FR2959757B1 (fr) * 2010-05-04 2012-08-03 Global Technologies Reacteur pyrolytique a chauffage bilateral
US20120181265A1 (en) * 2010-07-15 2012-07-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
WO2012012376A1 (en) * 2010-07-22 2012-01-26 First Solar, Inc Deposition system
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
TWI489075B (zh) * 2010-09-28 2015-06-21 Tp太陽能公司 具有高反射率加熱區段的快速點火ir輸送帶爐
CH703545B1 (de) * 2010-10-04 2012-02-15 Rene Meier Verfahren zur Herstellung von elektroaktiviertem Wasser.
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
DE102010054919A1 (de) * 2010-12-17 2012-06-21 Centrotherm Photovoltaics Ag Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9915475B2 (en) * 2011-04-12 2018-03-13 Jiaxiong Wang Assembled reactor for fabrications of thin film solar cell absorbers through roll-to-roll processes
CN107022789B (zh) 2011-05-27 2021-03-12 斯瓦高斯技术股份有限公司 在外延反应器中的硅衬底上外延沉积硅晶片的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104040691B (zh) * 2011-12-27 2016-09-07 佳能安内华股份有限公司 基板热处理装置
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US20130196053A1 (en) * 2012-01-10 2013-08-01 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat Flow cell design for uniform residence time fluid flow
USD726133S1 (en) 2012-03-20 2015-04-07 Veeco Instruments Inc. Keyed spindle
USD712852S1 (en) 2012-03-20 2014-09-09 Veeco Instruments Inc. Spindle key
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US9401271B2 (en) * 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
EP2660574A1 (de) * 2012-05-04 2013-11-06 LayTec AG Flache lichtemittierende Platte zur Simulation von Wärmestrahlung, Verfahren zur Kalibrierung eines Pyrometers und Verfahren zur Bestimmung der Temperatur eines Halbleiter-Wafers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US9406538B2 (en) * 2012-10-09 2016-08-02 Applied Materials, Inc. Indexed inline substrate processing tool
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
JP5904101B2 (ja) * 2012-11-22 2016-04-13 豊田合成株式会社 化合物半導体の製造装置およびウェハ保持体
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP3514700A1 (de) * 2013-02-20 2019-07-24 Hartford Steam Boiler Inspection and Insurance Company System und verfahren zur dynamischen verringerung der ausreissertendenz
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6027929B2 (ja) * 2013-03-29 2016-11-16 大陽日酸株式会社 気相成長装置の調整方法
JP6293135B2 (ja) * 2013-06-06 2018-03-14 イビデン株式会社 ウエハキャリアおよびこれを用いたエピタキシャル成長装置
US9580806B2 (en) * 2013-08-29 2017-02-28 Applied Materials, Inc. Method of processing a substrate support assembly
KR102434364B1 (ko) * 2013-09-06 2022-08-19 어플라이드 머티어리얼스, 인코포레이티드 원형 램프 어레이들
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105745741B (zh) * 2013-11-22 2019-11-08 应用材料公司 易取灯头
EP3100298B1 (de) * 2014-01-27 2020-07-15 Veeco Instruments Inc. Waferträger mit haltetaschen mit verbundradien für systeme zur chemischen dampfphasenabscheidung
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6303592B2 (ja) * 2014-02-25 2018-04-04 東京エレクトロン株式会社 基板処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015179387A1 (en) * 2014-05-21 2015-11-26 Brewer Science Inc. Multi-size adaptable spin chuck system
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6094605B2 (ja) * 2015-01-20 2017-03-15 トヨタ自動車株式会社 単結晶製造装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016164569A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Process gas preheating systems and methods for double-sided multi-substrate batch processing
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
US10597779B2 (en) * 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
EP3360155B1 (de) * 2015-10-09 2022-10-05 Applied Materials, Inc. Diodenlaser für waferheizung für epi-verfahren
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015220924B4 (de) * 2015-10-27 2018-09-27 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe mit Orientierungskerbe, Verfahren zum Abscheiden einer Schicht auf einer Halbleiterscheibe und Halbleiterscheibe
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
JP6539578B2 (ja) 2015-12-22 2019-07-03 株式会社Screenホールディングス 熱処理装置および熱処理方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP7091249B2 (ja) 2016-03-02 2022-06-27 ワットロー・エレクトリック・マニュファクチャリング・カンパニー ヒータ作動フローバイパス
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN117107221A (zh) * 2016-03-28 2023-11-24 应用材料公司 基座支撑件
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP6836965B2 (ja) * 2017-06-23 2021-03-03 昭和電工株式会社 成膜装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TWI845682B (zh) 2019-05-22 2024-06-21 荷蘭商Asm Ip私人控股有限公司 工件基座主體
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110211710B (zh) * 2019-06-12 2022-03-25 中国核动力研究设计院 一种多辐照目标材料辐照考验堆芯结构及布置和运行方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7236985B2 (ja) * 2019-11-15 2023-03-10 東京エレクトロン株式会社 温度計測システム、温度計測方法及び基板処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023210656A1 (ja) * 2022-04-27 2023-11-02 ローム株式会社 加熱処理装置、及びその動作方法

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3279946A (en) * 1962-08-14 1966-10-18 Merck & Co Inc Hydrogen chloride treatment of semiconductor coating chamber
DE1771305C3 (de) * 1968-05-03 1974-07-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Reinigen eines für die Halbleiterherstellung dienenden Behandlungsgefäßes aus Quarz
US3835751A (en) * 1971-10-06 1974-09-17 Leesona Corp Fluid operated system
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US3836751A (en) * 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US3916822A (en) * 1974-04-26 1975-11-04 Bell Telephone Labor Inc Chemical vapor deposition reactor
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
SE7710800L (sv) * 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4407496A (en) 1981-12-14 1983-10-04 Johnson David E Limb exercise device
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
JPS58223320A (ja) * 1982-06-22 1983-12-24 Ushio Inc 不純物拡散方法
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
GB2136937A (en) * 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4511688A (en) * 1984-02-29 1985-04-16 The Dow Chemical Company Flame retardant for use in rigid polyurethane foams
US4560420A (en) * 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS61289624A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相成長装置
US4680451A (en) * 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
EP0255454A3 (de) * 1986-07-26 1991-11-21 Nihon Shinku Gijutsu Kabushiki Kaisha Anordnung für chemischen Dampfniederschlag
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5034199A (en) * 1987-11-13 1991-07-23 Kopin Corporation Zone melt recrystallization apparatus
US4851358A (en) * 1988-02-11 1989-07-25 Dns Electronic Materials, Inc. Semiconductor wafer fabrication with improved control of internal gettering sites using rapid thermal annealing
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5169684A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5104276A (en) * 1989-05-19 1992-04-14 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
US4986838A (en) * 1989-06-14 1991-01-22 Airgard, Inc. Inlet system for gas scrubber
JPH04713A (ja) * 1989-12-26 1992-01-06 Sumitomo Metal Ind Ltd 基板の加熱装置
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5034100A (en) * 1990-11-28 1991-07-23 Wilbanks International Stationary drainage device with pressure roll
JPH04202091A (ja) * 1990-11-30 1992-07-22 Furukawa Electric Co Ltd:The 化合物半導体の気相成長装置
US5106200A (en) * 1990-12-20 1992-04-21 Applied Materials, Inc. Apparatus for measuring temperature of wafer
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JP2532401Y2 (ja) * 1991-04-16 1997-04-16 ソニー株式会社 バイアスecrプラズマcvd装置
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5152842A (en) * 1991-12-05 1992-10-06 Rohm Co., Ltd. Reactor for epitaxial growth
DE4140387C2 (de) * 1991-12-07 1998-10-15 Inst Halbleiterphysik Gmbh Vorrichtung und Verfahren zur verformungsfreien Bearbeitung von Halbleitermaterialscheiben in schnellen thermischen Prozessen
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5431737A (en) * 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007059662B4 (de) * 2006-12-11 2014-01-09 Samsung Electronics Co., Ltd. Vorrichtung für Mehrkammer-CVD

Also Published As

Publication number Publication date
JP3859226B2 (ja) 2006-12-20
US5710407A (en) 1998-01-20
DE69434773D1 (de) 2006-08-03
EP1154039B1 (de) 2006-06-21
WO1994017353A1 (en) 1994-08-04
US5444217A (en) 1995-08-22
US6151447A (en) 2000-11-21
EP1154039A1 (de) 2001-11-14
DE69429218D1 (de) 2002-01-10
US6310327B1 (en) 2001-10-30
DE69434773T2 (de) 2007-06-28
EP0633997A1 (de) 1995-01-18
US5683518A (en) 1997-11-04
EP0633997B1 (de) 2001-11-28
EP0633997A4 (de) 1997-05-21
JPH07505261A (ja) 1995-06-08
JP2007180533A (ja) 2007-07-12
JP4084412B2 (ja) 2008-04-30
JP2005045213A (ja) 2005-02-17

Similar Documents

Publication Publication Date Title
DE69429218T2 (de) Vorrichtung zur schnellen thermischen behandlung zur herstellung von halbleiterwafers
DE69304038T2 (de) Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
DE69935351T2 (de) Verfahren zum Abscheiden von Atomschichten
DE60024424T2 (de) Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE60124952T2 (de) Ausnehmungsprofil eines suszeptors zum verbessern des prozesses
EP2126161B1 (de) Vorrichtung und verfahren zum abscheiden kristalliner schichten wahlweise mittels mocvd oder hvpe
DE69535114T2 (de) Kammer für CVD-Behandlungen
DE19980683C2 (de) Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer
DE69622823T2 (de) Waferheizanordnung
JP4147608B2 (ja) 熱処理装置
DE69306783T2 (de) Reaktor zur herstellung von halbleiterplaettchen durch gasphasenabscheidung
DE69710655T2 (de) Gaseinleitsystem für CVD Reaktoren
DE69733923T2 (de) Senkrechter Doppelofen zur Wärmebehandlung
DE69625545T2 (de) Mehrschichtige Susceptor für schnelle thermische Behandlungsreaktoren
DE69323079T2 (de) Rotierende halterung als maschinenteil für die bearbeitung von halbleiterplättchen, einsetzbar bei der chemischen gasphasenabscheidung von wolfram
DE102006025843B4 (de) Wafer-Transporteinrichtung, Prozesskammer diese enthaltend, Halbleiter-Wafer-Prozessierungssystem und Verfahren zum Prozessieren eines Halbleiter-Wafers
DE102006056811A1 (de) Heizvorrichtung für Halbleiterchargen
DE4403552C2 (de) Elektronencyclotron-Resonanzvorrichtung
DE10296988T5 (de) Bearbeitungsvorrichtung und -verfahren
DE69822173T2 (de) Heizungsbaugruppe für einen Ofen für die Halbleiterprozessierung
DE69110814T2 (de) Einrichtung zur thermischen Behandlung mit Waferhorde.
EP0736614A2 (de) Verfahren und Vorrichtung zum Erzeugen einer Halbleiteranordnung
DE10248728A1 (de) Heizaggregat für die Herstellung einer Halbleitervorrichtung
DE69931278T2 (de) Vorrichtung und verfahren zur thermischen behandlung von halbleitersubstraten

Legal Events

Date Code Title Description
8327 Change in the person/name/address of the patent owner

Owner name: MOORE EPITAXIAL INC., TRACY, CALIF., US

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee