JPH07505261A - 半導体基板を処理するための高速熱処理反応炉 - Google Patents

半導体基板を処理するための高速熱処理反応炉

Info

Publication number
JPH07505261A
JPH07505261A JP6517096A JP51709694A JPH07505261A JP H07505261 A JPH07505261 A JP H07505261A JP 6517096 A JP6517096 A JP 6517096A JP 51709694 A JP51709694 A JP 51709694A JP H07505261 A JPH07505261 A JP H07505261A
Authority
JP
Japan
Prior art keywords
susceptor
wafer
reactor
reaction chamber
quartz glass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6517096A
Other languages
English (en)
Other versions
JP4084412B2 (ja
Inventor
ムーア、ゲイリー・エム
ニシカワ、カツヒト
Original Assignee
ムーア・エピタキシャル・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ムーア・エピタキシャル・インコーポレイテッド filed Critical ムーア・エピタキシャル・インコーポレイテッド
Publication of JPH07505261A publication Critical patent/JPH07505261A/ja
Application granted granted Critical
Publication of JP4084412B2 publication Critical patent/JP4084412B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 半導体基板を処理するための高速熱処理反応炉発明の背景 産業上の利用分野 本発明は、半導体ウェハの熱処理に関し、特に複数の半導体ウェハ及び1枚の大 型半導体ウェハを迅速に熱処理するための方法及び装置に関する。
従来技術 半導体ウェハの表面に膜を堆積させる過程は、半導体処理の共通の過程である。
典型的には、半導体ウェハを収容した堆積チャンバ内で、選択された化学ガスが 混合される。
通常、チャンバ内のガスの化学反応を促進し、かつ膜が堆積されるウェハの表面 を加熱するために熱が加えられる。
堆積過程では、堆積された膜の厚さ及び抵抗率を均一に保ちながら、ウェハのス ルーブツト(即ち単位時間当たりに処理されるウェハの数)を最大にすることが 望まれる。
多くの異なる堆積反応炉が開発されてきた。一般的に、各堆積反応炉は、反応チ ャンバと、ウェハ取扱いシステムと、熱源及び温度制御部と、ガス供給システム (吸気、排気、及び流量制御システム)とを有する。
図IAには、水平炉と呼ばれる従来技術の1つの形式の堆積反応炉100の簡略 化された断面図が示されており、この水平炉内では、サセプタ101が水平な管 102(通常は長方形の断面を有する)内に配置されており、この水平な管10 2の内部が反応チャンバとなっている。半導体つzハ103a、103b及び1 03cが、サセプタ101の表面101aに取着されている。熱源104がウェ ハを加熱し、反応ガス105が管102を通して流ね、ウェハの周囲を通過する 。サセプタ101は、図IAに示されているように傾いて配置されており、表面 101Aは、反応ガス105の流れに向かい合い、反応ガス105の流れの端部 付近のウェハの近傍で反応ガスが枯渇する問題が発生をことを最小にする。
図IBは、バレル反応炉と呼ばれる従来のある形式の反応炉110の概略斜視図 であり、この反応炉内では、サセプタ111は反応炉を画定するベルジャ112 の内部に支持されている。半導体ウェハ、例えばウェハ113は、サセプタ11 1の側面例えば側面111aにほぼ垂直に取着されている。熱源114がウェハ を加熱し、反応ガスがガス入口115を通してベルジャ112の上部に供給され る。
ガスは、サセプタ111の長手方向に沿って下向きに移動し、ウェハの表面の上 を通過し、かつベルジャ112の底部のガス出口(図示されていない)を通って 反応炉から排気される。
図ICは、パンケーキ(pancake)反応炉と呼ばれる従来の更に他の形式 の反応炉120の概略断面図であり、この反応炉内では、垂直方向に固定された サセプタ121が、反応チャンバを画定するベルジャ122の底部から支持され ている。半導体ウェハ、例えばウエノX123は、サセプタ121の表面121 aの上で水平に取着されている。ウェハはRF熱源(図示されていない)によっ て加熱され、反応ガスはサセプタ支持部125を通ってウエノ1の上部の反応チ ャンバ内に供給される。反応ガスは下向きに流れてウェハの上部に達し、ベルジ ャ122の底部のガス出口(図示されていない)を通して排気される。
堆積反応炉は、その動作の特徴に基づいて分類される。
即ち、反応炉はコールトウt −(co Id wa l l)反応炉またはホ ットウォ−(hot wall)反応炉の何れかである。コールトウオー反応炉 は、チャンバの壁面に好ましくない堆積が形成されないために、通常はより好ま しいものである。
反応炉はまた、ウェハを加熱及び冷却するために要する時間によって分類される 。従来の反応炉では、ウェハのバッチを処理するために必要な1サイクルに40 〜90分を要した。一方、高速熱処理(rapid thermalproce ss)(RTP)反応炉は、ウェハの処理に2〜15分のみを要する。従って、 高速熱処理反応炉は、従来の反応炉の処理時間よりも十分に短い処理時間を要す ることを特徴とする。
従来の反応炉は、1つのバッチ内の複数のウェハまたは一枚のウェハを処理する ために用いられてきたが、一方RTP反応炉は、一枚のウェハを有するバッチを 処理するために用いられてきた。RTP反応炉は、複数のウェハを備えたバッチ を処理するためには用いられない。その理由は、RTP反応炉の温度が迅速に変 化するので、反応チャンバ内に均一な温度の領域を形成することが困難となるた めである。反応チャンバ内の均一な温度の領域によって、反応炉で処理できるウ ェハが、概ね200mm以下の直径の一枚のウェハに限定される。
従来の反応炉は異なり、RTP反応炉は同時に一枚のウェハのみを処理するが、 従来の反応炉によって可能な抵抗率及び厚さよりもより均一な抵抗率及び厚さを 形成することができるために、RTP反応炉が用いられている。従来の反応炉で は、厚さ及び抵抗率のばらつきは3〜10%となっている。RTP反応炉では、 厚さのばらつきは1〜2%であり、抵抗率のばらつきは1〜5%である。
反応炉はまた、反応チャンバ内のウェハの姿勢に基づいて分類される。垂直反応 炉は、その内部でガスが堆積される表面がほぼ垂直に配置されている。水平反応 炉は、その内部でガスが堆積される表面がほぼ水平に配置されている。
反応炉はまた、ウェハを加熱するために用いられる熱源の形式に基づいて分類さ れる。半導体処理のために放射加熱を用いる方法は、従来から公知であり、19 60年代まで遡ることができる。半導体を処理するためにさまざまなシステムが 開発され、これらのシステムは放射加熱用の熱源またはRF熱源及びサセプタを 備えている。しかし、これらの装置の各々は少なくとも1つの問題点を有する。
シーツ(Sheets)による米国特許第4,649゜261号“Appara tus for HeatingSemiconductor Wafers  in 0rder To Achieve Annealing。
5ilicide Formation、 Refl。
w of Glass、 Pa5sivation Lay e r s、 e  t c、”に開示された発明では、2つの放射熱源即ち連続した熱を放射する 熱源及びパルス状の熱を放射する熱源が用いられており、静止したウェハを1秒 間に200℃〜500℃の割合で加熱している。シミズ(Shimizu)によ る米国特許第4.533,820号“Radiant Heating App aratus″は、ペデスタルによって支持された半導体ウェハを加熱する複数 の2次元に配置された光源によって囲繞された反応チャンバが開示されている。
シミズは、光源が点灯した後に3分間で均一な酸化膜が半導体ウェハに形成され ることを報告している。
半導体ウェハを加熱するために2つの放射熱源を用いた他の構造が、例えば、1 987年7月14日にガツト(Gat)らに発行された米国特許第4,680, 451号“Apparatus Using High Intensity  CW Lamps for ImprovedHeat Treating o f Sem1conductor Wafer、”、及び1985年10月29 日にアライ(Arai)らに発行された米国特許第4,550.245号“Li ght−Radiant Furnace for Heating Sem1 conductor Wafers、”に開示されている。ガツトらは、10. 16c+a(4インチ)のウェハを3秒間で700℃に加熱し、10秒間この温 度に保持し、次に3秒間で温度を低下させることを報告している。アライらは、 放射熱源の各ランプに1600Wの電力を供給して、厚さ450μm1面積25 .81cm(4平方インチ)のシリコンウェハを、ランプが点灯された後10秒 間で1200℃に加熱したことを報告している。
半導体ウェハを加熱するための更に他の装置が、ロビンソン(Robinson )らによる米国特許第4,789.771号明細書に開示されており、この明細 書には、ウェハが反応チャンバのサセプタの上に支持されていることが記載され ている。この装置では、赤外線ランプが反応チャンバを加熱するように配置され ている。この構造はいくつかの欠点を有するものである。例えば、放射加熱ラン プが反応チャンバ内のガスにさらされるために、ランプの表面に堆積物が形成さ れる。更に、ランプ及び反射器の内側面はチャンバ内のガスの流れによってのみ 冷却されるので、ランプの寿命が短くなると予想される。また、ランプの石英ガ ラスばかりでなくランプの反射器が明らかに高温度となるために、長時間に亘っ て使用されるうちに、ランプ及び反射器の表面に堆積物が形成され、この堆積物 がサセプタの上に形成される層の均一性に影響を及ぼすことになる。
更に、放射エネルギーを完全には透過しないサセプタの回転機構によって、サセ プタの裏側面全体が直接加熱されることかを妨げられるので、サセプタの表面を 均一に加熱するための特別な機構が必要とされる。
発明の開示 本発明の新規な高速熱処理(RT P)反応炉は、1枚の半導体ウェハばかりで なく、複数の半導体ウエノ1をも処理する。本明細書で説明されるRTP反応炉 は、従来の反応炉の処理サイクル時間と比べてその処理サイクル時間が短いこと を特徴とする。ウェハを迅速に加熱することは、本発明の反応炉を特徴づける短 い処理サイクル時間の要因の1つである。本発明に基づ<RTP反応炉は、ひと つの熱源または2個の熱源を用いて、複数のウェハもしくは1枚の大型なウェハ 、例えば250ma+(10インチ)、300mm(12インチ)及び400m m(16インチ)の直径のウェハを処理する(以下、ウェハの寸法をウェハの直 径で表す)。
本発明のある実施例に基づけば、1つのバッチで、125)(5インチ)及び1 50mm(6インチ)のウエノ1は4枚処理され、200+nm(8インチ)の ウェハは3枚処理され、250mm(10インチ)、300mm(12インチ) 及び400mm(16インチ)のウェハは各々1枚づつ処理される。しかし、本 発明の原理を用いたより大型の反応炉を用いることによって、より大型のバッチ を処理することができる。
より詳しく説明すれば、本発明の半導体処理構造は、反応チャンバ内に取り付け られた回転可能なサセプタを備えた反応チャンバを有する。回転可能なサセプタ は、 (1)1枚のウェハまたは(2)複数のウェハの何れか一方を取り付ける ように適合された第1の表面と、前記第1の表面の反対側の第2の表面とを有す る。放射熱源が反応チャンバの外側に取り付けられており、放射熱源からの放射 熱が、回転可能なサセプタに取り付けられた1枚のウェハまたは複数のウェハを 直接加熱する。放射熱源は、1枚のウェハまたは複数のウェハの温度を、概ね均 一なプロセス温度に上昇させ、このプロセス温度は、この半導体処理構造が高速 熱処理反応炉として特徴づけられるような時間内で良好な処理結果を得ることの できるような十分に均一な温度である。
他の実施例では、半導体処理構造は更に、回転可能なサセプタの第2の表面の近 傍に反応チャンバ内に取り付けられた加熱器を有する。この加熱器夕は、例えば 抵抗加熱器からなる。抵抗加熱器へは絶縁された電源ラインから電力が供給され 、この電源ラインは反応チャンバの動作温度よりも低い温度定格を有する絶縁被 覆を有する。絶縁された電源ラインを反応チャンバの動作温度に対して熱的に絶 縁するために、この電源ラインは管状シャフト内を配線されている。
前記管状シャフトは、壁面と、抵抗加熱器に取着された第1の端部と、第2の端 部と、前記第1及び第2の端部に対して垂直な方向に前記第2の端部から前記第 1の端部へ前記壁面に延在する溝とを有する。管状シャフトの第2の端部は、反 応チャンバの外部に配置されている。絶縁された電源ラインは、前記溝を通って 抵抗加熱器に接続されているので、反応チャンバの動作温度から熱的に絶縁され ている。ある実施例では、ねじ、好ましくはモリブデン製のねじが、絶縁された 電源ラインを抵抗加熱器に接続している。
本発明のある実施例では、回転可能なサセプタは、石英ガラスからなり、かつ第 1の表面はビードブラスト(beBd 1)last)され、一方策2の表面は 炎研磨(flame polish)されている。サセプタは、各ウェハを支持 するポケットを有する。このポケットの深さは、ウェハの厚さと等しいかまたは ウェハの厚さよりもわずかに浅く、ウェハがポケット内に配置されたとき、ウェ ハの表面はサセプタの第1の表面と同一平面にあるかまたは第1の表面かられず かに低い位置にある。
1枚のウェハが処理される場合、ポケットの中心は、回転可能なサセプタの中心 と一致するか若しくはサセプタの中心から変位して配置されている。前記ポケッ トの中心を変位して配置することによって、ウェハのローディング及びアンロー ディングが容易となる。
ウェハの温度を均一にすることを強化するために、ウェハ囲繞リングが、少なく ともウェハのエツジ部分を囲繞するべく、サセプタのポケット内に配置されてい る。他の実施例では、その内部にサセプタインサート及びまたはスピンドルが配 置される開口部が、ウェハ囲繞リングの中心に形成されている。ウェハ囲繞リン グの凹部と、サセプタインサート及びまたはスピンドルは、等しい深さを有し、 ウェハがウェハ囲繞リング及びサセプタインサート及びまたはスピンドルの上に 配置されたとき、ウェハの表面がサセプタの前記第1の表面と同一平面にあるか または第1の表面かられずかに低い位置に配置さね、ウェハは前記ポケットの外 側エツジ面によって定位置に保持される。他の実施例では、ウェハ囲繞リングの 表面に、ウェハのエツジ付近の表面に隣接する溝が形成されている。ウェハ囲繞 リングには、ウェハが当接する傾斜した棚が形成されても良く、ウェハが加熱さ れて湾曲したとき、ウェハの表面は概ねウェハ囲繞リングの表面と接触した状態 を保つ。
更に他の実施例では、反応チャンバ内の加熱器は、受動熱分配要素に置き換えら れへ この熱分配要素は、回転可能なサセプタの第2の表面の近傍に取り付けら れている。前記受動熱分配要素は、石英ガラスで被覆されたまたは石英ガラスの 上に配置されたシリコンカーバイドを含む。代わりに、黒鉛を用いることもでき る。
本発明の反応炉内にプロセスガスを注入するために、反応チャンバ内に取着され た複数のガス噴出孔若しくは中心に配置されたガス注入ヘッドが用いられる。反 応チャンバは、水冷された側壁と、水冷された底壁と、強制空冷された土壁とを 備えた容器によって画定されている。空気によって冷却された上部の壁は、ドー ム型の石英ガラスからなる。
本発明の放射エネルギー源は、複数のランプバンクを有し、このランプバンクに は少なくとも1個のランプが備え付けられている。このランプは、タングステン 電極を備えた石英ガラス・ハロゲンランプからなる。
本発明の新規な反応炉には、環状シャフトに連結されかつサセプタ支持手段に連 結されたサセプタ配置機構が更に備えられている。このサセプタ配置機構は、環 状シャフト及びサセプタ支持手段を第1の方向に移動し、これによって回転可能 なサセプタを第1の方向に移動させる。
本発明の更に他の実施例では、半導体ウェハを処理する反応炉は、頭部を備えた テーブルに取り付けられた反応チャンバ容器を有する。第1の方向に延在する溝 には、シェルが移動可能に接続されており、前記溝は、テーブルに固着されてい る。前記シェルは、連結手段によって前記トラックに移動可能に接続されている 。前記連結手段は、前記シェルに取着された複数のコネクタを有する。前記複数 のコネクタは、前記シェルに選択的に着脱可能である。
前記連結手段が前記溝に沿って移動するとき、前記シェルは前記表面と接触する 第1の位置から、前記テーブルの表面から隔てられた第2の位置へ、第1の向き に移動する。
シェルが第2の位置にあるとき、複数のコネクタの1つをシェルから遮断するこ とによって、シェルは、前記第1の方向とほぼ直交する第2の方向に沿って移動 可能となり、前記シェルによって制限されずに、前記反応チャンバ容器に接近す ることが可能となる。
ある実施例では、前記連結手段は溝に移動可能に接続されたヨークを有する。こ のヨークは、第1及び第2のボスと、第3及び第4のボスとを有する。第1及び 第2のボスの各々は、その内部に形成された開口部を有し、この第1及び第2の ボスの開口部の中心は互いに等しい軸上に配置されている。第3及び第4のボス もまた、その内部に形成された開口部を各々備え、この第3及び第4のボスの開 口部の中心も互いに等しい軸上に配置されている。シェルは、貫通する開口部を 備えた第1のボスと、貫通する開口部を備えた第2のボスとを有する。
ヨークの第1のボスの開口部と、シェルの第1のボスの開口部と、ヨークの第2 のボスの開口部とを貫通する第1のピンが、ヨークとシェルとを連結している。
ヨークの第3のボスの開口部と、シェルの第2のボスの開口部と、ヨ−クの第4 のボスの開口部とを貫通する第2のピンが、ヨークとシェルとを連結している。
第1のピンを取り除くことによって、シェルは第2の方向に移動可能となる。
上述されたように、本発明のサセプタは、その上に半導体ウェハを取り付けるよ うに適合された第1の表面と、前記第1の表面の反対側の第2の表面とを有する 。ある実施例では、サセプタは、第1の表面から第2の表面へ貫通する複数の開 口部を更に有する。ウェハ支持ピンが、サセプタの開口部の各々の中に収容され ている。ウェハ支持ピンは、第1の位置にあるときサセプタ内に収容されており 、また第2の位置にあるとき半導体ウェハを第1の表面の上で保持する。各々の ウェハ支持ピンに対応する複数の支持部が、反応炉内に取り付けられており、サ セプタが所定の位置に配置されているとき、複数の支持部は複数のウェハ支持ピ ンに係合し、かつウェハ支持ピンを第2の位置に保持する。サセプタが他の所定 の位置に配置されているとき、複数のウェハ支持ピンは第1の位置に配置されて いる。
本発明のRTP反応炉の石英ガラス部分及びサセプタに堆積したシリコンは、R TP反応炉を通して予め決められた百分率のHCIを含むガスを流す過程と、R TP反応炉の壁への冷媒の流れを減少し、壁の温度をシリコン堆積過程の通常の 動作時の壁の温度よりも高く保つ過程とからなる方法を用いることによってエツ チングされる。
その表面に対して垂直方向に移動可能なサセプタを備えた高速熱処理反応炉の反 応チャンバ内の微粒子による汚染は、反応チャンバの壁を貫通する支持手段にサ セプタを取着し、支持手段に取着された機構によって、サセプタを前記垂直な方 向に反応チャンバの外へ移動させ、これによって反応チャンバ内の部品の数を制 限することで減少させることができる。
図面の簡単な説明 第1A図は、従来技術の水平反応炉の概略断面図である。
第1B図は、従来技術のバレル反応炉の概略斜視図である。
第1C図は、従来技術のパンケーキ反応炉の概略斜視図である。
第2A図は、本発明のある実施例に基づく、複数のウェハを処理するための高速 熱処理反応炉の概略断面図である。
第2B図は、本発明の他の実施例に基づく、複数のウェハを処理するための高速 熱処理反応炉の概略断面図である。
第2C図は、本発明の他の実施例に基づく、1枚の大型なウェハを処理するため の高速熱処理反応炉の概略断面図である。
第3A図は、ウェハが単の熱源によって加熱され、プロセスガスが反応チャンバ の側壁から注入される、本発明に基づく反応炉の概略断面図である。
第3B図は、ウェハが2つの熱源によって加熱され、プロセスガスが反応チャン バの側壁から注入される、本発明に基づく反応炉の概略断面図である。
第3C図は、ウェハが単一の熱源によって加熱され、プロセスガスが反応チャン バの中央から注入される本発明に基づく反応炉の概略断面図である。
第3D図は、ウェハが2つの熱源によって加熱され、プロセスガスが反応チャン バの中心から注入される本発明に基づく反応炉の概略断面図である。
第3E図は、湾曲した形状またはベル型の土壁を備えた容器の概略断面図である 。
第3F図及び第3G図は各々、ウェハをサセプタに取り付ける他の手段を例示し た、本発明の他の実施例に基づくサセプタの側面図及び平面図である。
第3H図は、本発明に基づく反応炉に用いるための容器の上部の壁の断面図であ る。
第4A図は、第4B図の線4B−4Bから見た本発明の実施例に基づく反応炉の 断面図である。
第4B図は、第4A図の線4A−4Aから見た第4A図の反応炉の断面図である 。
第4C図は、第4A図の反応炉の概略上面図である。
第5A図及び第5Bは各々、第4A図及び第4B図の一部の詳細図である。
第5C図は、シェルの内側部分を表す、第4A図〜第4C図の反応炉のベルジャ を覆うシェルの底面図である。
第5D図は、反応チャンバ及び周囲のテーブルを表す、第4A図〜第4C図の反 応炉の一部の上面図である。
第5E図及び第5F図は各々、下降した状態及び上昇した状態のサセプタを表す 第4B図の一部の詳細図である。
第6図は、第4A図、第4B図、及び第4C図の反応炉の2個のランプバンクの 斜視図である。
第7A図は、本発明に基づく反応炉に用いられる、抵抗加熱器の断面図である。
第7B図は、第7A図の抵抗加熱器の一部の平面図である。
第7C図は、第7B図に示された部分の一部切欠き側面図である。
第7D図は、第7B図に示された部分の一部の詳細図である。
第7E図は、単一の熱源が用いられた第4A図、第4B図及び第4C図の反応炉 の実施例に用いられる受動熱分配要素を表す断面図である。
第7F図は、反応路の容器の底壁に取り付けられた反射器を含む本発明の他の実 施例に基づく反応炉の概略断面図である。
第8A図は、本発明の他の実施例に基づくガス注入ジェットの一部の斜視図であ る。
第8B図、第8C図及び第8D図は、本発明のその他の実施例に基づく第8A図 のガス注入ジェットに用いるためのガスジェットの端部部分の断面図である。
第8E図及び第8F図は各々、本発明の他の実施例に基づく第8A図のガス注入 ジェットに用いるベーンの変形平面図及び側面図である。
第8G図及び第8H図は各々、本発明の他の実施例に基づく第8A図のガス注入 ジェットに用いるベーンの変形平面図及び側面図である。
第9Δ図及び第9B図は各々、本発明の他の実施例に基づくサセプタ支持部の上 面図及び断面図である。
第10A図及び第10B図は各々、本発明の他の実施例に基づくサセプタ支持部 の上面図及び側面図である。
第10C図は、第10A図及び第10B図のサセプタ支持部に用いるサセプタの 上面図である。
第10D図は、第10C図の線10G−10Gから見たサセプタの断面図である 。
第11A図、第11B及び第11C図は、本発明の他の実施例に基づくサセプタ の開口部のテーパ部分内に配置されたウェハ支持ピンの詳細断面図である。
第11D図は、ウェハ囲繞リングが配置された、ウェハを保持するための3個の ポケットを備えたサセプタの上面図である。
第11E図は、本発明の実施例に基づくウェハ支持ピンによって、第11D図の サセプタの上に持ち上げられた第11D図に示されたウェハ囲繞リングの概略断 面図である。
第12A図は、本発明のある実施例に基づくランプのアレイの概略平面図である 。
第12B図は、本発明の他の実施例に基づくランプのアレイの概略平面図である 。
第13A図及び第13B図は各々、本発明のある実施例に基づくサセプタの下に 配置された誘導コイルの側面図と平面図である。
第14A図及び第14B図各々は、本発明のある実施例に基づくサセプタのポケ ット内に配置されたウェハ囲繞リング、スピンドル及びウェハの平面図及び側面 図である。
第14C図は、本発明の他の実施例に基づくサセプタのポケット内に配置された ウェハ囲繞リング、サセプタインサート、スピンドル及びウェハの断面図である 。
第14D図は、本発明の他の実施例に基づくサセプタのポケット内に配置された ウェハ囲繞リング、スピンドル及びウェハの断面図である。
第14E図は、本発明の他の実施例に基づくサセプタのポケット内に配置された ウェハ囲繞リング、サセプタインサート、スピンドル及びウェハの断面図である 。
第14F図は、本発明の他の実施例に基づくサセプタのポケット内に配置された ウェハ囲繞リング及びウェハの断面図である。
第14G図は、本発明の他の実施例に基づくサセプタのポケット内に配置された サセプタインサート、ウェハ囲繞リング及びウェハの断面図である。
第14H図は、サセプタのポケット内に配置することのできる本発明の他の実施 例に基づくウェハ囲繞リング、スピンドル、サセプタインサート及びウェハの断 面図である。
第141図は、サセプタのポケット内に配置することのできる本発明の他の実施 例に基づくウェハ囲繞リング及びウェハの断面図である。
第14J図は、サセプタのポケット内に配置することのできる本発明の他の実施 例に基づくウェハ囲繞リング及びウェハの断面図である。
第14に図は、サセプタのポケット内に配置することのできる本発明の他の実施 例に基づくウェハ囲繞リング、スピンドル及びウェハの断面図である。
第14L図は、第14に図のウェハ囲繞リング及びスピンドルの平面図である。
第14M図は、サセプタのポケット内に配置することのできる本発明の他の実施 例に基づくウェハ囲繞リング及びスピンドルの平面図である。
第14N図は、サセプタのポケット内に配置することのできる本発明の他の実施 例に基づくウェハ囲繞リング及びウェハの断面図である。
第140図は、処理中に加熱され湾曲したウェハの状態を例示した第14N図の ウェハ及びウェハ囲繞リングの断面図である。
第14P図は、本発明の他の実施例に基づくウェハ囲繞リングの断面図である。
第14Q図及び第14R図は各々、本発明のある実施例に基づくウェハ囲繞リン グのC型部分の平面図及び一部切欠き側面図である。
第14S図は、C型部分及び補完部分を含む、本発明の他の実施例に基づくウェ ハ囲繞リングの平面図である。
第15A図、第15B図、第15C図、第1′5D図及び第15E図は、1枚の ウェハまたは複数のウェハをサセプタの上に配置するための実施可能な方法を例 示した本発明に基づく反応炉に用いるサセプタの上面図である。
第16A図は、ガスパネル及びスクラバの両方を制御するために1台のコンピュ ータを用いた本発明に基づく反応炉の概略図である。
第16B図は、本発明に基づく反応炉が用いられる処理方法の過程を表すブロッ ク図である。
第17図は、各々が、特定の半導体処理を実施するために用いられ、かつカセッ トルームと反応炉との間または2つの反応炉の間でウェハを運搬するロボットを 含む密閉されたチャンバの周囲に配置された、本発明に基づく反応炉の集合の上 面図である。
&訓囚用暑友1泗 本発明の原理に基づけば、1枚の半導体ウェハだけでなく、複数の半導体ウェハ を処理する新規な高速熱処理(RTP)反応炉が提供される。ここで、RTP反 応炉は、従来の反応炉に比べ処理サイクル時間が短い反応炉である。
本発明のRTP反応炉は、10℃/秒と400℃/秒との間の割合で1枚のウェ ハまたは複数のウェハを加熱することができる。ある実施例では、本発明のRT P反応炉は、ウェハを20℃/秒の割合で加熱する。他の実施例では、本発明の RTP反応炉は、ウェハを10℃/秒の割合で加熱する。ウェハの迅速な加熱は 、本発明のRTP反応炉を特徴づける短い処理サイクル時間の要因の1つである 。本発明に基づ< RTP反応炉は、単一の熱源または2つの熱源を用いて、複 数のウェハまたは、1枚のウェハ、例えば、250a+m(10インチ)、30 0a+m(12インチ)、400mm(16インチ)の直径のウェハを処理する (以下、ウェハの寸法は、ウェハの直径によって表す)。
本発明のある実施例に基づけば、1つのバッチで125mm(5インチ)または 150ma+(6インチ)のウェハが3枚処理され、200mm(8インチ)、 250ma+(10インチ)または300mm(12インチ)のウェハは各々1 枚づつ処理される。しかし、本発明の原理に基づく大型の反応炉を用いることに よって、より長寸のバッチを処理することができる。例えば、本発明の他の実施 例に基づ<RTP反応炉は、1つのバッチで150ma+(6インチ)のウェハ を4枚処理し、200mm(8インチ)のウェハを3枚処理し、300a+m( 12インチ)または400mm(16インチ)のウェハを1枚処理する。
第2A図は、複数のウェハ210を処理するための、本発明のある実施例に基づ <RTP反応炉200の概略断面図である。ウェハ210は、サセプタ支持部2 12によって支持されたサセプタ201に取り付けられている。サセプタ位置制 御部202は、処理中にウェハ210を回転させると共に、ウェハ210をロー ドしかつ処理するために、サセプタ210を様々な位置へ上昇及び下降させる。
熱制御部203は、ウェハ210を処理する間、概ね均一な温度に加熱する単一 の熱源204を制御する。ガス流制御部205は、入口導管206とガス注入ヘ ッド207を通して反応炉200の反応チャンバ209内へ流れ込むガスの流れ を調節し、かつ出口導管208を通して反応チャンバ209からガスを排気する 。
第2B図は、複数のウェハ210を処理するための、本発明の他の実施例に基づ <RTP反応炉220の概略断面図である。第2A図に示されているように、反 応炉220は、サセプタ201、サセプタ支持部212、サセプタ位置制御部2 02、熱制御部203、熱源204、ガス流制御部205、入口導管206、出 口導管208、ガス注入ヘッド207及び反応チャンバ209を有する。反応炉 220はまた、熱制御部203によって制御された第2の熱源224を有する。
第2C図は、1枚の大型のウェハ250を処理するための本発明の他の実施例に 基づ< RTP反応炉204の概略断面図である。ウェハ250はサセプタ24 1の上に取り付けられている。反応炉240の構成要素の他の部分は、反応炉2 20と等しい。詳細に説明すれば、反応炉240は、2個の熱源204及び22 4を有する。第2A図〜第2C図は、以下に説明されるように、その中心部から ガスが注入されるRTP反応炉を例示しているが、これらのRTP反応炉の各々 では、側壁からガスを注入するための複数の噴出孔を用いることもできる。
複数のウェハまたは1枚の大型のウェハを処理するために用いられた従来の反応 炉では、長い加熱サイクル、処理サイクル及び冷却サイクルが必要とされた。即 ち、1100℃に加熱することが必要な堆積過程では、加熱、処理及び冷却に必 要な総時間は、従来の反応路を用いた場合、概ね45〜90分である(本明細書 の説明では、堆積過程は、フィルムがウェハの上に成長させられる処理時間を含 んで定義されている)。等しい処理及び温度に対して、RTP反応炉200,2 20及び240を用いた場合、加熱、処理及び冷却のための必要な時間は、非常 に短い(即ち2〜20分)。
反応炉200.220及び240では、サセプタ210の熱質量(therma l mass)によって、サセプタを用いていない反応炉と比べ、加熱及び冷却 時間が増加するが、サセプタ201が、複数のウェハ210(第2A図及び第2 B図)の各ウェハまたは1枚のウェハ250(第2C図)の中心部分と周辺部分 との間の温度差を最小にし、これによってウェハ210またはウェハ250を処 理する間に、従来の反応炉と比べ、定常状態でのウェハ210またはウェハ25 0の温度の均一性が高められる。更に、以下により詳しく説明されるように、サ セプタ210の材料は、サセプタ201に関連する不利益な熱効果を最小にする ように選択される。
熱源204(第2A図及び第2C図)は、放射熱源からなる。熱源224(第2 B図及び第2C図)は、抵抗加熱器からなる。代わりに、本明細書の開示内容の 観点から、当業者は、RTP反応炉220の熱源224を抵抗加熱器ではなく、 RF熱源を用いて実施することもできる。
第2A図〜第2C図に例示された本発明の各実施例では、ウェハ210またはウ ェハ250の温度がほぼ均一となるように、熱源204(第2A図)または熱源 204及び224(第2B図及び第2C図)が、ウェハ210またはウェハ25 0の温度を、周囲温度から定常状態のプルセス温度まで迅速に上昇させ、処理が 行われている間、この概ね均一な温度を保持する。処理が終了した後に、ウェハ 210またはウェハ250は、水素ガスによって冷却され、次に窒素ガスが反応 チャンバ209から反応ガスをパージするために用いられる。迅速に加熱するこ とによって、ウニ/1210またはウェハ250を迅速に処理することができる 。概ね均一なウェハの温度は、多くの半導体処理過程では重要であり、例えば、 概ね均一な温度が受容可能な均一な厚さ及び抵抗率を得るために特に重要な、エ ピタキシャル層の形成過程で重要である。
ここで、 °概ね均一な温度”は、実施される特定な処理過程に対して、受容可 能な質を備えた処理過程を達成するために必要な温度の分布を意味している。例 えば、エピタキシャル過程では、温度の分布は、ずれ、厚さの均−性及び抵抗率 の均一性に対する工業規格を少なくとも満たすウェハを形成するべく、十分に均 一でなければならない。実際、本発明のRTP反応炉では、温度の均一性は、以 下に詳しく説明されるように、エピタキシャル過程では、工業規格よりも良好な 結果が得られるものとなっている。
本発明の重要なアスペクトは、反応チャンバ209内の多くの構成要素の個数が 最小化されているということである。特に、反応チャンバ209内に含まれる構 成要素は、サセプタ201、サセプタ支持部212、熱源224(適切な場合) 及びガス注入ヘッド207のみである。即ち、反応チャンバ209内にサセプタ 配置制御部202のすべてまたは一部が含まれている従来の反応炉と比較して、 反応チャンバ209内の潜在的な汚染物質源を非常に減少することができる。
RTP反応炉200.220及び240は、’200m+。
(8インチ)以下の1枚のウェハのみを処理する従来のRTP反応炉を用いる全 ての処理を実施するために用いることができる。例えば、RTP反応炉200, 220及び240は、アニールまたは、層または導電性領域をウニ/X上に形成 しない他の半導体処理過程に用いることができる。
例えば、約1100℃での約2秒間のアニールによって、約80keVのイオン 加速電圧で注入されたドーズ量1×1016の砒素イオンからの損傷を除去し、 十分に活性化する。典型的には、反応炉200,220及び240を用いた迅速 な熱アニールは、約1秒から約15秒の範囲で実施され、かつ約800℃から約 1200℃の範囲のピーク温度を有する。活性化されるドーパントの割合は、典 型的には約50%から約90%の範囲である。当業者には明らかなように、特定 の持続時間及びピーク温度は、注入されるドーパント濃度及び種によって決定さ れる。
アニールに加え、RTP反応炉200.220及び240は、金属接触部を焼結 するためにも用いられる。堆積の後に、金属と半導体との良好な接触部を形成す るために、RTP反応炉200.220及び240の何れもが、半導体と金属の 組合せを、金属と半導体の境界面で相互拡散及び合金化が生ずる温度まで加熱す る。例えば、アルミニウムに対しては、この温度は、不活性ガスまたは水素雰囲 気中で約5秒から約20秒間の範囲の持続時間に亘って約450℃から約500 ℃の範囲内にある。
代わりに、RTP反応炉200.220及び240は、シリコン化合物とシリコ ンとの間のオーミックコンタクトを形成するためにも用いられる。このような用 途では、通常は耐火金属からなる薄い金属層が、ウニ/\の上に堆積され、ウェ ハがRTP反応炉200,220及び240の何れか1つの反応炉によって加熱 さね、金属がシリコンと接触する位置でシリコン化合物が形成される。次に、反 応していない金属がエツチングによって除去される。金属・シリコン化合物は、 加熱過程の時間または温度の何れにも特別に影響されずに形成される。耐火性シ リコン化合物に対して、加熱温度は約800℃から約1100℃の範囲にあり、 加熱時間は約1秒から約80秒の範囲にある。
上述された過程のみが、特定の層または特定の複数の層を備えた半導体ウェハを 加熱するべく、RTP反応炉200.220及び240を用いる。RTP反応炉 200,220及び240はまた、支持部の上に特定の層、例えば酸化膜、種々 の絶縁層、誘電体層及びパッシベーション層をシリコンウェハまたは化合物半導 体ウェハの上に形成するため、またはエピタキシャル層をシリコンウェハの上に 形成するために用いられる。RTP反応炉200.220及び240はまた、3 00〜600℃の温度での化合物半導体処理過程に用いられる。RTP反応炉2 00.220及び240は更に、フラットパネルディスプレイを製造するために も用いられる。
更に、本明細書の開示内容の観点から、当業者は、ポリシリコンの成長のような 気相成長法のためにRTP反応炉200.220及び240を用いることもでき る。
即ち、シリコンエピタキシャル層が、シリコンウェハの表面の上に形成される。
ウェハは、900℃から1200℃の温度に加熱され、かつシリコンソースガス またはドーパントソースガスのような1種類または複数の反応ガスと混合された 水素キャリアガスからなる混合ガスにさらされる。シリコン層は、シリコン基板 と等しい結晶方向を有するようにシリコン基板の上に堆積される。
以下に、本発明の個々のアスペクトがより詳しく説明される。これらの説明は、 1枚のウェハの処理に関して説明され、または複数のウェハの処理に関して説明 される。しかし、以下の各説明では、1枚または複数のウエノ1が単一のバッチ で処理されることが理解される。一般的に、本発明は、同時に1枚または複数の ウェハを処理する過程を含む。更に、以下に、特定の寸法のウェハに対する特定 のバッチの寸法について説明が行われるが、本発明は、以下に説明される寸法以 外の寸法のバッチを処理する過程をも含むことが理解される。一般的に、本発明 は、特定のウェハの寸法に対する特定のバッチの寸法の処理過程に限定されるも のではなく、かつ特定の寸法の複数のウェハを処理する過程に限定されるもので もない。
第3A図、第3B図、第3C図及び第3D図は、本発明に基づ<RTP反応炉3 00,320.340及び360の概略断面図である。第3A図、第3B図、第 3C図及び第3D図は、本発明に基づく反応炉の基本的な構成要素を表し、かつ 本発明に基づく反応炉に対する、熱源とガス注入システムのいくつかの可能な組 合せを例示している。
第3A図は、1枚または複数の半導体ウェハ、例えばウェハ311.312を処 理するためのRTP反応炉300の概略断面図である。反応炉300は、容器3 01、サセプタ302、サセプタ支持部304、放射熱源310(複数のランプ 305及び反射器306を含む)、受動熱分配要素307、側壁に設けられた注 入ガス噴出孔314 a。
314 b、 及びガス排気バイブ309 a、309 bを有する。
容器301は、底壁301 a、側壁301b及びドーム型の上壁301 cか ら形成されている。底壁301 a、 側壁301b及び上壁301cは、反応 チャンバ303を画定している。底壁301a及び側壁301bはステンレス鋼 から形成され、かつ石英ガラスを内張すされている。ある実施例では、底壁30 1aは円形であり、かつ側壁301bは円筒形である。ドーム型の上壁301c は、放射熱源310からの放射熱が上壁301cによつてほとんど吸収されるこ とのないように石英ガラスから形成されている。
従って、放射エネルギーは上壁301Cによって妨害されずに上壁301Cを通 過してウェハ311及び312を直接加熱する。
上壁301cの形状は、いくつかの要因に基づく折衷案として選択されたもので ある。例えば低圧のBICMO8過程の間、例えば100トル以下の真空に反応 チャンツク303が保持された場合、上壁301cの形状が平坦な形状に近ずく ほど、上壁301Cがつぶれる可能性が増加する。
一方、上壁301Cの曲率が増加すると、放射熱源310がウェハ311.31 2からより遠ざけられ、そのために、ウェハ311.312の所定の温度を保持 するべく、放射熱源310からのより大きなエネルギーが必要となる。更に、上 壁301Cの曲率が増加すると、ウニI\311及び312からの上壁301C への距離が増加し、プロセスガスの少なくとも一部をより長い距離に亘って下降 させられなければならず、このためガスがウニ/X311及び312の上に堆積 される前により長い加熱時間が必要となる。上壁301 cの曲率はまた、プロ セスガスがウニ/−311及び312の上に下降するとき、プロセスガスの流れ に影響を与える。
土壁301Cの正確な形状は、多くの異なる形状について試験し、かつ土壁30 1Cの形状によって影響を受ける上述された特性の好ましい組合せを得ることの できる形状を選択することによって実験的に決定される。第3A図、第3B図、 第3C図及び第3D図では、上壁301Cは、はぼ円弧形の外観を形成する断面 の形状を有する。第3E図は、湾曲したまたは“ベル”形の外観を有する上壁3 81aを含む、本発明の他の実施例に基づく容器381の概略断面図である。
第3 H図は、本発明に基づく反応炉と共に用いられる容器の上壁371の断面 である。上壁371の断面の形状はほぼ円形である。
上壁371は、フランジ371aの底面371bから上壁371の内側の最も高 い点までの垂直距離として定義される高さと直径との比(H/d)を有する。好 ましくは、上壁371は、H/dが3〜5となる形状を有する。本発明に基づく 反応炉は、この好ましいH/dを有するように形成される。
本発明の他の実施例に基づけば、上壁371の正確な形状は、土壁301Cの中 心を通過する上壁301Cに垂直な線に沿って測定されたある曲率半径によって 、または2つ以上の曲率半径の組合せによって定義される。例えば、上壁371 は432mm(1フインチ)〜570mm(22゜5インチ)の直径372、及 び約305mm(12インチ)〜533II1m(21インチ)の曲率半径とを 有する。曲率半径の組合せが用いられる場合、上壁371の中心の曲率半径は、 例えば、約254n+m(10インチ)〜305mm(12インチ)であり、上 壁371の周縁部分での曲率半径は、例えば343mm(13,5インチ)〜4 06mm(16インチ)である。高さ375は、上述された曲率半径及び上述さ れたH / dに従うように変化する。
ガス偏向機373が、容器の反応チャンバ内の側壁に隣接して石英ガラスの上に 配置されている。ガス偏向機373は、例えば、石英ガラスから形成され、サセ プタ376及びウェハ内の温度分布をできるだけ乱すことのないようにされてい る。ガス偏向機373によって、サセプタ376と反応炉の側壁との間を通過す る可能性のあるガスは、サセプタ376の表面の上を通過する(即ちウニ/%ま たは複数のウニへの上を通過する)。ガス偏向機373はまた、サセプタ376 のエツジ部分の近傍を通過するガスの速度を増加させるが、その理由は、ガス偏 向機373が配置されていない場合にサセプタ376と反応炉の側壁との間に存 在する開口部よりも、少数のより小型の開口部374が、サセプタ376とガス 偏向機373の間に存在するためである。処理過程の所望の均一性を達成すガス の流れを形成するように、ガス偏向機373とサセプタ376との間のより小型 の開口部を形成するべく、ガス偏向機373の長さを所望の値に変化させること ができる。
ウェハ311.312(第3A図)は、反応チャンバ303内の円形サセプタ3 02の上に取着されている。ある実施例では、各ウェハ311.312は、サセ プタ302の“ポケット”と呼ばれる凹部内に配置されている。四部の深さは、 ウェハの表面311 a、312 aが、はぼサセプタ302の表面302aと 等しい高さとなるように選択される。四部の直径は、 “ウニ’−囲繞リングと 呼ばれる(以下により詳しく説明される)サセプタリングが、対応するウェハ3 11または312の周囲の各凹部内に嵌合されるように選択される。
第3F図及び第3G図は各々、サセプタ382の上にウェハ391を取り付ける ための他の手段を例示した、本発明の他の実施例に基づく、サセプタ382の側 面図及び上面図である。第3A図、第3B図、第3C図及び第3D図のウェハ3 11.312のように凹部内に配置されるのではなく、ウェハ391は、サセプ タ382の表面382eの上に配置され、かつポスト382 a、382 b、 382C,382dによって横方向の定位置に保持されている。
ポスト382 a、382 b、382 c、382 dは、石英ガラスから形 成されている。代わりに、以下に説明される本発明のいくつかの実施例の場合の ように、サセプタ382が黒鉛から形成されている場合、ポスト382a、38 2 b、382 c、382 dを黒鉛から形成することもできる。ポスト38 2 a、382 b、382 c、382 dは、サセプタ382の他の部分と 一体形成されるか、またはサセプタ382とは別個に形成されて、サセプタ38 2に形成された対応する開口部内に圧力綿めによって取着される。
4個のポスト382 a、382 b、382 c、382 dが図示されてい るが、他の個数のポスト、例えば3個のポストを用いることもできることが理解 される。
サセプタ支持部304(第3A図)は、サセプタ302を反応チャンバ303の 選択された位置に保持する。サセプタ支持部304は、上昇または下降し、ウニ l\311.312の反応チャンバ303内での位置を変える。ある実施例では サセプタ302及び受動熱分配要素307は、ウェハ311.312を反応チャ ンバ303内で加熱する間、第1の方向の第1の位置(操作位置)に配置さね、 ウエノ1311.312を反応チャンバ303から除去すれるときまたは反応チ ャンバ303内へ配置するとき、前記第1の位置とは異なる第1の方向の第2の 位置(ローディング位置)に配置される。
サセプタ302、サセプタ支持部304及び受動熱分配要素307は、第3A図 、第3B図、第3C図、及び第3D図では、ローディング位置に配置されて図示 されている。
ウェハ311.312は、1つのロボットまたはウェハ取扱いシステム(図示さ れていない)によって、側壁301bに形成されたドア313を通して反応チャ ンバ303内に配置され、及び反応チャンバ303から除去される。ローディン グ位置は、ロボットまたはウェハ取扱いシステムが、反応チャンバ303内に容 易に延出し、かつウェハ311.312をサセプタ302の上に配置することが できるように選択されている。
以下により詳しく説明されるように、サセプタ302がローディング位置にある 場合、ある実施例では、ピン(図示されてない)がサセプタ302を貫通して形 成された対応する開口部を通して延出し、ウェハ311.312を表面302a の上に上昇させる。更に他の実施例で1よ、ピンがサセプタ302に形成された 開口部を通して延出し、ウェハ311.312が取着されたウエノ1囲繞リング を上昇させる。各々のウェハ311.312またはウエノ1囲繞1ノングを上昇 させるために任意の個数のピンを使用することが、できるが、例えばウニ/13 11またはウニ/X囲繞1ノングを安定して支持するためには少なくとも3個の リングを用いることが好ましい。ある実施例では、3〜8個のビンカ(用いられ ている。機械的な複雑さを最小にするため1こ、使用されるピンの数を最少にす ることが一般的に望まれるので、ピンがウェハ311.312を上昇させる本発 明の更に他の実施例では、サセプタ302の周縁部に半径方向(こ120°の間 隔を置いて配置された3個のビンカ<、125mm(5インチ)、150mm( 6インチ)及び200mm(8インチ)のウェハを支持するために用いられ、9 0’の間隔を置いて配置された4個のピンが、250mm(10インチ)及び3 00m+++(12インチ)のウエノ)を支持するために用いられている。
ウェハ311.312は表面302aの上に上昇させられるので、ロボットまた はウニ/%取扱いシステムがウエノ1311.312を除去するときにサセプタ 302の表面302aに接触せず、このために表面302をロボ・ノドまたはウ ェハ取扱いシステムが擦ることまたはその他の損傷力(回避される。更に、ウニ /’311.312は表面302aの上に上昇させられているので、ロボットま たはウエノ1取扱い手段は各々、ウェハの表面311b及び312bを保持する ことによってウェハ311.312を除去することができ、このために、反応炉 300.320.340及び360を用いた多くの過程では、フィルムが堆積さ れた表面311a、312aに損傷が加えられることが回避される。
第3A図では、ウェハ311.312はサセプタ302の上に配置されており、 サセプタ302、サセプタ支持部304及び受動熱分配要素307が、ウエノ1 311.312が放射熱源310へ接近するように操作位置に上昇させられ、従 って反応炉300の動作中に放射熱源310がより迅速かつより効果的にウェハ 311.312を加熱することができる。
以下により詳しく説明されるように、反応炉300の動作中に、サセプタ302 は回転させられる。サセプタ302を回転させることによって、ウェハ311. 312が処理されている間、ウエノX311.312の反応チャンバ303内の 位置が、前記第1の方向と直交する第2の方向に沿って変化する。その結果、ウ ェハ311.312の位置が変化することによって、反応炉300の動作中に存 在する不均一性の効果を概ね除去することができるので、反応チャンバ303内 で実施される処理がより均一に実施される。
第3A図及び第3C図に例示された本発明の実施例では、ウェハ311.312 は、単一の熱源である放射熱源310によって加熱される。放射熱源310は、 複数のランプ305を有し、このランプは、1μm以下から約500μmの範囲 、好ましくは1μm以下から約10μmの範囲、より好ましくは1μm以下の範 囲の波長の放射エネルギーを放射する。各々が各ランプ305に隣接する複数の 反射器306が、放射エネルギーをウェハ311.312へ反射する。
放射熱源310は、以下により詳しく説明されるように、水冷及び強制空冷され ている。水冷と強制空冷の組合せによって、ランプ305及び反射器306は所 望の動作温度の範囲内に保持される。
反応炉300(第3Δ図)及び反応炉340(第3C図)では、受動熱分配要素 307が、サセプタ302の近傍のサセプタ302の下に取り付けられている。
ここで用いられているように、 “近傍”は、サセプタ302をサセプタ支持部 304に熱的接続するための物理的空間の必要性による制限を考慮して、できる だけ接近した位置を意味している。受動熱分配要素307は、サセプタ302か らの熱の損失を最小にし、これによってウエノ\311.312からの熱の損失 を最小にする。受動熱分配要素307は好ましくは熱を吸収すると共にサセプタ 302へ再び熱を放射する材料もしくはサセプタ302へ向けて熱を反射する材 料からなることが好ましい。
第3B図は、第3A図のウェハ311.312のような1枚または複数の半導体 ウェハを処理するためのRTP反応炉320の概略断面図である。反応炉320 は、反応炉300と等しく、第3A図及び第3B図の構成要素と等しい構成要素 には同じ番号が付されている。反応炉320では、ウェハ311.312を加熱 するために2個の熱源が用いられている。
第2の熱源である抵抗加熱要素327は、抵抗加熱器327の抵抗要素に電流を 流したとき熱を発生する。抵抗加熱器327が発生した熱の大部分がウェハ31 1.312に伝達されるように、サセプタ302は石英ガラスなどの材料によっ て形成されている。放射熱源310及び抵抗加熱器327は、ウェハ311.3 12の各々の全体の温度を概ね均一に保持する。
ウェハ31L312の中心部分よりも、ウェハ311.312の周縁部分の面積 が大きいために、ウェハの中心部分よりも周縁部分での熱の損失が大きい。従っ て、いかなる補償も行われない場合、ウェハ311.312の中心部分よりもウ ェハ311.312の周縁部分での温度変化が大きくなる。この温度変化は好ま しいものではなく、かつ半導体処理過程の歩留りを低いものとする。即ち、エピ タキシャル層の製造過程でのウェハの半径方向に沿った温度の変化は、ずれと、 厚さ及び抵抗率の不均一性に対する有害な効果を生み出す。半径方向の温度変化 を最小1こするべく、反応炉300.320.340及び360では、熱的な絶 縁性を備えたサセプタリング(図示されて(Xな(1)力くウェハ311.31 2の各々の周囲に配置されて0る。本発明のさまざまな実施例に基づくサセプタ リングが、第14A図〜第14S図を参照しながら、以下に説明される。
製造過程の開始時点で、反応炉300(第3A図)または反応炉320(第3B 図)では、ランプ305に供給される電力が、反応炉320では更に抵抗加熱器 327へ加えられる電力が、ウェハ311.312の温度が急速に上昇するよう に増加させられる。ウニ/1311.312の温度は、以下により詳しく説明さ れるように昂温計または熱電対(図示されていない)によって検出される。ウニ /X311.312の温度が所望の温度に達したとき、ランプ305の各々の集 合の電力は、ウニ/1311.312の各々の全体の温度が均一となるように調 節される。
ウェハ311.312が所望の温度に加熱された後に、反応炉300または32 0を用いる製造過程に対して必要な場合、ガスが反応チャンバ303内に側壁に 形成された注入ガス噴出口314 a、314 bを通して供給される。
ガスは、ウェハ311.312及びサセプタ302を、反応炉320では更に抵 抗加熱器327を通過して流れ、底壁301aに形成された排気管309 a、 309 bを通して反応チャンバ303から排気される。
第3C図は、第3A図及び第3B図のウエノ1311.312のような1枚また は複数の半導体ウエノ1を処理するためのRTP反応炉340の概略断面図であ る。反応炉300(第3A図)と同様に反応炉340では、ウエノ1311.3 12を加熱するために熱源310のみが用いられている。
しかし、反応炉340では、反応炉300のように側壁に設けられた注入ガス噴 出孔314a、314bを通してガスが反応チャンバ303に供給されるのでは なく、ガスはガス人口管354aを通して流れ、ガス注入へ・ソド354bを通 して反応チャンバ303内に供給される。反応炉300及び320(第3B図) と同様に、反応炉340では、ガスは底壁301aに形成された排気管309a 、309bを通して反応チャンバ303から排気される。
第3D図は、第3A図、第3B図及び第3C図のウニ11311.312のよう な1枚または複数の半導体ウニ/1を処理するためのRTP反応炉360の概略 断面図である。
反応炉360では、ウエノ1311.312は、放射熱源310と抵抗加熱器3 27の2つの熱源によって加熱される。
ガスは、ガス人口管354a及びガス注入ヘッド354bを通して反応チャンバ 303内に供給され、排気管309a、309bを通して排気される。
半導体ウェハの上に層を堆積させるためにガスを用いる典型的な半導体製造過程 では、ガスをパージすることが必要となる。ウェハ311.312を反応チャン バ303内に配置するために、またはウェハ31L312を反応チャンバ303 から取り出すためにドア313を開いたとき、反応炉300,320.340ま たは360の周囲の空気が反応チャンバ303内に入る。特に、ウェハ311. 312を処理する前に、空気中の酸素が反応チャンバ303から除去されなけれ ばならない。用いられる反応炉に応じて、側壁に形成された注入ガス噴出孔31 4 a、314 bまたはガス注入ヘッド354bを通して、窒素が反応チャン バ303に供給され、反応チャンバ303の酸素をパージする。次に、水素が反 応チャンバ303内に供給され、窒素をパージする。
水素が供給された後に、上述されたように、ウェハ311.312が加熱され、 プロセスガスが反応チャンバ3゜3に供給される。処理過程が終了した後に、水 素ガスを用いて反応チャンバ303から残りのプロセスガスをパージする。次に 、窒素を用いて水素ガスをパージする。水素ガス及び窒素ガスを用いることによ って、ウェハ311.312が冷却される。窒素ガスをパージした後に、ウェハ 31L312が冷却され、ドア313が開けられ、ウェハ311.312が取り 出される。
約900〜1200℃の間のプロセス温度でシリコンを堆積させる過程を含む処 理過程では、ウェハ311.312は周囲温度まで冷却されず、処理過程中でウ ェハ311.312が加熱される温度に応じて、300’Cがら6oo℃の範囲 の温度に冷却される。典型的には、冷却時間は、2〜5分である。ある実施例で は、ウェハ311.312は、約1130℃から約450’Cへ冷却さね、冷却 時間は約2゜5〜3.5分である。より低い温度(即ち900”C以下の温度) で実施される処理過程では、ウェハ311.312は反応チャンバ303から除 去される前にプロセス温度の約50%の温度に冷却される。
ウェハ311.312を、周囲温度まで冷却する必要がないために、冷却時間が 短縮され、ウェハのスルーブツトが向上する。更に、反応チャンバ303を、上 述された1つまたは複数の処理過程の前のパージ動作の間に加熱することが可能 であり、このため複数のウェハのバッチを処理するための時間が短縮される。
ウェハ311.312は、反応チャンバ303がら取り出される前に、ウェハ3 11.312が硬化する温度まで冷却されなければならない。更に、反応チャン バ303は、ウェハ311.312を取り出すためにドア313を開いたときに 、水素が反応チャンバ303内に残留している場合に生ずる爆発の可能性を最小 にする温度に冷却されなければならない。
反応炉300.320.340または360が、例えばエピタキシャル層などの ある材料からなる層をウェハの上に堆積するためにガスを用いる半導4処理過程 に用いられる場合、長時間に亘って使用されたとき、反応炉300゜320.3 40または360(7)一部分、例えば壁301 a。
301b、301cの上に、ある材料からなる層が堆積される可能性がある。以 下により詳しく説明されるように、底壁301a及び側壁301bは水冷されて いる。上壁301cは、ランプ305及び反射器306と同様に、空冷されてい る。壁301 a、30 l b、301 cを冷却することによって、堆積過 程中に壁301 a、30 l b及び301cの上に望まれない層が堆積され ることを最小にすることができる。
従来の反応炉では、反応炉の一部分、即ち、黒鉛がら形成された部分から、堆積 されたシリコンを除去するために″ハイエツチング(high etch)“を 用いることができ、このハイエツチングでは、反応チャンバ303が少なくとも 1150〜1200”Cの温度にあるとき、少なくとも90%のHCIを含む混 合ガスを3〜20分間反応チャンバ303に注入する。しかし、ハイエツチング によって、石英ガラスの上に堆積したシリコンが除去されることはない。従って 、従来の反応炉では、石英ガラスによって形成された構成要素を洗浄するために 、これらの構成要素を反応炉から取り出さなければならない。本発明の原理に基 づけば、壁301a、301bの温度を通常の動作温度以上に上昇させることに よって、堆積されたシリコンを、ハイエツチングの間に石英ガラスからなる構成 要素がら除去することができる。堆積されたシリコンの除去は、壁301a、3 01bが冷却される程度を低下させるように、ハイエツチングの間に壁301a 、301bを冷却するために用いられる流体の温度を上昇させることによって実 施される。
反応炉300.320.340及び360では、ウェハ311.312と、サセ プタ302と、サセプタ支持部304の一部と、抵抗加熱器327(反応炉32 0及び360)または受動熱分配要素307(反応炉300及び340)と、側 壁に形成された注入ガス噴出孔314a、314b(反応炉300及び340) またはガス注入ヘッド354b及びガス入口管354aの一部(反応炉320及 び360)のみが、反応チャンバ303の内部に配置されている。従来技術の反 応炉では、反応炉300.320,340及び360よりも多くの機械的な構成 要素が反応炉内に配置されている。 (先行する堆積過程の間に堆積される材料 を含む)これらの機械的な構成要素による汚染は、従来技術の反応炉の微粒子に よる大きな汚染源となっている。
反応炉300.320.340及び360は、従来の反応炉と比べより少数の機 械的な構成要素を備えており、汚染物質を提供する機械的な構成要素が少ないた め、及び反応炉300.320.340及び360を繰り返し使用する間にその 表面にシリコンが堆積される機械的な構成要素が少ないため、反応炉300.3 20.340及び360の汚染物質の問題は軽減される。従って、反応炉300 .320.340及び360の反応チャンバ303の内部に配置される機械的な 構成要素の個数を少なくすることによって、従来の反応炉の問題点が実質的に解 決される。
更に、熱源とサセプタとの新規な組合せによって、従来のRTP反応炉よりも、 反応チャンバ303のより広い領域に亘って概ね均一な温度が保持されるので、 従来のRTP反応炉によって処理される1枚の小型のウェハ(例えば100+m 、125+u+、及び150 arm)ではなく、複数のウェハ(例えば125 mm、 150mm、及び2ooIIII11)または1枚の大型のウェハ(例 えば250+am、 300mm、及び400a++o)の何れをも処理するこ とが可能となる。複数のウェハが処理できることによって、ウェハのスループッ トが向上し、かつ大型のウェハを処理できることによって、より大型のウェハを 必要とする産業界の要求にRTP反応炉が対応することが可能となる。
反応炉300.320.340及び360は更に、多数のバッチに対する温度の 良好な再現性を提供する。その結果、従来のRTP反応炉では必要とされた、所 望の温度の均一性を保持するべく反応炉300,320,340及び360を再 校正する必要がなくなる。校正のためのダウンタイムがないために、ウェハを処 理するためにより多くの割合の時間を費やすことが可能となるため、従来のRT P反応炉と比ベウエハのスルーブツトを向上させることができる。
更に、従来の反応炉と比較し、複数のウェハを備えたバッチを処理することが可 能となり、厚さ及び抵抗率の均一性が改善される。従来の反応炉では、厚さ及び 抵抗率のばらつきが3〜10%のウェハが形成される。本発明に基づ<RTP反 応炉では、1〜2%の厚さのばらつき及び1〜5%の抵抗率のばらつきが達成さ れる。
第4A図及び第4B図は、本発明のある実施例に基づく、反応炉400のより詳 細な断面図である。第4C図は、反応炉400の概略上面図である。第4A図の 断面図は、第4B図の線4B−4Bから見た断面図である。第4B図の断面図は 、第4A図の線4A−4Aから見た断面図である。
反応炉400に関する以下の説明(とりわけ第4A図、第4B図、第4C図、第 5A図、第5B図、第5C図、第5D図、第5E図及び第5F図に関する説明) では、反応炉400のいくつかの要素(以下“省略された要素”と呼ぶ)が、図 面には表されていないが、実際にはこれらの省略された要素が存在するのでこれ らの要素は表現されるべきものである。この省略された要素は、図を明瞭にする ために省略されている。ある図面に表現されていない省略された要素は、他の図 面では表現されており、当業者には、全体の図面から、省略された構成要素の形 状及び図面に例示された他の構成要素との関係が明かとなる。
以下により詳しく説明されるように、フレーム450は反応炉400の選択され た部分を囲繞し、かつ例えば冷間圧延鋼板1018から形成されている。第4C 図に例示されているように、反応炉400は、いくつかの区分400a、400  b、400 c、400 d、 及び400eに分割されている。区分400 aは、容器401、熱源、ガス注入システム、及びサセプタ支持及び駆動機構を 収容している。区分400bは、反応炉400を用いる製造過程の必要性に応じ て、従来技術のバレルCVD反応炉で用いられるガスパネルと等しい性能のガス パネルを収容している。
勿論、ガスパネルは、反応炉400内で実施される製造過程に必要な全てのガス を保持しかつ提供する構造を有する。
区分400cは、ガス排気システムの一部を収容している。
区分400dは、熱源に電力を供給するため電源及びSCR(si 1icon  controlled rectifiers)を収容している。区分400 eは、残りの回路要素、即ち他の電源、プロセス変数(例えば、ガスの流れ、熱 源からのエネルギー)を制御するためのコンピュータ、電気的なリレーその他を 収容している。
第4A図及び第4B図に例示されているように、区分400aは、テーブル45 1によって2つの部分に分割されている。シェル452は、テーブル451と接 触して固定されており、かつ容器401の上側部分と、ランプバンク405 a 、405 b (第4A図)、405 c、405 d(第4B図)を囲繞して いる。第4B図に例示されているように、シェル452は、356アルミニウム 合金から形成されたヨーク453に取着されている。ヨーク453は、直線状の レール454に移動可能に取着されている。線形状のレール454は、アメリカ 合衆国カリフォルニア州すンフランシスコのシュニーバーtj−社(Schne eberger Inc、) から、 No、 I MRA 25 658−W l−G3−Vlとして入手することができる。ヨーク453は、直線状のレール 454に沿って上下し、シェル452をテーブル451の上または下に移動する 。直線状のレール454は、例えば3.18mm(0,125インチ)の厚さの 冷間圧延鋼板から形成された柱458に取着されている。柱458はテーブル4 51に取着されている。
反応炉400の動作中に、シェル452は第4A図及び第4B図に例示された位 置まで下降し、シェル452がテーブル451と接触する。反応炉400の保守 を行う場合、シェル452はテーブル451がら離れて上昇させられ、シェル4 52とテーブル451との間に収容された反応炉400の構成要素に接近するこ とが可能となる。更に、以下により詳しく説明されるように、シェル452は、 シェル452がテーブル451の直下に配置されることのないように、ピン45 7a、457b (第4B図)の一方を中心としてヨーク453に対して回動す るように取り付けられても良く、これによって、反応炉400の構成要素へ接近 することがより容易となる。
シェル452は、反応炉400内でさまざまな機能を実施する。ランプバンク4 05 a、405 b、405 c、及び405dは、シェル452によって支 持されている。更に、シェル452には、以下に説明されるように、ランプバン ク405 a、405 b、405 c、及び405dと、容器401の上側部 分とを冷却するための空気を流す通路が形成されている。プロセスガスがチャン バの中心から注入される場合(第3C図及び第3D図)、シェル452にはラン プバンク405 a、405 b、405 c、及び405dを冷却するための 冷却水が流れる冷却水管だけでなく、ガス入口管408a及びガス供給システム で用いられる他のハードウェアも収容しされている。このようにして、シェル4 52によって、容器401が損傷を受けることが防止される。
シェル452は、アルミニウムから形成されており、かつ耐熱性のテフロン塗料 が塗布されている。テフロン塗料は、反応炉400内でウェハを処理する間シェ ル452がさらされる高温度にシェル452が耐えることを援助する。
容器401は、3つの壁、即ち底壁401 a、 側壁401 b、及び上壁4 01cを有する。容器401の内側の領域が、反応チャンバ403を構成する。
土壁401cは、概ね円弧状の断面を有し、かつ5mm(0,19フインチ)の 厚さを有する。土壁401cの内面の最高点は、シェル452に接触するテーブ ル451の表面から約11.73Cot(4,619インチ)離れている。 ( 図示されていない)ウェハは、側壁401bに形成されたドア413(第4A図 )を通して、反応チャンバ403内に配置さね、または反応チャンバ403から 取り出される。以下により詳しく説明されるように、ウェハはサセプタ402に 形成された凹部に配置される。サセプタ402と側壁401bとの間の距離は約 3.8cm(1,5インチ)である。
第2A図〜第2C図は、各々、サセプタ21を回転させ、上昇させ及び下降させ るサセプタ位置制御部202を備えた、本発明に基づく種々の反応炉200.2 20及び240の概略断面図である。第4A図及び第4B図では、このサセプタ 位置制御部が、反応炉400内で電動機415及び417を備えている。電動機 415はシャフト416を駆動し、サセプタ402を回転させる。電動機417 は、ベルト418を駆動し、リードねじ428を回転させ、プレート428が上 昇または下降し、サセプタ402を上または下に移動させる。サセプタ402が 垂直方向に移動することによって、1枚のウェハまたは複数のウェハをローディ ング及びアンローディングするため、及び処理するために適切な高さにサセプタ 402が配置される。更に、以下により詳しく説明されるように、サセプタ40 2がウェハローディング位置へ降下したとき、ピンがサセプタ4゜2の開口部を 通して延出し、1枚のウェハまたは複数のウェハをサセプタ402の上に持ち上 げ、1枚のウェハまたは複数のウェハのアンローディング及びローディングを容 易にする。
抵抗加熱器407、または(以下により詳しく説明される)受動熱分配要素が、 黒鉛から形成された環状シャフト419に取着されている。シャフト416は、 環状のシャフト419と同軸に取着されている。 (第4E図及び第4F図を参 照しながら以下により詳しく説明される)ベローアセンブリ420が、シャフト 416と、環状シャフト419と、関連する機構を囲繞する領域427を密閉す るようにプレート426と底壁401aとの間に取着さベ シャフト416と環 状シャフト419との間の空隙及び環状シャフト419と底壁401aとの間の 空隙を通して反応チャンバ403から漏洩する可能性のあるガスを収容する。
これらのガスは、以下により詳しく説明されるようにパージされる。
例えば、第2B図及び第2C図の反応炉220及び240のように、2個の熱源 を用いる本発明の実施例では、ランプバンク405 a、405 b、405  c、及び405dと、抵抗加熱器407が、1枚のウェハまたは複数のウェハを 概ね均一な温度に加熱するべく用いられる。例えば、第2A図の反応炉200の ように、単一の熱源を用いる本発明の実施例では、ランプバンク405 a、4 05 b、405c、及び405dのみがウェハを加熱するために用いられ、こ れらの実施例では、 (第7E図を参照しながら以下により詳しく説明される) 受動熱分配要素が、1枚のウェハまたは複数のウェハ全体に亘って概ね均一な温 度を達成することを援助するべく用いられる。
以下により詳しく説明されるように、2個の熱源を用いる本発明の実施例では、 ランプの集合と抵抗加熱器407が、ウェハの温度の測定値に応答して、種々の 熱量を提供するべく別個に電気的に制御されている。ある実施例では、ウェハの 温度は直接測定されおらず、即ち、温度センサがウェハに接触していない。アメ リカ合衆国イリノイ州ナイルスのイルコン社(IrconS Inc、)から入 手可能な、600℃から1250℃の範囲の温度を測定することが可能な光学的 高温計がシェル452の外側のへラド455(第4B図)に取り付けられている 。高温計熱感知要素は、シェル452内からシェル452に形成された開口部4 56aを通して放射された熱を感知する。開口部456aは、薄い石英ガラス( B a F2もしくはCaF2)から形成されている窓によって被覆されている 。所望に応じてハンドベルト高温計を用いることができるようにするために、第 2の開口部456bがシェル452に形成される。
開口部456bはまた、反応炉400の動作中に反応チャンバ403内を視覚的 に観測するために用いられる。高温計は、反応炉400の試験運転の間に、高温 計の測定値と、試験されるサセプタと接触する熱電対によって測定されたサセプ タの温度の測定値とを相関させることによって校正される。
高温計による温度の測定に加えて、もしくは高温計による温度の測定の代わりに 、ウェハの温度は、以下により詳しく説明されるように、容器401に形成され た開口部、例えば425a (第4B図)を通して挿入された熱電対によって測 定することもできる。高温計と同様に、反応炉400の試験運転中に、この熱電 による試験されるウェハの温度の測定値と、試験されるウェハに接触した他の熱 電対によって測定された温度の測定値とを相関させることによって、この熱電対 が校正される。
反応炉400の動作中に、壁401a、401b、 及び401c (第4A図 及び第4B図)は、反応チャンバ4゜3の動作温度に関連する冷却温度、例えば 600’Cに保持さレル。壁401 a、40 l b、及び4o1cがこの冷 却温度に保持されない場合、反応炉400の堆積過程中に、膜が壁401 a、 40 l b、及び401 c l:堆積サレル可能性カアル。u401 al  401 b、 及び401 cl、:膜が形成されることは、いくつかの理由 がら好ましいものではナイ。反応炉40oの動作中に、壁401a、401b。
及び401cに形成された膜は、熱を吸収し、これによって反応チャンバ403 の熱の分布に影響が及ぼされ、ウェハに許容されない温度の変化が形成される。
更に、壁4゜la、401b、及び401cに形成された膜は、ウェハを汚染す る粒子を反応炉400の動作中に発生させる可能性がある。
底壁401a及び側壁401bは、以下により詳しく説明されるように、壁40 1a及び401bを通して流れる水によって冷却されている。ランプバンク40 5a1405 J 405 cs 及び405dは、強制空冷及び水冷されてい る。上壁401cは強制空冷されている。強制空冷のための空気は、2個の遠心 送風機423(第4B図)を駆動する電動機422によって循環されている。1 個の遠心送風機のみが第4B図には図示されている。もう一方の遠心送風機は図 示された遠心送風機の裏側近傍に配置されている。遠心送風機423は、出口圧 力0.044気圧(18インチH20)の空気を毎分16.99m3(600立 方フイート)送り出す。反応炉400の動作中に、冷却システムを通過する流速 は、毎分16.99m3(600立方フイート)である。本発明で用いられる電 動機422及び遠心送風機423は、アメリカ合衆国カリフォルニア州すンタモ ニカのバクストン・プロダクツ社(Paxton ProductsS Inc 、)から、製品番号RM−87C/184TCとして入手することができる。
反応チャンバ403またはランプバンク405a、405b、405c及び40 5dから熱を吸収した空気は、従来の熱交換器424を通過させることによって 、約40〜100℃に冷却される。この熱交換器は、アメリカ合衆国マサチュー セッツ州つエイクのE G&G ウェイクツイールド・エンジニアリング(Wa kefield Engineering)から製品番号725として入手する ことができる。熱交換器424は、空気を約40℃に冷却するように設計されて いる。熱交換器424の冷却水の流速は概ね毎分27,271〜45.451( 6〜10ガロン)の範囲である。加熱された排気空気は、始めに遠心送風機42 3を通り、次に熱交換器424を通過する。遠心送風機423と熱交換器424 のこの順序は、加熱された排気空気が熱交換器424を通り次に遠心送風機42 3を通る場合よりもより良好な冷却効果を提供するので、好ましいものである。
プロセスガスは、ガス入口管408a (第4B図)を通して反応チャンバ40 3内に供給され、以下により詳しく説明されるようにガス注入ヘッド414を通 して反応チャンバ403内に注入される。代わりに、ガスはガス入口管408b を通して流れ、かつ以下により詳しく説明される、底壁401aに形成された開 口部、例えば開口部425bを通して挿入された複数のガス注入噴出孔、例えば ガス注入噴出孔421aを通して反応チャンバ403内に注入される。ガスは、 サセプタ402に配置されたウェハを通過し、排気ライン409a及び409b  (第4A図及び第4B図)を通して反応チャンバ403から共通の排気ライン 409c (第4B図)へ排気される。排気ライン4098%409 b、 及 び409cは、反応チャンバ403の圧力より低い0.044〜0.22気圧( 1〜5インチH20)の圧力に保持されているので、ガスは反応チャンバ403 から排気される。ガスは排気ライン409cを通り反応炉400の区分400c に達し、従来どおり反応炉400から排気される。
使用された反応ガスは反応炉400から排気された後に、1992年1月22日 にジジンスガード(Johnsgard)らに発行された米国特許第4,986 ,838号“In1et System for Gas 5crubberS  ”に開示されたスクラバのような(図示されていない)スクラバによって洗浄 される。上述された米国特許は、ここで言及したことによって本出願の一部とさ れたい。
第5A図及び第5B図は各々、シェル452及びテーブル451の間の反応炉4 00の構成要素及びシェル452を詳細に表した、第4A図及び第4B図の部分 図である。
第5C図は、シェル452の内側部分を表す、シェル452の底面図である。第 5D図は、冷却空気人口553 a。
553bと、冷却空気出口554 a、554 bとを表した、反応炉403及 びテーブル451の上面図である。第5E図及び第5F図は、テーブル451の 下の反応炉400の区分を詳細に表した、第4B図の一部を表す図である。第5 E図は、サセプタ402の上にウェハ51をローディングするための下降した位 置のサセプタ402を表し、第5F図は、ウェハ511を処理するための上昇し た位置のすセブタ402を表している。
第5A図及び第5B図に示されているように、ランプバンク405 a、405  b、405 c、 及び405dは、上壁401 cの上に配置されている。
各ランプバンク405a、405 b、405 c及び405dは、反射器アセ ンブリ506 a、506 b、506 c、及び506dとして一体形成され た1個または複数のランプ505と、ランプと等しい個数の反射器を含む(以下 、代表的なランプまたは複数のランプは、ランプ505または複数のランプ50 5と呼ばれ、1個または複数の特定のランプは、例えば、ランプ505aと呼ば れる)。各ランプバンク405a及び405b (第5A図)には、ランプ50 5が7個備えられている。ランプバンク405C及び405d (第5B図)に は、1個のランプ505が備えられている。以下により詳しく説明されるように 、その一部が第5A図及び第5B図に示されているように、スロットが、ランプ 505 a。
505 b、及び505dの上の反射器アセンブリ506 a。
506 b、506 c、及び506dに形成されている。
ランプバンクのケーシング535 a、535 b、535c1 及び535d は、各々、ランプバンク405a、405b、405c、及び405dの大部分 を囲繞している。
ランプバンクのケーシング535 a、535 b、535 c。
及び535dは、例えばランプ505に隣接してその底部が開いており、ランプ 505から放射されたエネルギーが反応チャンバ403へ向かいし、冷却空気が 容器401へ向かう。ランプバンクのケーシング535 a、535 b。
535 c、 及び535dは、金メッキされたステンレス鋼から形成されてい る。
各ランプバンク405 a、405 b、405 c、及び405dは、その両 端がねじ切りされた4本のスタッド504によってシェル452に取り付けられ ている。各スタッド504のねじ切りされた一方の端部は、シェル542に形成 されたねじ切りされた開口部に螺合されている。各スタッド504のもう一方の 端部は、対応するランプバンク、例えばランプバンク405aに螺合されている 。ある実施例では、各ランプバンク405 a、405 b、405 c。
及び405dは、対応する取り付は面515 a、515 b。
515 c、及び515dが、サセプタ402に対して約20°の角度を形成す るように、取り付けられている。この角度は、以下により詳しく説明される手段 を用いてランプバンクの隅の位置を調節することによって、特定のランプバンク 、例えばランプバンク405aに対してわずかに変化させることができる。この ような角度の変更は、スタッド504のねじ切りされた部分の直径と、ランプバ ンク405aのねじ切りされた開口部との間に設けられた空間的な許容誤差のた めに可能となっている。
ランプバンク405 a、405 b、405 c、及び405dを、206以 外の角度で取り付けることも可能である。
本発明のある実施例では、第4A図、第4B図、第4C図、第5A図、第5B図 、第5E図、及び第5F図の反応炉400の上壁401cの形状に対しては、各 ランプバンク405 a、405 b、405 c、及び405dは、対応する 取り付は面515 a、515 b、515 c、及び515dが、サセプタ4 02との間に10〜40°の角度をなすように取り付けられている。異なる形状 の土壁を備えた容器を有する本発明に基づく反応炉に対しては、異なる範囲の角 度が適切である。
第6図は、ランプバンク405b及び405dの斜視図である。各ランプバンク 、例えばランプバンク405bは、ランプフレーム、例えばランプフレーム60 5 b、 及び605dと、反射器アセンブリ、例えば反射器アセンブリ506 b1 及び506dと、 (第6図では図示されていない)1個または複数のラ ンプ505と、ランプクリップ617の1つまたは複数の集合とを有する。各反 射器アセンブリ、例えば反射器アセンブリ506bは、ナツト及びボルトによっ てランプバンク、例えばランプバンク405bに取り付けられている。反射器ア センブリ506bの各反射器に形成されたスロット618によって、以下により 詳しく説明されるように、冷却用空気が反射器アセンブリ506bを通過しラン プ505を通過することが可能となる。各ランプ505の向かい合う端部は、ラ ンプクリップ617の片方に取着され、このランプクリップ617はナツト及び ボルトによってランプフレーム605bに取着されている。
スタッド504は、ランプフレーム、例えばランプフレーム605bの4つの隅 、例えば隅615 a、615 b。
615 C% 及び615dの各々に螺着されている。スペーサ、ロックナツト 、及びナツト(何れも第6図には図示されていない)が、ランプフレーム605 bに螺着された各スタッド504のねじ切りされた端部に螺合されている。
スペーサは、ランプパン入 例えばランプバンク405bの位置が、シェル45 2(第5A図及び第5B図)に対して変化するように、異なる長さを有してもよ い。シェル452が取り付けられるテーブル451の表面から最も接近して配置 されたランプ505 a、505 b、505 c、及び505dの中心線のテ ーブル451の表面からの距離は、約10.95cm(4,31インチ)であり 、最も離れて配置されたランプ5 o 58% 及び505fの中心線のテーブ ル451の表面からの距離は約16cm(6,31インチ)である。しかし、ラ ンプバンク405 a、405 b、405 c、及び405dの角度が20° の場合、これらの距離は、約5.08cm(2インチ)だけ増減することができ る。
反応炉400の区分400d (第4C図)から耐熱性のワイヤを通してランプ 505に電力が供給される。2個のランプバンク、例えばランプバンク405  b、 及び405dの耐熱性のワイヤは、テーブル451(第5D図)に形成さ れた開口部556 a、 及び556bのうちの一方の開口部を通過し、他の2 個のランプバンク、例えばランプバンク405a及び405Cのワイヤは、開口 部556a及び556bのうちのもう一方の開口部を通過している。
第6図に示されているように、耐熱性のワイヤは、ラウティングボード610に 取り付けられた軍用コネクタ、例えば軍用コネクタ604 a、604 bを通 してシェル452内に挿入されている(第6図にはラウティングボード610の みが例示されているが、ランプバンク405a及び405cに関連する同様のラ ウティングボード610も配置されている)。耐熱性のワイヤは、ワイヤの束、 例えばワイヤの束611a、611bとして、シェル452内で束ねられている 。ワイヤの束611aは、ランプバンク405bのランプ505の耐熱性のワイ ヤを含み、ワイヤの束611bは、ランプバンク405dのランプ505の耐熱 性のワイヤを含む。
スペーサ、ロックナツト、ワイヤラグ及びナツト、例えばスペーサ505 a、 ロックナツト607 a、ワイヤラグ608 a、 及びナツト609aは、複 数のねじの各々、例えばねじ616aに螺合されており、複数のねじはランプフ レーム605bに螺合されている。各ランプ505には1つのねじが備えられて いる。ねじ616aによって、(ある実施例ではセラミックから形成された)電 気的な絶縁スペーサ606aを通して、対応するランプ505からワイヤラグ6 08aへの電気的な接続が形成される。耐熱性のワイヤの1つである導電性ワイ ヤ619aは、ワイヤラグ608a (及びランプ505)を、軍用コネクタ6 04aに接続し、かつ外部の電源に接続している。
上述されたように、ランプバンク405 a、405 b。
405 cs 及び405dは水冷されている。外部の水供給源から供給される 冷却水は、各ランプバンク405a、405 b、405 c、及び405dの 背面に取着された銅製の管、例えば管612を通して供給されている。チュービ ング612は、断路器613a、613bを備えたラウティングボード610に 取着されている。冷却水は、管の区分612aを通して導入される。冷却水は、 管612を通してランプバンク405bに供給さ枳 このランプバンク405b では、第6図には図示されていないが、管612はランプパンクロ05bの背面 の大部分に亘って蛇のような形状で配置され、ランプバンク405bを冷却する 大量の冷却水を得ることができる。次に、冷却水がランプバンク405dの背面 の管612を流れ、管612を通って管区分612bへ戻り、外部の冷却水供給 源の排水管に戻る。
冷却水の流速は、ある実施例では毎分的6.81751(1,5ガロン)である 。
ランプ505は、反応チャンバ403内のウェハ511(第5E図及び第5F図 )に放射エネルギーを供給し、ウェハ511を加熱する。ランプ505は、石英 ガラス・ハロゲンランプからなる。電圧が各ランプ505に印加され、加熱され たタングステンフィラメントから短波長の、即ち1μm以下から約500μmの 範囲の波長の放射エネルギーが放射される。本発明に用いるために適した石英ガ ラス・ハロゲンランプは、アメリカ合衆国カリフォルニア用90502・トーラ ンスのウシオ・アメリカン社(Ushio American、 Inc、)か ら、製品番号第QIR480−6000Eとして発売されている。このランプの 仕様が表1に表されている。
表 1 放射エネルギーランプ505の仕様 定格電圧 定格電力 色温度 最大全長 最大波長 直径(V) (W) じK  ) (mm) (mm) (mm)各ランプ505は、パラボラ型の金メッキ された高度に磨きあげられた反射器内に配置されている。各反射器は、個々のラ ンプ505の長手方向に沿った放射線型の断面を有するように形成されている。
反射器は、反応チャンバ403及びウェハ511に伝達される熱の量を最大にす るべく提供されている。反応チャンバ403から遠ざかる向きにランプ505か ら放射された放射エネルギーは、反射器によって反応チャンバ403に向かって 再び放射される。
更に、反応チャンバ403から反射されたエネルギーは、反射器によって再び反 応チャンバ403に向かって反射される。一般的に、反射器は、ランプ505の 寿命を制限することのない、またはウェハ511の温度分布が不均一とならない 任意の形状を有しかつ任意の姿勢に配置される。
上述されたように、反応炉400では、各ランプノくンク405 a、405  b、405 c、及び405dに対する全ての反射器は、反射器アセンブリ50 6 a、506 b、506c1 及び506dとして一体形成されている。反 射器アセンブリ506 a、506 b、506 c、及び506dは、アメリ カ合°衆国カリフォルニア州すニーベイルのエピタキシャルサービス(Epit axial 5ervices)から、製品番号第90145として入手するこ とができる。本発明に用いるために適した他の反射器アセンブリは、アメリカ合 衆国カリフォルニア州すンタクララのベクトル・テクノロジー・グループ社(V ector Technology Group、 Inc、 ) から、 ス ノくイラル・アレイ・リフレクタ・エクステンプイツト(Spiral−Arr ay Reflector Extended)(製品番号第90145)とし て入手することができる。
反射器アセンブリ506 a、506 b、506 c、及び506dの他に、 反射器517(第5A図及び第5B図)が、ボルトによってクランブリング40 1dに取着されている。反射器517は、金属板、例えばステンレス鋼から形成 され、かつ金、ニッケルまたは銀のような反射性の材料をメッキされている。反 射器517の表面のうち反応チャンバ403に面した部分のみがメッキされてい ればよいが、概ね、反射器517の表面全体がメッキされている。
反射器517は、反応チャンバ403の周辺部分全体に亘って取着されており、 かつサセプタ402に向かってエネルギーを反射するように配置されている。
土壁401cは、ランプ505からの放射エネルギーが土壁401Cによってほ とんど吸収されないように石英ガラスによって形成されているので、放射エネル ギーの大部分が、反応チャンバ403を通ってウェハ511に直接伝達される。
第5E図及び第5F図に最もよく表されているように、上壁401cは、クラン ブリング401dを貫通すると共にテーブル451に形成されたねじ切りされた 開口部内に延出するねじ切りされた部材549によって所定の位置に締着されて いる。クランブリング401dはステンレス鋼から形成されている。2個のOリ ング551 a。
及び551bがテーブル451の溝内に配置されており、ねじ切りされた部材4 59が締め付けられたとき、0リング551a、551bは、圧縮され、テーブ ル451と上壁401cとの間を密閉する。クランブリング401dと上壁40 1cとの間が、0リング551cによって密閉される。
ランプ505及び反射器アセンブリ506 a、506 b。
506 c、及び506dは、上述された水冷に加え強制空冷されている。第5 C図に表されているように、冷却用の空気が、空気人口553 a、553 b を通してシェル452の頭部に形成された空間内に供給される。空気人口553 a、及び553bは、7.6c+a(3インチ)の直径を有する。冷却用の空気 は、6個の通気孔555 a、555 b。
555 c、555 d、555 e、及び555fを通してシェル452と容 器401との間の領域内に供給される。空気が、シェル452と容器401との 間の領域を通過するとき、空気は反射器アセンブリ506 a、506 b、5 06c、及び506dと、ランプ505の上を通過し、反射器アセンブリとラン プとを冷却する。更に、空気は容器401の上壁401cの上を通過し、上壁4 01cを冷却する。
第5D図に表されているように、加熱された空気は、(テーブル451に形成さ れている)空気出口554 a。
及び554bを通して、シェル452と容器401との間の領域から流出する。
空気出口554 a、 及び554bは、10.2cm(4インチ)の直径を有 する。次に加熱された空気は第4B図に関して上述されたように、空気を冷却す る熱交換器に戻される。次に冷却された空気はシェル452と容器401との間 の領域に再び供給され、ランプ505と、反射器アセンブリ506 a、506  b、506 c。
及び506dと、上壁401cとを再び冷却する。
サセプタ402の下部に配置されたRF熱源を用いた本発明のある実施例では、 以下により詳しく説明されるように、RF熱源のコイルは、容器401の下から 供給されたコイルを通過する水流によって冷却されている。
第5D図に表されているように、テーブル451は2つの区分からなる。テーブ ルの区分451aはアルミニウムから形成されており、テーブルの区分451b には、耐腐食性であって区分451bがさらされる高温度に耐える能力を有する ステンレス鋼が用いられている。
上述されたように、シェル452は、反応炉400の何れか一方の側面に向かっ てテーブル451から離れるように回動するようにヨーク453に取り付けられ ている(第4B図)。第5C図に詳しく表示されているように、ピン457a及 び457bが、シェル452の(″ボス”と呼ばれる)取着区分552 a、及 び552bに形成された開口部と、 (第5C図には図示されていない)ヨーク 453に形成された整合する開口部とに挿入され、シェル452をヨーク453 に対して横方向の定位置に保持する。シェル452は、シェル452の取着区分 5528% 及び552bの一方の端部に接触するヨーク453(第4B図を参 照のこと)の端部453 a、及び453bによって垂直方向の定位置に保持さ れている。シェル452は、ピン457a、及び457bの一方を取り除き、か つシェル452をピン457 a、及び457bのもう一方のピンを中心として 回転させるとによってテーブル451から回動して遠ざけられる。2個のピン4 57 a、及び457bが提供されているので、シェル452を2つの向きの何 れにも開くことが可能であり、反応炉400を用いる様々な条件のもとで、容器 401及びシェル452の内部の反応炉400の構成要素に接近することが容易 となる。
側壁401bと底壁401aが第5E図及び第5F図に示されている。側壁40 1bと底壁401 aは、ステンレス鋼から形成されており、互いに溶接されて いる。石英ガラスの内張り501a及び501bが各々、底壁401a及び側壁 401bに隣接する反応チャンバ403内に配置されている。内張り501a及 び501bは、各々、反応炉400内でウェハ511を処理する間に、底壁40 1a及び側壁401bにガスが堆積することを防止する。内張り501 a、5 0 l bは、反応チャンバ403の内側に面したビードブラストされた表面を 備えた純粋な石英ガラスから形成されている。ビードブラストされた表面によっ て、表面がビードブラストされていない場合には内張り501a、及び501b から剥離する堆積された膜を、内張り501 a、及び501bに固着させるこ とができる。従って、膜の剥離による汚染が防止され、反応炉400を長時間に 亘って使用した後に、内張り501a及び501bが反応チャンバ403から取 り出され、酸を用いたエツチングによって洗浄される。
第5D図に表されているように、開口部425a、425b、425c、及び4 25dが、底壁401aに形成されている。開口部425 a、425 b、4 25 c、及び425dは各々、1.9c+a(0,75インチ)の直径を有す る。開口部425 a、425 b、425 c、及び425dの各々は、温度 を測定するために反応チャンバ403内に熱電対を挿入するために用いられても よい。開口部425a、425 b、425 c、及び425dの各々はまた、 ウェハ511をより速く冷却するために、ウェハの処理後のパージングの間に反 応チャンバ403内に更にパージガスを導入するために用いられてもよい。ポス ト425a、425 b、425 c、及び425dの各々はまた、ウェハ51 1に粒子が堆積することを防止することを援助するために、処理前もしくは処理 後のパージングの前もしくはパージングの間に、ウェハ511に向かって空気を 噴出させるために用いられてもよい。
本発明のある実施例では、熱電対525(第5E図及び第5F図)が、開口部4 25 a、425 b、425 c、及び425dの1つ(開口部425aが図 示されている)を通して挿入されている。熱電対525は、その先端部分が露出 された、石英ガラスによって被覆された熱電対ワイヤを有する。熱電対ワイヤは 、例えば、K型の熱電対ワイヤであってよい。熱電対ワイヤは、石英ガラスによ って被覆されて剛性を備え、反応チャンバ403内での熱電対ワイヤの位置を調 節することがより容易となり、反応チャンバ403内に存在する水素にさらされ ることによる熱電対ワイヤの劣化速度が遅くなる。熱電対ワイヤの先端部分が黒 鉛によって覆われることによって、熱電対ワイヤが反応チャンバ403内の水素 雰囲気から更に保護される。黒鉛は十分な熱伝導性を有するので、熱電対ワイヤ の温度測定能力は、実際には制限されることはない。
熱電対525は、熱電対525を開口部525aを通して上または下に移動させ ることによって、反応チャンバ403の所望の高さに配置される。ある実施例で は、熱電対525は、サセプタ402の上側面の上方約2.54cm(1インチ )に配置されている。更に、熱電対525は、所望の位置に回転する。本発明の ある実施例では、熱電対525が直線の形状を有する場合と比べ、熱電対525 の端部525aがサセプタ402により接近するように、熱電対525の端部5 25aが、ある角度に折り曲げられ、かつ熱電対525が回転して配置されてい る。
第7A図は、抵抗要素のパターンを表す、3個の等しい区分707 a、707  b、及び707Cから形成された抵抗加熱器407の断面図である。第7B図 及び第7C図は各々、抵抗加熱器407の区分707aの平面図及び一部切欠き 断面図である。第7D図は、第7B図の区分線A内の707aの一部の詳細図で ある。抵抗加熱器407は、アメリカ合衆国オハイオ州クリーブランドのユニオ ン・カーバイド・アドバンス・セラミクス社(Union Carbide A dvance Ceramics Corp、)によって製造されており、第7 A図、第7B図、第7C図及び第7D図の図面を提供し、かつ製品番号第E10 005を指定することによって入手することができる。
抵抗加熱器407の各区分、例えば区分707aは、3つの層、即ちセラミック からなる2つの外側層と、黒鉛からなるの1つの内側層からなる。第7A図は、 黒鉛の層を表した抵抗加熱器407の断面図である。黒鉛層は、電気的な絶縁領 域、例えば領域708が、黒鉛層の部分、例えば部分709 a、709 bを 分割するようにパターン化されているので、黒鉛層が迷路のような形状を有する 通路を形成する。抵抗加熱器407は、電流がこの迷路のような形状の通路を流 れるときに熱を発生する。電気的な絶縁領域、例えば領域708は、セラミック から形成されている。
代わりに、電気的な絶縁領域、例えば領域708は黒鉛層内に形成された溝であ っても良い。この後者の場合、溝内の空気が、十分に電気的な絶縁を提供する。
抵抗加熱器407の直径は、35.6cm(14インチ)であり、厚さは1.2 7cm(0,5インチ)である。この抵抗加熱器は三相交流によって動作する。
印加電圧が240Vの場合、46Aの電流が流れ、印加電圧が480Vの場合、 92Aの電流が流れる。
抵抗加熱器407の中心に形成された開口部710によっで、以下により詳しく 説明されるように、シャフト516(第5E図及び第5F図)が抵抗加熱器40 7を通過し、かつサセプタ402を支持することが可能となる。複数の開口部、 例えば開口部711a、711bが、抵抗加熱器407を貫通して形成されるこ とによって、取着ロッド、例えば取着ロッド512 a、512 b (第5E 図及び第5F図)が抵抗加熱器407を通過することが可能となり、これらの取 着ロッドは、以下により詳しく説明されるように、ウェハ511をローディング 及びアンローディングするために用いられる。抵抗加熱器407には、12個の 開口部、例えば、開口部711 a、 及び711bが形成されているが、特定 のウェハローディング及びアンローディング技術に適合するように任意の個数の 開口部が形成されてもよい。各々の開口部、例えば開口部711 a、 及び7 11bは、取着ロッド512 a、及び512bの直径よりも僅かに大きい0. 953c+o(0,375インチ)の直径を有する。開口部、例えば開口部71 1 a、 及び711bは、対応する取着ロッド、例えば取着ロッド512 a 、 及び512bの位置に対応して配置されている。
第7A図及び第7B図に図示され、かつ以下により詳しく説明されるように、3 個のモリブデン製のねじ714 a。
714b及び714Cが抵抗加熱器407の区分707a内に配置されている。
ねじ714aは、外部の電源と、抵抗加熱器407の区分707a内の黒鉛から 形成された抵杭要素とを電気的に接続する。ねじ714b及び714Cは各々、 区分707aと区分707b及び区分707aと区分707cとを電気的に接続 するために用いられている。
第7A図に示されているように、区分707aのねじ714bと、区分707b のねじ714dは、抵抗加熱器407の底部のセラミック層内に配置されたモリ ブデンまたは黒鉛から形成されたスリーブ712と各々接触し、区分707aの 黒鉛から形成された抵抗要素と、区分707bの黒鉛から形成された抵抗要素と を電気的に接続する。同様に、区分707aと区分707cと、及び区分707 bと区分707cとが接続されている。
第7B図では、モリブデン製のねじ714 b、 及び714cの中心は、抵抗 加熱器407の中心から16.80cm(6,614インチ)離れており、かつ 各々、区分707aの側壁717 a、及び717bから0.953c+o ( 0゜375インチ)離れている。モリブデン製のねじ714aは、抵抗加熱器4 07の中心から2.07cm(0,813インチ)離れており、かつ区分707 aの側壁717aから1.03cm(0,40フインチ)離れている。各モリブ デン製のねじ、例えばねじ714a、714b、 及び714cの直径は、0. 912cm(0,359インチ)であり、第7C図に示されているように、各モ リブデン製のねじの厚さは0. 508 c+++ (0,2インチ)である。
厚さ0.318cm(0,1252インチ)のスロット715が、各ねじ714 b及び714cの底部に隣接して形成されており、このスロットによって、電線 が各ねじ714b及び714Cと接触して、上述したように抵抗加熱器707の 区分707 a、707 b、及び707Cを電気的に接続している。
反応炉400では、表面713(第7C図)が、サセプタ402と隣接して配置 されている。
第5E図及び第5F図に示されているように、抵抗加熱器407は石英ガラス層 508の上に取り付けられており、かつ石英ガラス被膜507によって被覆され ている。サセプタ402に面する石英ガラ被膜507の表面は、サセプタの下方 的2.22c++(0,875インチ)に配置されている。石英ガラス層508 は、ウェハ511の処理過程中に、抵抗加熱器407にプロセスガスが堆積する ことを防止する。石英ガラス被膜507ちまた、抵抗加熱器407にプロセスガ スが堆積することを防止する。石英ガラス層508及び石英ガラス被膜507は 抵抗加熱器407よりも容易に洗浄することができるので、石英ガラス層508 及び石英ガラス被膜507を提供することによって、次の利点が提供される。上 述された石英ガラスの内張り501と同様に、反応炉400を長時間に亘って使 用した後に、石英ガラス層508及び石英ガラス被膜507を反応チャンバ40 3から取り出し、洗浄することができる。
更に、層508及び被膜507は石英ガラスから形成されているので、層508 及び被膜507は抵抗加熱器407から放射される熱をほとんど吸収しない。従 って、石英ガラス被膜507によって、抵抗加熱器407からの熱の大部分がウ ェハ511に伝達され、石英ガラス層508はウェハ511から放射される熱を 吸収するヒートシンクとして作用することはない。
抵抗加熱器407は反応チャンバ403内に配置されているので、高電圧の電源 ラインを反応チャンバ407内に配置しなければならない。しかし、反応炉40 0の動作中に、反応チャンバ403内の温度は約1200℃に達する。
この反応炉内の高温度は、一般的に入手可能な電線の絶縁温度の仕様を超過して いる。例えば、本発明のある実施例では、アメリカ合衆国カリフォルニア用レッ ドウッドシティのベイ―アソシエイツ(Bay As5ociates)から入 手可能な399℃及び600vを定格とするファイヤーゾーン(F i re  zone)101電線が抵抗加熱器407に電流を供給するために用いられてい る。更に、多くの過程では、水素が反応チャンバ403内に存在している。この ため、電線の絶縁が破壊された場合、反応チャンバ403内のアーク放電によっ て爆発が生ずる危険性かある。
本発明のある実施例に基づけば、上述された電源に関する問題は、反応チャンバ 400の外側の抵抗加熱器407の底面から延在する環状シャフト419の導管 、例えば導管419a (第5E図及び第5F図)を提供することによって解決 される。導管、例えば導管508aは、石英ガラス層508を貫通して形成され ている。導管508aは導管419aと接触している。モリブデン製のねじ、例 えばねじ524aは、抵抗加熱器407を石英ガラス層508に保持している。
ねじ524aは、抵抗加熱器407の黒鉛製の抵抗要素と接触し、かつ導管50 8内に延在している。モリブデンはその導電率が高く、かつ腐食及び熱に対する 良好な耐性を有する(ねじ524aは1370℃までの温度に耐えることができ る)ので、ねじ524aを構成する材料として選択されている。定格400℃の 導電性ワイヤが、反応チャンバ403の外側から導管419a及び500aを通 してねじ524aに配線されている。このようにして、電線を非常に高い温度ま たは水素にさらさずに、反応チャンバ403の外側から抵抗加熱器407の抵抗 要素に電流が供給される。抵抗加熱器407には三相交流電力が供給されている ので、上述された導管及びねじの3個の集合が電源を反応チャンバ403に供給 するために用いられている。
上述されたように、本発明のある実施例では、反応チャンバの上に配置された単 一の放射熱源のみが用いられている。これらの実施例では、熱を再放射またはウ ェハに向かって反射する材料からなる層をサセプタの下に配置することが望まし い。この受動熱分配要素によって、処理中のウェハ全体に亘ってほぼ均一な温度 を保持することが援助される。
第7E図は、その上にウェハ511が取着されたサセプタ402を支持するシャ フト416の断面図である。反応炉400のある実施例では、受動熱分配要素7 27は布またはプレートから形成され、かつ布支持部728と布カバー729の 間に配置されている。受動熱分配要素727は、黒鉛、金属またはシリコンカー バイドから形成することができる。本発明のある実施例では、受動熱分配要素7 27はシリコンカーバイドから形成されている。本発明の他の実施例では、受動 熱分配要素727はシリコンカーバイドで被覆された黒鉛から形成されている。
受動熱分配要素727は、サセプタ402と等しい直径、即ち35.6cm(1 4インチ)を有する。
本発明のある実施例では、布支持部728と布カバー729は、各々、第5E図 及び第5F図に関して上述されたように、石英ガラス層508及び石英ガラス被 覆507からなる。石英ガラス層508は1.59CI11(0,625インチ )の厚さを有し、石英ガラス被覆は0.318cm (0゜125インチ)の厚 さを有する。石英ガラス被覆507は、石英ガラス層508の裏側面の直下まで 延在し、受動熱分配要素727が粒子によって汚染されることを防止する。
しかし、ウェハ511がロードまたはアンロードされるとき、石英ガラス被覆5 07、受動熱分配要素727及び石英ガラス層508がサセプタ402と共に下 降する場合、石英ガラス被覆507が底壁401aに接触するほど石英ガラス被 覆507が下に延在するべきではない(第5E図)。
第7F図は、容器301の底壁301aに取着された反射板730を含む、本発 明の他の実施例に基づく反応炉700の概略断面図である。反応炉700は反応 炉300(第3A図)と等しく、等しい構成要素には等しい符号が付されている 。反応炉700では、反射板730は、ナツト及びボルトによって反応チャンバ 303の外側の容器301の底壁301aに取着されている。底壁301aは、 反応チャンバ303から遠ざかるランプ305から放射されたエネルギーを反応 チャンバ303に向けて反射する。
反射板730は、金またはニッケルをメッキされたステンレス鋼から形成されて いる。本発明のこの実施例では、抵抗加熱器327(第3B図及び第3D図)と 、受動熱分配要素307(第3A図及び第3C図)と、関連する支持部及び被覆 (第5E図及び第5F図)が反応炉300から除去されているので、側壁301 bは、他の場合よりも短くなっている。これは、反射板730がサセプタ302 により近く配置されているので、反射板730からサセプタ302へ反射される エネルギーがより強くなることを意味している。
上述されたように、容器401の底壁401 aと側壁401bは、底壁401 a及び側壁401bを通過する冷却水によって冷却されている。第5E図及び第 5F図に表されているように、導管503Cは底壁401aに形成されており、 側壁401bによってキャビティ503aが形成されている。導管503a及び キャビティ503cの両方は、バッフルを含み、このバッフルによって、底壁4 01a及び側壁401bが均一に冷却されるように冷却水の流れが決定される。
更に、キャビティ503b内の水流は、Oリング551、及び551bが冷却さ れるようにテーブル451内で形成される。冷却水は、外部の冷却水供給源から キャビティ503aからキャビティ503a、503bと導管503Cへ、通常 の管を通して容器501の下から約5,472気圧(80psi)の圧力で供給 されており、冷却水の流速は通常の弁によって制御されている。本発明のある実 施例では、導管503C及びキャビティ503a、及び503bの各々を通過す る冷却水の流速は毎分的5. 91 (1,3ガロン)となっている。
ウェハ511が所定の温度まで加熱されたとき、混合ガスが2つの従来の方法、 即ちドーム型の上壁401Cの中心からガスを注入する方法と、側面からガスを 注入する方法の何れかの方法によって反応チャンバ403内に導入される。ガス ラインは、ガスパネルをテーブル451の下に配置された通常のT型弁に接続し ている。T型弁は、土壁の中心からガスを導入する方法及び側面からガスを注入 する方法の何れか一方の方法を切り替えて用いるために配置されている。
中心からガスを注入する方法では、ガスはガス人口管408a(第5B図)を通 過し、使用されるガスに応じて流速3〜15051mで、ガス注入ヘッド514 (第5A図及び第5B図)に形成された開口部を通して反応チャンバ403内に 注入される。ガス注入ヘッド514は、第4B図のガス注入ヘッド414とは異 なる。一般に、本発明に用いるガス注入ヘッドは、任意の形状、例えばシャワー ヘッド形、円錐形、または球形であってよい。
上述された容器401では、ガス注入ヘッド514は容器401の中心゛に配置 されている。ガス注入ヘッド514は石英ガラスまたは黒鉛から形成することが できる。黒鉛は、ガスが反応チャンバ403内に導入されるときにガスを予熱す ることが望ましい場合に用いられる。ガス入口管408aは、ステンレス鋼から 形成され、直径0.64cm(0,25インチ)である。ガスは反応チャンバ4 03内を下降し、サセプタ402及び抵抗加熱器407を通過し、底壁401a に配置された排気開口部409a及び409b(第4A図及び第4B図)を通し て反応チャンバ403から排気される。
側面からガスを注入する方法では、ガスはガス人口管408b (第5B図)を 通過し、底壁401aに形成された開口部521 a、52 l b、及び52 1c (第5D図)を通って、反応チャンバ403の周縁部分に配置された複数 のガス注入噴出孔、例えばガス注入噴出孔421a (第5E図及び第5F図) から反応チャンバ403内に導入される(符号421は図面に記載されていない が、以下の説明ではガス注入噴出孔はガス注入噴出孔421と呼ばれる)。
これまでの説明から明らかなように、開口部521a、521b1 及び521 cは、底壁401aのエツジ付近に対称的に配置されており、かつある円の円周 上に120°毎に配置されている。各開口部521 a、52 l b、及び5 21cの中心線は、側壁401bから1. 84cm(0,725インチ)離れ ている。各開口部521 a、521 b。
及び521cの直径は、1. 9〜3. 2cm (0,75〜1゜25インチ )である。ある実施例では、各開口部521 a。
521 b、 及び521cの直径は、2. 22cm(0,875インチ)と なっている。ガス注入噴出孔421の各々は、回転し、かっ底壁401aを通し て上下に移動するので、ガスは所望に応じて種々の高さ及び向きで反応チャンバ 403内に注入される。ガス注入噴出孔421は、所望に応じて様々な他の位置 、例えば側壁401bを通して、または上壁401cを通して、反応チャンバ4 03に向けて形成される。反応チャンバ403内へ注入されるガスの位置及び向 きは、ガス注入噴出孔421が反応チャンバ403内に配置される特定の方法よ りも重要である。
ガスは、使用されるガスに応じて100〜200s 1mの流速でガス注入噴出 孔421を通して反応チャンバ4゜3内に導入される。本発明のある実施例では 、3個のガス注入噴出孔421が設けられており、各注入噴出孔は石英ガラスか ら形成されており、かつ直径0. 46 c+i (0,180インチ)の単一 の円形の開口部を備えている。本発明では、異なる個数のガス注入噴出孔421 が用いられてもよい。即ち、2〜10個のガス注入噴出孔421を、反応チャン バ403内の所望のガスの流れを形成するために用いることができる。更に、ガ ス注入噴出孔421は、開口部以外を備えていてもよく、かつ開口部の形状は円 形以外の形状であってもよい。更に、ガス注入噴出孔421は石英ガラスではな くステンレス鋼または黒鉛から形成されていてもよい。
第8A図は、本発明の他の実施例に基づく、ガス注入噴出孔821の一部の斜視 図である。ガス注入噴出孔821は、ガス注入噴出孔421と等しい。ガス注入 噴出孔の端部801は、ガス注入噴出孔の管805に溶接されている。
代わりに、ガス注入噴出孔の端部801にねじ切りされた部分が形成され、ガス 注入噴出孔の管805内に形成された対応するねじ切りされた凹部内に螺合され てもよい。ガス注入噴出孔の端部801とガス注入噴出孔の管805は、石英ガ ラス、ステンレス鋼または黒鉛から形成される。
第8B図は、本発明のある実施例に基づく、ガス注入噴出孔821に用いるガス 注入噴出孔の端部803の断面図である。キャビティ803dが、ガス注入噴出 孔の端部803の中心に形成されている。ガス注入噴出孔の端部8゜3を通過す るガスの流れの全体的な方向と平行な方向から眺めた場合、キャビティ803d は例えば円形もしくは正方形の断面を有する。キャビティ803dは、第1の幅 803cから、ガス注入噴出孔の端部803の末端部分803eでガスが噴出さ れる開口部の幅である第2の幅803aへ先細りとなる形状を有する。本発明の ある実施例では、幅803 aは約3.18mm(0,125インチ)であり、 角度803bは約45°であり、幅803cは約9.53mm(0,375イン チ)である。
第8C図は、本発明のある実施例に基づく、ガス注入噴出孔821に用いられる ガス注入噴出孔の端部813の断面図である。キャビティ813fは、ガス注入 噴出孔の端部813の中心に設けられている。ガス注入噴出孔の端部813を通 るガス流の全体的な流れと平行な方向から眺めた場合、キャビティ813fは例 えば円形または正方形の形状を有する。キャビティ813は、第1の幅813e から第2の幅813cへ先細りとなり、次に幅813bへ再び拡張する形状を有 する。ガスが噴出されるガス注入噴出孔の端部813の末端部分803gの開口 部は幅813bを有する。本発明のある実施例では、幅813eは約9゜53m m(0,375インチ)であり、角度813dは約45°であり、幅813cは 3. 18mm(0,125インチ)であり、角度813aは約60’であり、 幅813bは約6.35m+n(0,25インチ)である。
第8D図は、本発明のある実施例に基づく、ガス注入噴出孔821に用いるガス 注入噴出孔の端部823の断面図である。キャビティ823bは、ガス注入噴出 孔の端部823の中心に設けられている。ガス注入噴出孔の端部823を通るガ ス流の全体的な流れに平行な向きから見た場合、キャビティ823fは例えば円 形もしくは正方形の断面を有する。ガス注入噴出孔の端部803及び813とは 異なり、本発明のある実施例では、ガス注入噴出孔の端部823のキャビティ8 23aは約6.35mm(0,25インチ)の一定の幅823aを有する。好ま しくは、ガス注入噴出孔の端部823は、第8E図〜第8H図に関してこれまで 説明されたように、ベーンの実施例の1つを含むガス注入ヘッド821の実施例 に用いられる。
第8E図及び第8F図は各々、本発明のある実施例に基づくガス注入噴出孔82 1に用いられるベーン802の変形平面図及び側面図である。ベーン802は、 溶接によってガス注入噴出孔の管805の内壁に一体形成されたベーンプレート 802a及び802bを有する。第8F図に表されているように、ベーンプレー ト802a及び802bは、互いにある角度をなして形成されている。ある実施 例では、角度802eは約90°となっている。第8E図は、ベーンプレート8 02a及び802bの形状をより明瞭に表現するために、互いにある角度をなし て形成されたベーンプレート802a及び802bを同一の平面にあるように表 現した“変形”平面図である。ベーンプレート802aと802bには各々、切 り抜き部分802cと802dが形成されている。第8E図に表されているよう に、切り抜き部分802c及び802dは概ね楕円形の形状を有するが、他の形 状であってもよい。更に、第8E図の実施例では、切り抜き部分802cと80 2dが互いに重なることのないように形成さね、かつ、切り抜き部分802cま たは802dは各々、ベーンプレート802aまたは8゜2bの中心より上側ま たは下側の部分に形成されている。
しかし、切り抜き部分は必ずしも上述されたように形成される必要はない。
第8G図及び第8H図は各々、本発明の他の実施例に基づく、ガス注入噴出孔8 21に用いられるベーン812の変形平面図及び側面図であるベーン812は、 一体形成されたベーンプレート812a及び812bを含む。第8H図に表され ているように、ベーンプレー)812a及び812bは互いにある角度をなして 形成されている。ある実施例では、角度812eは約45°となっている。第8 G図は、ベーンプレート812a及び812bの形状をより明瞭に表すために、 互いにある角度をなして形成されたベーンプレート812a及び812bを同一 平面にあるように表現した“変形“平面図である。開口部812c及び812d は各々、ベーンプレート812a及び812bに形成されている。第8G図に表 されているように、開口部812c及び812dは概ね円形であるが、他の形状 であってもよい。
上述されたガス注入噴出孔821の実施例は、断面の幅の変化するキャビティを 備えたガス注入噴出孔の端部と、ガス注入噴出孔の端部が取着されたガス注入噴 出孔の管の端部部分に形成されたベーンとを有する。ガス注入噴出孔のこれらの 実施例によって、ガス注入噴出孔から霧が噴出される。このような霧は、ウェハ の表面に下降するガスの分布が、ウェハの表面に堆積される層の十分に均一な性 質、例えば、抵抗率及び厚さを達成するために適切であることを確実にするため に望ましいものである。一般的に、本発明に基づけば、上述されたように、ガス 注入噴出孔821がガス注入噴出孔の端部及びまたはベーンと共に形成され、こ れによって所望の均一性を達成する霧が形成される。更に、本発明は、反応炉の 反応チャンバ内に霧を形成する任意のガス注入システムを包含するものである。
ガス注入噴出孔421からのガスの流れが上壁401cの直下の点に向けられ、 ガスの流れが衝突し、ウェハ511の上に下降するガスの流れが生み出され、均 一な膜が堆積されるように、ガス注入噴出孔421が配置されている。
代わりに、ガス流が土壁401cに向かつて原紙上壁401cの湾曲した部分と 共働してウェハ511の上に下降する他のガス流が形成されるように、ガス注入 噴出孔421が配置されてもよい。ガスはガス注入噴出孔421から上壁401 cへそして上壁401 cからサセプタ402へ移動スるので、ウェハ511に 到達するまでに十分に加熱される。ガスは、反応チャンバ403内を下向きに流 れ、サセプタ402及び抵抗加熱器407を通過し、排気開口部509a及び5 09bを通して排気される。
反応炉400の動作中に、シャフト416と環状シャフト419との間の空隙、 及び環状シャフト419と底壁401aとの間の空隙(第5E図及び第5F図) を通してガスが反応チャンバ403から漏洩する可能性がある。ガスの漏洩する 可能性は、シャフト416と環状シャフト419との間の距離及び環状シャフト 419と底壁401aとの間の距離をできるだけ短くすることによって最少にさ れる。この実施例では、シャフト416と環状シャフト419との間の最小の空 間は約1.6m+o(0゜062インチ)となっている。環状シャフト419と 底壁401との間の間隔は、0.8mm(0,031インチ)となっている。
更に、上述されたように、アメリカ合衆国フロリダ州オーモンドビーチのメタル ・ファブリック社(MetalFab、 Corp、)から製品番号第5K−1 601−6009として入手可能な従来のベロウアセンブリ420がシャフト4 16と、環状シャフト419と関連する機構を囲繞する領域427(第4A図及 び第4B図を参照のこと)を密閉し、漏洩したガスを収容する。ベロウアセンブ リ420は、2個のフランジ区分(上側のフランジ区分420aのみが第5E図 及び第5F図に表されている)の間に溶接された蛇腹状の区分420b (第5 E図及び第5F図)を有する。区分420bはステンレス鋼板から形成されてお り、サセプタ402が下降及び上昇するとき収縮及び伸張する。フランジ区分、 例えば上側フランジ区分420aもまたステンレス鋼から形成されている。上側 フランジ区分420aは底壁401aにボルト締めされている。
(図示されていない)下側フランジ区分は、棚426(第4B図)に取着されて いる。
ベローパージ526は、領域427がらガスをパージする。パージガスは、反応 チャンバ403の圧力よりも高い圧力で、ベローパージ526を通して領域42 7内に供給される。その結果、反応チャンバ403から漏洩する可能性のあるガ スが、反応チャンバ403内に保持される。パージガスもまた反応チャンバ40 3内に進入するが、パージガスは底壁403aを通して反応チャンバ403の底 部に進入し、かつ反応チャンバ403内のガスの流れは排気ライン409 a、 409 bに向かって下向きに流れているので、パージガスは、排気ライン4o  9 a、及び409bを通して急速に反応チャンバ403から排気される。領 域427内のパージガスの残りの部分及び領域427に漏洩するプロセスガスは 、排気管527を通して放出される。
ある実施例では、ガス及び粒子を領域427がら除去することを援助するために 、領域427の圧力は排気管527を通して真空ポンプによって約10トルにさ れる。ウェハ511を反応炉400内で処理する間、パージガスの一部が反応チ ャンバ403内に進入するために、水素ガスがベローバージ526を通してパー ジガスとして用いられている。ウェハ511の処理が終了した後に、窒素ガスが パージガスとして用いられる。
第5E図及び第5F図に表されているように、サセプタ402はシャフト516 によって支持されている。サセプタ402の下側面に取着された端部と反対側の シャフト516の端部は、円錐形の形状を有し、かつシャフト416の端部に形 成された補完的な円錐形の四部にピン(図示されていない)によって取着されて いる。円錐形の形状のシャフト516の端部を円錐形のシャフト416の凹部に 嵌合させることによって、反応炉400の動作中にシャフト416が回転した場 合に、サセプタ402が水平に保たれる(即ち、動揺しない)ことが確実になる 。サセプタ402を水平に保つことは、反応炉400の動作中にウェハ511に 堆積されるある材料からなる層がウェハ511の表面の上に平坦に堆積されるこ とを確実にするために重要である。
代わりに、サセプタ402が回転するときに、サセプタ402の動揺が最小にさ れるならば、シャフト516の端部は円錐形ではなく、円筒形に形成され、かつ シャフト416には円筒形の補完的な開口部が形成される。重要な点は、サセプ タ402の回転中にサセプタ402が水平に保持されるように、シャフト416 とシャフト516が接続されることである。
他の実施例では、シャフト416に挿入されるシャフト516の端部は、六角柱 の形状を有する。シャフト416の端部には補完的な六角形の凹部が形成されて いる。サセプタ402の重量によって、シャフト516がシャフト416に形成 された凹部の定位置に保持される。シャフト516の六角形の断面を有する端部 と、シャフト416の六角形の断面を有する凹部とを嵌合することによって、  (以下により詳しく説明される本発明のある実施例に基づき)サセプタ402の 上にウェハ511を移動するために用いられるピンに対してサセプタ402が適 切な方向に配置され、これらのピンがサセプタ402に形成された対応する開口 部を貫通することが確実となる。代わりに、シャフト516の端部は、サセプタ 402が適切な方向に保持されるような他の断面の形状、例えば四角形の断面の 形状を有してもよい。サセプタの端部はまた、サセプタ402が回転する間にウ ェハ511を支持するサセプタの表面を水平に保持するべくサセプタ402の動 揺を最小にする。
シャフト516は、反応チャンバ403内の動作環境(即ち、高温度のガス雰囲 気中)に耐えることのできる任意のセラミック材料、石英ガラス、黒鉛から形成 される。
本発明のある実施例では、シャフト516は石英ガラスから形成されている。石 英ガラスは黒鉛と比べ吸収する熱が少ないために、シャフト516の温度が上昇 し、サセプタ402に取り付けられたウェハ511の温度が不均一となる可能性 が少なくなる。シャフト416はステンレス鋼から形成されている。
第5E図及び第5F図に表された本発明の実施例では、この好適な実施例では、 シャフト516がサセプタ402と一体形成されているので、サセプタ402の 支持部が2つの区分、即ちシャフト416とシャフト516から形成されている ことが好ましい。以下に説明されるように、異なる寸法のウェハ、例えばウェハ 511を処理するために異なるサセプタ402を用いることが好ましい。従って 、サセプタ支持部は、異なるサセプタ402と交換することが望まれるときに、 シャフト516がサセプタ支持部の残りの部材から容易に分離されるように、2 つのシャフト416及び516から形成されていなければならない。
第9A図及び第9B図は、各々、本発明の他の実施例に基づく、サセプタ支持部 916の平面図及び断面図である。
サセプタ支持部916は、シャフト916aと、シャフト916aの一方の端部 からシャフト916aから直角に延在する3個のアーム916b、916c、及 び916dを有する。アーム916 b、916 c、及び916dは互いに等 しい間隔を置いて配置されている。
スロット916eが、サセプタ支持部916のシャフトのもう一方の端部に形成 されている。補完的な機械キーがシャフトに形成されており、この機械キーにサ セプタ支持部916のシャフトが嵌合され、トルクを分布させ、かつサセプタ支 持部916が破壊されることを防止する。
アーム116 b、116 c、及び116dの各々の端部には架台が形成され ており、これらの架台は、サセプタの下側面に形成された対応する開口部に嵌合 される。これらの架台は、サセプタを横方向の定位置に保持する。
以下により詳しく説明されるように、第11D図はサセプタ支持部916に取着 されたサセプタ1102を表している。第11D図に表されているように、アー ム916 b。
916 c、及び916dの各々は、サセプタ1102の中心からサセプタ11 02のエツジ部分に向けて中心からほぼ1/2の部分まで延在している。本発明 のある実施例に基づけば、アーム916 b、916 c、及び916dは、サ セプタ1102の中心からサセプタ1102のエツジ部分に向けて115から2 /3の距離だけ延在している。
サセプタ支持部916は、アーム916 b、916 c。
及び916dの端部に形成された架台のみでサセプタに接触している。これは、 架台のみがサセプタの裏側面の熱の分布に影響を及ぼすために好ましいことであ る。第11D図に表されているように、架台は、ウェハ1101a、110 l  b、及び1101cの下にくることのないように配置されている。本発明に基 づけば、サセプタ支持部916と等しいサセプタ支持部が形成され、架台はサセ プタに配置されたウェハの下の位置でサセプタに接触することはない。
サセプタ支持部、例えばサセプタ支持部916は、第9A図及び第9B図に関し て説明されたように、サセプタのエツジまで延在するアームを備えたサセプタ支 持部を上回る利点を有する。第1に、このサセプタを製造するためにより少ない 材料が用いられるので、このサセプタはより低価格となる。第2に、アームが短 い距離に亘って延在するので、アームは、十分な構造的な強度を保ちながらより 少ない断面積で(即ちより少ない材料を用いて)形成することができる。
第10A図及び第10B図は各々、本発明の他の実施例に基づくサセプタ支持部 1016の上面図及び側面図である。サセプタ支持部1016は、支持シャフト 1016aを含み、この支持シャフト1016aがら支持アーム1゜16bが延 出している。支持アーム1016bの端部には、サセプタマウント1016cが 形成されている。
第10C図は、第10A図及び第10B図のサセプタ支持部1016に用いられ るサセプタ1002の平面図である。第10D図は、第10C図の線1oc−c がら見たサセプタの断面図である。 (図示されていない)ウェハが、サセプタ 1002に形成された凹部1002a内に配置されている。サセプタ支持スロッ ト1002bがサセプタ1002を貫通して形成されている。支持アーム101 6bは、サセプタ支持スロット1002b内に嵌合されている。
サセプタ支持スロット1002bのある角度に曲げられた部分は、支持アーム1 016bを支え、サセプタ1002を垂直方向の定位置に保持する。サセプタ支 持スロット1002bのおり曲げられた部分及び側壁は、支持アーム1016b を支え、サセプタ1002を横方向の定位置に保持する。代わりに、支持アーム 1016bをサセプタ1゜O2と一体形成することもできる。
反応炉400内でウェハ511を処理する過程の一部として、処理過程を開始す る前に反応チャンバ403内のサセプタ402にウェハ511を配置し、処理過 程が終了した後に反応チャンバ403から処理されたウェハ511を取り出すこ とが必要である。ウェハ511を反応チャンバ403から取り出すとき、または ウェハ511を反応チャンバ403内へ挿入するとき、サセプタ402を(“ホ ーム゛位置と呼ばれる)特定の位置まで回転させることによって、ウェハ511 を取り除くことが可能となる。ウェハ511がサセプタ402の上に配置された とき、もしくはサセプタ402から取り除かれたとき、サセプタ402は底壁4 01aの近くの位置へ下降させられる。
第5E図は、サセプタ402の上にウェハ511をロードするための下降位置に あるサセプタ402を表している。
複数の取着ロッド、例えば取着ロッド512 a、及び512bが、底壁401 aに取着されている。取着ロッド、例えば取着ロッド512aは、ステンレス鋼 もしくは黒鉛から形成されている。抵抗加熱器407、石英ガラス層508、及 びサセプタ402には各々、取着ロッド512aに対応する開口部、例えば開口 部531 a、532 a、 及び533aが形成されている。取着ロッドの端 部、例えばウェハ支持ピン513 a、 及び513bに対する取着ロッド51 2a及び512bの端部には各々、円筒形の四部が形成されており、これらの円 筒形の凹部にはウェハ支持ピン、例えばウェハ支持ピン513 a、 及び51 3bが取着されている。 (これ以降、特定の取着ロッド、ウェハ支持ピン、ま たは開口部、例えば取着ロッド512aについて言及する場合以外は、取着ロッ ド、ウェハ支持ピン、及び開口部は、その符号が図示されていない場合でも、取 着ロッド512、ウェハ支持ピン513、及び開口部531.532及び533 について言及しているものとする)。サセプタ402が第5E図に表された位置 にあるとき、取着ロッド512は開口部531.532、及び533を貫通しウ ェハ支持ピン513と係合し、ウェハ支持ピン513はウェハ511が取り付け られるサセプタ402の表面の上に上昇する。
(第5E図及び第5F図には表されていない)ドア413が、容器401の一方 の側面に形成されており、このドアを通してウェハ511が反応チャンバ403 内へ挿入され、または反応チャンバ403から取り出される。ウェハ511は、 ロボット装置によってまたは手動操作の機械装置によってサセプタ402の上に 配置されまたはサセプタ402から取り除かれる。ロボット装置が用いられる場 合、ロボットアームが適切な短離に亘って延出し、ウェハ511をサセプタ40 2から持ち上げるかまたはウェハ511をサセプタ402の所定の位置に正確に 配置するようにロボット装置がプログラムされている。手動操作の装置が用いら れる場合、アームがストップに当たり、アームが適切に配置されてウェハ511 をサセプタ402から持ち上げるかまたはウェハ511をサセプタ402に配置 するように、ウェハ取扱いアームの動きを制限するべ(、機械的なストップが配 置されている。このように、何れの装置を用いる場合でも、ウェハ511をサセ プタ402の上に配置するための良好な制御が行われる。
一旦、ウェハ511がウェハ支持ピン513の上に配置されると、ウェハ取扱い アームは反応チャンバ403から除去され、ドア413が閉鎖される。サセプタ 402は、ウェハ511を処理する間サセプタ402が保持される位置へ上昇さ せられる(第5F図)。サセプタ402が上昇したとき、取着ロッド512は開 口部531、う32、及び533を通して後退する。ウェハ支持ピン513は開 口部533を通して後退する。実際には、ウェハ支持ピン513は、ウェハ支持 ピン513の先細りとなった端部が開口部533の先細りとなった区分内に配置 されるように後退する。この時点で、ウェハ支持ピン513はその上にウェハ5 11が取り付けられるサセプタ402の表面と同一平面にあり、ウェハ511が サセプタ402の上に配置された状態となる。第11A図は、サセプタ402の 開口部533の先細りとなった区分内に配置されたウェハ支持ピン513の詳細 断面図であり、この時点で取着ロッド512は、ウェハ支持ビン513が開口部 533の先細りとなった区分内に配置されるように十分に後退している。
第11B図は、本発明の他の実施例に基づくウェハ支持ピン1113の詳細断面 図であり、この時点で取着ロッド分後退している。ウェハ支持ピン1113は円 筒形のヘッドを備えており、この円筒形のヘッドはサセプタ1103に形成され た円筒形の凹部1133a内に配置されている。
ウェハ支持ピン1113もまた、サセプタ1103に形成された開口部1133 bを貫通する円筒形のシャフトを有する。円筒形の凹部が、円筒形のシャフトに 形成されており、このシャフト内には取着ロッド1114が延出している。代わ りに、円筒形のシャフトはより小さい直径を有するように形成されかつ凹部を備 えず、取着ロッド1114の代わりとなる対応するシャフト内に形成された凹部 内に延出してもよい。取着ロッド1114及びウェハ支持ピン1113は、ウェ ハ支持ピン513及び取着ロッド512について上述されたように動作する。
第11C図は、本発明の他の実施例に基づくウェハ支持ピン1123の詳細断面 図であり、この時点で取着ロッド1122はウェハ支持ピン1123が配置され るように十分後退している。ウェハ支持ピン1123は円筒形であり、かつ円錐 形の頭部に形成された窪みを有する。ウェハ支持ピン1123はサセプタ110 4に形成された円錐形の凹部1143aに配置されている。取着ロッド1122 は、サセプタ1104を貫通して形成された開口部1143b内に延在し、かつ ウェハ支持ピン1123に形成された窪みに嵌合している。取着ロッド1122 及びウェハ支持ピン1123は、ウェハ支持ピン513及び取着ロッド512に ついてこれまで説明されたように動作する。
ウェハ支持ピン513.1113、及び1123は、石英ガラス、シリコンカー バイド、または黒鉛から形成される。好ましくは、ウェハ支持ピン513.11 13及び1123はウェハ支持ピン513.1113、及び1123の熱伝達特 性がサセプタ402.1103、及び1104の熱伝達特性と等しくなるように 、対応するサセプタ402.1103、及び1104(または少なくともウェハ 支持ピン513.1113、及び1123を囲繞するサセプタ402.1103 、及び1104の領域)と等しい材料から形成される。ウェハ支持ピン513. 1113、及び1123は各々、サセプタ402.1103、及び1104の開 口部の凹部内に密着して配置されなければならなず、これによって反応ガスが開 口部内に流れ込むことが防止される。
以下により詳しく説明されるように、ウェハの寸法が異なる場合、各ウェハの寸 法に対してウェハがサセプタ402の異なる位置に配置されるので、異なるサセ プタ402が必要となる。更に、取着ロッド512、ウェハ支持ピン513、及 び開口部513.532、及び533の個数及び位置が、使用される特定のサセ プタ402に応じて変化する。従って、異なる寸法のウェハを上昇及び下降させ るために、異なる取着ロッド512が用いられる。
寸法の異なる各ウェハに対する取着ロッド512の位置が第5D図に表されてい る。125mm(5インチ)、150+++m(6インチ)及び20011II 11(8インチ)ノウエハニ対して、取着ロッド512b、512d、及び51 2eが用いられている。所望に応じて取着ロッド512a、512b、512e 、 及び512dが200+om(8インチ)のウェハに用いられる。250m m(10インチ)のウェハに対して、取着ロッド512 a、512 c、51 2 f、及び512gが用いられている。300111m(12インチ)のウェ ハに対して、取着ロッド512f、512g、512h。
及び5121が用いられている。
以下により詳しく説明されるように、本発明の他の実施例では、ウェハ囲繞リン グがサセプタに形成されたポケット内に配置され、ポケット内に配置された各ウ ェハ囲繞リングが、少なくともウェハの一部の下に配置される。これらの実施例 では、ウェハ支持ピンは上述されたウェハ支持ピンと同様に形成され、サセプタ が下降したとき、ウェハ囲繞リングと接触しかつウェハ囲繞リングを持ち上げる 。
次に、ウェハがウェハ囲繞リング内に配置され、サセプタが上昇し、ウェハがウ ェハ囲繞リングと密着する。ウェハを処理した後に、サセプタは再び下降し、ウ ェハ支持ピンがウェハ囲繞リングをサセプタの上に持ち上げる。ウェハ囲繞リン グは少なくともウェハの一部分の下に配置されているので、ウェハもまたサセプ タの上に上昇し、ウェハを反応チャンバから容易に取り除くことができる。
第11D図は、3枚のウェハを保持するための本発明に基づくサセプタ1102 の平面図である。以下により詳しく説明されるウェハ囲繞リング1101 a、 1101b。
及び1101cは、サセプタ1102の対応するポケット内に配置されている。
サセプタ1102はサセプタ支持部916の上に載置されている。
第11E図は、サセプタ支持ビン1112 a、1112b、1112c、及び 1112dによってサセプタ1102の上に持ち上げられたウェハ囲繞リング1 101aの概略断面図である。サセプタ支持部916は図を明瞭にするために第 11E図では省略されている。ウェハ支持ピン1112 a、1112 b、1 112 c、及び1112dは、支持ピンプレート1115と一体形成されてい る。代わりに、ウェハ支持ピン1112 a、1112 b、1112 c。
及び1112dはウェハ支持ピンプレート1115に溶接されるかまたは支持ビ ンプレート1115に形成された開口部に螺合されてもよい。支持ビンプレート 1115は、ナツト及びボルトによって容器401の底壁401aに固着される か、または底壁401aにピンを用いて留められる。ある実施例では、各ウェハ 囲繞リング、例えばウェハ囲繞リング1101aの裏側面には、サセプタ110 2が下降したときに対応するウェハ支持ピン、例えばウェハ支持ピン1112  a、1112 b、1112 e、 及び1112dが取着される窪みが形成さ れており、ウェハ支持ピン1112 a、1112 b、1112 c、及び1 112dがウェハ囲繞リング1101aと接触する。これに対応して、各ウェハ 支持ピン、例えばウェハ支持ピン1112a、1112 b、1112 c、及 び1112dの各々は、ウェハ囲繞リング1101aに形成された対応する窪み に嵌合する円錐形の頭部を備えている。ウェハ支持ピン1112 a。
1112 b、1112 c、 及び1112dは、石英ガラス、黒鉛、セラミ ック、またはステンレス鋼から形成されている。図には4個のウェハ支持ピンが 表されているが、3個または5個もしくはそれ以上の個数のウェハ支持ピンを用 いることもできる。
第5E図及び第5F図に表されているように、サセプタ支持構造の概ね全ての部 分が反応チャンバ403内に配置されることはない。シャフト516のわずかな 部分及び環状シャフト419のある部分(サセプタ402の位置によって変わる )が、反応チャンバ403内に配置される。シャフト516の中間部分は、石英 ガラス被覆507によって囲繞されており、この中間部分はまた、シャフト41 6及びシャフト516の底部部分を反応チャンバ403に対して密閉している。
抵抗加熱器407はサセプタ407と共に上昇及び下降するので、サセプタ40 2が第5E図の下降位置にあるとき、または第5F図の上昇位置にあるときに、 サセプタ支持構造の概ね大部分が反応チャンバ403内に配置されることはない 。
重要なことであるが、電動機415及び417(第4A図及び第4B図)が反応 チャンバ403の外側に配置されている。サセプタ402を支持し、かつ移動さ せるための構造の構成要素の大部分が、反応チャンバ403の外側に配置されて いるので、従来の反応炉と比べ、望まれないプロセスガスの堆積が形成される表 面が少なくなる。従って、反応炉400を連続して用いる間に、ウェハ511に 堆積されたある材料からなる層に悪影響を及ぼし、または反応炉400の加熱特 性を変える、汚染が少なくなる。これまで説明されたように、サセプタ402を 回転させることができる。サセプタ402は時計方向もしくは反時計方向に回転 させることができる。サセプタ402が回転することによって、 (サセプタ4 02の回転の軸と一致する点を除く)ウェハ511の表面の各点の位置が反応炉 400の動作中に、ウェハ511を通過するガス流の平均的な向きに対して連続 的に変化する。従って、ウェハ511の断層及びずればかりでなく、ウェハ51 1に堆積された膜の不均一性を発生させる熱またはガスの分布の不均一性の影響 が概ね除去される。ウェハを特定の位置に配置した場合に比べ、サセプタを回転 させることによって、熱の不均一性を除去し若しくはガスをウェハ511(第5 F図)の上側面511aに亘って分布させることができる。典型的には、サセプ タ402は0. 5〜30rpmの速度で回転する。
正確な速度は、反応炉400が特定の用途のために設計された後に、 “回転“ 反応炉400の過程の一部として実験的に決定される。
第5E図及び第5F図に表されているように、抵抗加熱器407は環状シャフト 419に取着されており、抵抗加熱器407はサセプタ402の下の近傍に配置 されている。
サセプタ402が回転することによってサセプタ402と抵抗加熱器407との 間に摩擦が生じ、この摩擦によって望まれない粒子が発生し、かつサセプタ40 2若しくは抵抗加熱器407が損傷される可能性があるために、抵抗加熱器40 7とサセプタ402は接触させることができないが、理想的には、抵抗加熱器4 07とサセプタ402との間は最小の間隔を置いて配置されていることが好まし い。
ある実施例では、抵抗加熱器407は、サセプタ402の下に1. 3cm(0 ,5インチ)離れて配置されている。抵抗加熱器407は、反応チャンバ403 内でサセプタ402が上または下に移動するときに、サセプタ402と共に上ま たは下に移動するので、抵抗加熱器407は、反応チャンバ403内のサセプタ 402の位置とは無関係に、所定の電力レベルに対して、ウェハ511に等しい 熱量を提供する。
反応チャンバ400内でのウェハの処理を開始する時点で、ウェハ511の温度 をウェハの過度の応力を発生させることなしに、できるだけ迅速かつ均一に上昇 させるべくランプ505及び抵抗加熱器407が熱を供給する。異なる熱量が、 ランプ505及び抵抗加熱器407の各々によって供給される。ランプ505及 び抵抗加熱器407によって供給される熱量は、先行する温度校正に基づいて予 め決定されている。反応炉400内の温度が、センサ、例えば熱電対525によ って測定された反応炉の動作温度の範囲内に達したときに、ランプ505の集合 及び抵抗加熱器407は別個に、反応炉400内の測定された温度に基づいて制 御され、ウェハ511がプロセス温度にさらされたときに、ウェハ511全体の 温度を概ね均一に保つべく必要な様々な熱量を供給する。
複数の5CR(silicon controlledrectifiers) が、両方の熱源に供給される電流を制御し、各熱源からの熱量を制御する。第4 A図、第4B図、第5A図、第5B図、第5C図、第5D図、第5E図、及び第 5F図に表された本発明の実施例では、7個のSCRが用いられている。5CR I及び5CR2は、抵抗加熱器407を制御している。抵抗加熱器407が発生 する熱量は、抵抗加熱器407の発熱要素に印加される電圧及び発熱要素に流れ る電流の大きさに比例するので、5CRI及び5CR2は、抵抗加熱器の発熱要 素を流れる電流を変化させ、抵抗加熱器407によって供給される熱量を減少も しくは増加させる。5CR3〜5CR7は各々、ランプ505の集合を制御する 。各ランプ505から放射される放射エネルギーは、ランプ505に印加された 電圧及びランプ505を流れる電流に比例する。従って、各5CR3〜7SCR は、関連するランプ505の電流を制御し、これらのランプ505によって供給 される熱量を調節する。
第12A図は、本発明のある実施例に基づくランプ505のランプアレイ120 0の概略平面図である。既に明らかなように、16個のランプ505、即ち、5 05a、505b、505c、505d、505e、505f、505g、50 5h、5054,505j、505に、5051.505 m、505 n、5 05 o、及び505pが存在する。16個のランプ505によって5つの集合 が形成さ・ れている。5CR3は2個の両側のランプ505a及び505bに 電力を供給している。5CR4は、ランプ505の中央の行の4個の外側のラン プ505 c、505 d、505m、 及び505pに電力を供給している。
5CR5は、ランプ505の中央の行の中心部分のランプ505e及び505f に電力を供給している。5CR6は、ランプ505g、505i−0505jに 電力を供給し、5CR7は、ランプ505に、、5051,505n、及び50 50に電力を供給している。
本発明に基づけば、ランプ505は、並列接続若しくは直列接続と並列接続の組 合せで接続される。本発明の好適な実施例では、全てのランプ505は並列接続 さ娠480vの電源から電力を供給される。即ち、2個のランプを直列接続して すべてのランプを接続する場合、ランプ505を点灯させるためには960Vの 電源を使用することが必要となる。
反応炉400の動作中に、ランプ505と抵抗加熱器407によって供給される 熱量を調節するべく、ランプ505と抵抗加熱器407はコンピュータによって 制御される。
動作の開始時点で、反応炉400が加熱されるとき、コンピュータは、コンピュ ータ内に記憶されたパラメトリックな情報及び反応炉400に対して前もって実 施された温度校正に基づいてランプ505の各集合及び抵抗加熱器を自動的に制 御する。校正から得られたパラメトリックな情報は、SCR及び抵抗加熱器の電 流を変化させるためにコンピュータによって使用され、ウェハ511を始めに加 熱する間ニウエハ511全体のほぼ均一な温度を保持するために必要な適切な各 時刻の空間的な熱の分布を形成する。
コンピュータによる制御によって、ウェハ511を始めに加熱する間の、様々な 電力の上昇率が達成される。本発明のある実施例では、計算機を適切に予めプロ グラムすることによって、ウェハ511を始めに加熱する間に30種類までの電 力の上昇率が達成される。使用される電力の上昇率は、反応炉400の複数の試 験運転から実験的に決定され、ウェハ511の温度をほぼ均一に保ち、処理過程 に対して適切な場合、ウェハのずれが最小となる。
反応チャンバ403内の温度が、使用されている温度センサが正確に動作する温 度のレベルに達したとき(例えば、温度センサとして熱電対525が用いられる 場合800〜1100℃)、コンピュータは上述された自動制御からフィードバ ック制御に制御を切り替える。検出された温度はコンピュータによってモニタさ れ、かつランプ505及び抵抗加熱器407に関する記憶されたパラメトリック な情報に基づいて、ランプ505及び抵抗加熱器407が発生する熱を適切に制 御するべく SCR及び抵抗加熱器407を適切に調節するために用いられ、ウ ェハ511全体の温度分布を予め決められた範囲内に保持する。全てのランプ5 05の電力は同時に増加若しくは減少させられるが、ランプ間の電力の比は固定 されており、概ね1以外の値に固定されており、ランプ505の電力を増加させ ることによって、個々のランプの集合の電力は、ランプの集合の(校正時に)予 め決められた電力の比に基づいてそれぞれ異なる量だけ増加する。
第12A図のランプ505の中央の列の側面図が、第5A図に表されている。列 の中心近く(及びサセプタ402の中心部分の上)のランプ505、例えばラン プ505e及び505fは、列の両端のランプ505、例えばランプ505C及 びランプ505dよりも、サセプタ402の表面、従ってウェハ511の表面5 11a (第5F図)から離れて配置されている。従って、ウェハのエツジ51 1Cでの熱の損失を補い、かつウェハ51全体の温度を均一に保つべく、より多 くの熱がエツジ511c (第5F図)に供給されるように、ランプ505C及 び505dがランプ505e及び505fよりも多くの熱を供給するように動作 することが予測されるにも関わらず、ランプ505e及び505fからの熱は、 ウェハ511の表面に吸収される前に、ランプ505e及び505dから放射さ れた熱に(らべより長い距離を移動しなければならないので、ランプ505c及 び505dがより多くの熱を発生させる必要はない。
抵抗加熱器407を用いず、かつ受動熱分配要素727(第7E図)を含む反応 炉400の実施例では、ウェハ511を始めに加熱する間、ランプ505 a、 505 b、505c及び505d (第5A図及び第5B図)は、ウェハ51 1のエツジ511cに向けられ、ウェハ511の中心付近の領域に向けられたラ ンプ505e及び505fよりも、およそ20〜30%大きいエネルギーを放射 するように制御されている。反応チャンバ403がプロセス温度に近づいたとき 、ランプ505 a、505 b、505 c及び505dは、ランプ505e 及び505fのおよそ2倍のエネルギーを放射するように制御される。他のラン プ505は、ランプ505 a、505 b、505 c、及び505dと、ラ ンプ505e及び505fとのエネルギーレベルの間にあるエネルギー量を放射 するように制御されている。
他のランプ505によって放射されるエネルギーの正確な量は、ウェハのずれを 最小にし、かつ受容可能な均一な抵抗率を生み出すように実験的に決定されてい る。ランプの各集合から放射されるエネルギーの量の上述された関係は、ウェハ 511が加熱されているとき、ウェハ511全体(または複数のウェハが処理さ れている場合には各ウェハの全体)の温度が概ね均一となるような関係となって いる。
受動熱分配要素727の代わりに、抵抗加熱器407(第4A図、第4B図、第 5E図及び第5F図)を含む本発明の他の実施例では、特定のランプ505の放 射エネルギーの間には同様の関係が存在する。適切な電力の比が、いくつかの校 正を実施することによって実験的に決定される。抵抗加熱器407が存在しない かまたは動作してぃない実施例と比べ、中心のランプ505 e、505 fは 、外側のランプ505 a、505 b、505 c及び505dよりも多くの エネルギーを放射することが予測される。
第12A図に表されたランプアレイ1200が、抵抗加熱器407を備えた実施 例若しくは備えていない実施例の何れにも設けられていることが注目される。ラ ンプアレイ1200が何れの実施例でも等しく配置され、ウェハ511全体に亘 って概ね均一な温度が保持されるように、ランプ505の各集合の適切な電力比 を確定するための温度校正を実施することのみが必要である。
更に、反応炉400よりも大きい本発明に基づく反応炉は、ランプアレイ120 0を使用し、ウェハの概ね均一な温度を達成するべくランプの適切な電力比を決 定するために温度校正を実施することのみが必要である。そのような大型の反応 炉は、反応炉400が処理することのできるウェハよりもより大型なウェハまた は同時に所定の寸法の複数のウェハを処理するために用いられる。
代わりに、反応炉400よりも十分に大きい反応炉では、ランプアレイ1200 に更にランプを加えることができる。
例えば、1個または複数のランプが、ランプアレイ1200の両側、即ちランプ 505 a、505 b、505 c及び505dに隣接して配置される。更に 、反応炉400よりも大型の反応炉では1、ランプアレイ1200の両端に順番 にランプが加えられたとき、隅に発生する“ギャップ”にランプを配置するべく 、対角線上に配置されたランプが、ランプアレイ1200の隅に加えられる。上 述された全てのランプアレイに対して、ウェハ511の処理が実施される間、ウ ェハ511の概ね均一な温度を達成するためのランプ505に対する適切な制御 を決定するために、校正が実施される。
第12B図は、本発明の他の実施例に基づくランプアレイ1250の概略平面図 である。ランプ1250a〜1250rは、ウェハが配置されているサセプタ1 202の表面と直交する方向から眺めたとき、サセプタ1202の手前に延在す る行に配置されている。ランプ1250s及び1250tは、ランプ1250  a 〜125 Orの行の一方の側面に、行と直角に配置されており、ランプ1 250u及び1250vは、ランプ1250a〜125Orの行のもう一方の側 面に行と直行して配置されている。1250W〜1250zの各ランプは、ラン プアレイ1250の各隅に存在する“ギャップ“に対角線上に配置されている。
本発明の他の実施例では、サセプタ402の下に配置された抵抗加熱器407を 用いる代わりに、誘導コイルを含むラジオ周波数(RF)熱源がサセプタ402 の下に配置されている。第13A図及び第13Bは各々、本発明の実施例に基づ くサセプタ402の下に配置された誘導コイル1311の側面図及び平面図であ る。コイル1311は、サセプタ402の面と概ね平行な面内に配置されている 。
第13A図に表されているように、コイル1311の巻線は、サセプタ402か ら様々な距離に配置されている。サセプタ402のエツジ部分では、コイル13 11の巻線はサセプタ402の近傍に配置されている。サセプタ402の中心に 向かうに従って、コイル1311の巻線はサセプタ402から遠ざけられて配置 されている。サセプタ402の中心付近では、コイル1311の巻線は再びサセ プタ402の近傍に配置されている。
コイル1311に電流が流れるとき、コイル1311の近傍に電磁界が誘導され る。一方、この電磁界によってサセプタ402に電流が誘導される。この電流に よってサセプタ402が加熱される。一般によく知られているように、サセプタ 402に分布する電流(及び熱の分布)は、コイル1311の巻線間の距離と、 コイル1311の巻線とサセプタ402との間の距離と、コイル1311を流れ る電流の周波数との関数となっている。従って、これらの変数は、サセプタ40 2の所望の温度分布を達成するように設定されている。
RF熱源が用いられる場合、サセプタ402は、コイル1313を流れる交流電 流によって誘導される電磁界からのエネルギーを吸収するべく(石英ガラスでは なく)黒鉛から形成されなければならない。黒鉛によって形成されたサセプタ4 02は、サセプタ402の上に配置されたウェハ511を加熱するエネルギーを 吸収するので、抵抗加熱器407と石英ガラス製のサセプタ402の組合せが用 いられている場合よりも、所望の温度レベルを達成するためにより長い時間が必 要となる。
反応炉400は、1枚のウェハまたは複数のウェハを処理するために用いられる 。処理される1枚のウェハ若しくは複数のウェハがサセプタの凹部に配置される ので、異なる寸法のウェハに対して、凹部の個数及び寸法の異なるサセプタ、例 えばサセプタ402を用いることが必要である。
更に、サセプタ402の上に異なる寸法のウェハを上昇させるために異なる個数 のウェハ支持ビン513(第5E図及び第5F図)が用いられるので、異なるサ セプタ402が必要となる。
典型的には、異なるサセプタを用いることによって、ウェハの高いスループット を得ることは制限されない。その理由は、特定のウェハの寸法に対するバッチは 、通常順番に処理され、必要となるサセプタを交換する回数が最少となっている ためである。各サセプタ、例えばサセプタ402は、その直径が35.6ca+ (14インチ)であり、その厚さは(ウェハを収容するための凹部以外では)約 0. 95〜1. 27cm (0,375〜0. 5インチ)である。
サセプタ402は石英ガラスから形成されてもよい。サセプタ402が、石英ガ ラスから形成されている場合、ランプ505に面したサセプタ402の表面は、 保温性を増加させるためにビードブラストされている。抵抗加熱器4O7または 受動熱分配要素727に面したサセプタ402の表面は、炎研磨(flame  polishing)または機械研磨によって洗浄され、ウェハ511への熱が サセプタ402を通してより多く伝達されることになる。
サセプタ402の下に配置された熱源が抵抗加熱器407からなる本発明の前記 実施例では、サセプタ402は、抵抗加熱器407からの熱をほとんど吸収する ことのない石英ガラスから形成されていることが好ましい。大部分の熱が、石英 ガラスを通してウェハ511に伝達されるために、1枚のウェハまたは複数のウ ェハが比較的迅速に(15〜30秒で)加熱される。
RF熱源がサセプタ402の下に配置されている本発明の実施例では、サセプタ 402は、RFエネルギーを吸収し、かつウェハ511に伝達される熱を発生す る黒鉛によって形成されていなければならない。サセプタ402が黒鉛から形成 されている場合、サセプタ402はウェハ511がサセプタ402の上に配置さ れたとき炭素によってウェハ511が汚染されることを防止するべく、サセプタ 402はシリコンカーバイドの薄い膜によって被覆されている。
これまでの説明からも明らかなように、ウェハ511の全体に亘って均一な温度 を保持することは、ウェハ511を正確に処理するために重要である。特に、ウ ェハ511の端部511cで。は、反応チャンバ403内の周囲温度の雰囲気へ のウェハ511の熱の放散は、エツジ511Cでの大きい熱の変化を形成し、エ ピタキシャル層の“ずれ”と呼ばれる好ましくない現象を生み出す。従って、ウ ェハ511のエツジ511Cの温度を調節する手段が特に必要となる。
第14A図及び第14B図は各々、本発明のある実施例に基づく、ポケット14 03内にウェハ囲繞リング1401及びウェハ1404が配置されるサセプタ4 02の平面図及び側面図である。ウェハ囲繞リング1401の中心には開口部が 形成されている。棚が開口部に隣接して形成され、かつウェハエツジ接触面14 01fとウェハ背面接触面1401eとによって画定されている。ウェハ囲繞リ ング1401は、ウェハ囲繞リング1401のウェハ背面接触面1401eがス ピンドル1402のウェハ背面接触面1402aとほぼ同一平面となるように、 スピンドル1402の周りに配置されている。
スピンドル1402は、サセプタ402と一体形成されるかまたはポケット14 03内に配置される別個の部品として形成されてもよい。以後、本発明の詳細な 説明では、“スピンドル”は、サセプタのポケットの中心に配置され、かつサセ プタと一体的に形成されたまたは別個に形成された構成要素を表している。 ″ サセプタインサート”は、サセプタのポケットの中心に配置され、かつサセプタ とは別個に形成された構成要素を表している。更に、本明細書で典型的に用いら れているように、 “スピンドル”は、 “サセプタインサート”よりも大きな 厚さを有する構成要素を表し、しかし、これはある場合では必ずしも必要なこと ではなく、スピンドル及びサセプタインサートが等しい厚さを有してもよい。一 般的に、 ′スピンドル”と“サセプタインサート”は、概ね等しい構成要素を 表し、 “スピンドル”若しくは“サセプタインサート2を用いることによって 、任意の厚さを備え、かつサセプタと別個に形成された構成要素若しくはサセプ タと一体形成された構成要素を表している。
ウェハ1404は、ウェハ囲繞リング1401のウェハ背面接触面1401e及 びスピンドル1402のウェハ背面接触面1402aの上に配置されており、ウ エノ)1404の上側面1404aはウェハ囲繞リング1401の環状の上側面 1401dよりわずかに低い位置に配置されている。
ウェハ囲繞リング1401は、アメリカ合衆国ミシガン州ミツドランドのミツド ランド・マテリアルス・リサーチ(Midland Materials Re 5earch)から入手することができる。ウェハ囲繞リングは、黒鉛またはシ リコンカーバイドのような比較的低い熱伝導率を有する材料から形成されている 。ウェハ囲繞リングが黒鉛から形成されている場合、ウェハ囲繞リング1401 はシリコンカーバイドによって被覆されている。シリコンカ−バイトは、黒鉛が 剥離することによるウェハ表面への炭素の混入を防止するので、シリコンカーバ イドによって、粒子による汚染が最小となる。シリコンカーバイドの被膜は、黒 鉛から炭素が剥離することによるウェハ1404の汚染を防ぐために十分な厚さ を有するように形成されている。シリコンカーバイドの被膜の正確な厚さは、ミ ツドランド・マテリアルス・リサーチの専有の情報である。
本発明の更に他のいくつかの実施例では、様々な構成要素、例えばウェハ囲繞リ ング、スピンドル、及びサセプタインサートが黒鉛から形成されている。これら の構成要素の内の1つが黒鉛から形成されている場合、その構成要素を上述され た理由から、上述されたようにシリコンカーバイドで被覆することが好ましい。
例えば、20011101(8インチ)のウェハを保持するために十分な大きさ を有するポケット1403を備えた直径356+++m(14インチ)のサセプ タ1402では、ウェハ囲繞リング1401の環状の上側面1401dの幅14 01aは、3. 18++++a(0,125インチ)であり、ウェハ1404 の下のウェハ囲繞リング1401の厚さ1401bは2.54m+o(0,10 インチ)であり、ウェハ囲繞リング1401の外側エツジ面1401gと内側エ ツジ面1401hとの間の幅1401cは、15.2m+o(0,60インチ) である。幅1401 a、厚さ1401b及び幅1401cはその他の値であっ てもよい。
スピンドル1402は、黒鉛、シリコンカーバイドまたは石英ガラスから形成さ れる。黒鉛及びシリコンカーバイドは、ウェハ1404の裏側面1404bへの 熱の伝達をより大きくし、かつウェハ1404の裏側面1404bからの熱の伝 達を防止するために望ましいものである。石英ガラスは、ウェハ1404の裏側 面1404bへ熱をほとんど伝達せず、かつウェハ1404の裏側面1404b からの熱の伝達を防止する。しかし、熱の損失は、主にウェハ1404のエツジ 部分1404cで問題となるので、スピンドル1402、即ちウェハ1404の 中心部分の下に石英ガラスを用い、かつウェハ囲繞リング1401、即ちウェハ 1404のエツジ部分1404cの下及び周囲に、黒鉛若しくはシリコンカーバ イドを用いることによって、ウェハ1404の好ましい温度の均一性が提供され る。
ウェハ囲繞リング1401 (及び以下に説明される本発明の他の実施例に基づ くウェハ囲繞リング)は、ウェハ1404をサセプタ402のポケット1403 内の定位置に保持する。特に、ウェハ1404のエツジ部分1404cの周囲に ウェハ囲繞リング1401を配置することによって、ウェハ1404のエツジ部 分1404c付近の温度を、ウェハ1404の他の部分の温度と概ね等しくする ことができる。
第14C図は、本発明の他の実施例に基づく、ポケット1403内にウェハ囲繞 リング1401、サセプタインサート1417、スピンドル1412及びウェハ 1404が配置されたサセプタ402の断面図である。ウェハ囲繞リング140 1がポケット1403の定位置に配置された後に、サセプタインサート1417 は、ウェハ囲繞リング1401の中心にウェハ囲繞リング1401を貫通して形 成された開口部内のポケット1403内の定位置に配置される。サセプタインサ ート1417の厚さの3倍の厚さを備えたスピンドル1412が、ウェハ囲繞リ ング1401の開口部内でサセプタインサート1417の上に配置され、スピン ドル1412のウェハ接触面1412aが、ウェハ囲繞リング1401のウェハ 接触面1401eと概ね同一平面に配置される。ウェハ1404は、ウェハ囲繞 リング1401のウェハ接触面1401eとスピンドル1412のウェハ接触面 1412aとの上のウェハ囲繞リンク1401内に配置されている。
スピンドル1412及びサセプタインサート1417は、石英ガラス、シリコン カーバイドまたは黒鉛から形成される。典型的には、スピンドル1412とサセ プタインサート1417の一方が石英ガラスから形成され、もう一方が黒鉛また はシリコンカーバイドから形成される。
サセプタインサート1417は、硬質のプレートまたは柔軟な布から形成されて もよい。本発明のある実施例では、サセプタインサート1417はシリコンカー バイドで被覆された板状のプレートからなり、全体の厚さは約3.18n+m( 0,125インチ)である。
本発明の他の実施例では、サセプタインサート1417は、厚さ0.0025  mm (0,0001インチ)から3゜18mm(0,125インチ)の板状の シリコンカーバイドから形成されている。シリコンカーバイド製のプレートは、 上述された黒鉛製のプレートよりも薄いものであってよく、この理由はプレート が、本発明に基づく反応炉を用いる製造過程の高温度にさらされたとき、シリコ ンカーバイド製のプレートが黒鉛製のプレートよりも湾曲に対する耐性が高いた めである。薄いシリコンカーバイド製のプレートによって、ウェハ1404をよ り急速に加熱及び冷却することが可能となる。しかし、黒鉛製のプレートの製造 コストはシリコンカーバイド製のプレートの製造コストよりも低い。
本発明の更に他の実施例では、サセプタインサート1417はシリコンカーバイ ド製の布からなる。シリコンカーバイド製の布はシリコンカーバイド製のプレー トよりもその製造コストが低いが、しかしプレートと比べより多くの粒子による 汚染を生み出す。
第14D図は、本発明の他の実施例に基づくサセプタ402のポケット1403 に配置されたウェハ囲繞リング1421と、スピンドル1422と、ウェハ14 04の断面図である。ウェハ囲繞リング1421は、サセプタ402のポケット 1403のスピンドル1422の周囲に配置されている。ウェハ囲繞リング14 01 (第14A図〜第14C図)とは異なり、ウェハ囲繞リング1412はそ の中心に形成された自己整合開口部を備えていない。しかし、ウェハ囲繞リング 1421の内側のエツジ面1421c及び外側のエツジ面1421dは、ウェハ 囲繞リング1421の上側面1420aから下側面1421aへ各々延在してい る。ウェハ1404は、スピンドル1422のウェハ背面接触面1422aの上 に配置されており、かつウェハ囲繞リング1421の内側エツジ面1421cと 、ウェハ1404のエツジ1404cとが接触することによって横方向の定位置 に保持されている。
スピンドル1422はサセプタ402と一体形成されるかまたは別個の部品とし て形成され、ポケット1403内に配置される。スピンドル1422は、黒鉛、 シリコンカーバイドまたは石英ガラスから形成されている。スピンドル1422 がサセプタ402と一体形成されている場合、スピンドル1422はサセプタ4 02と等しい材料から形成されている。ウェハ囲繞リング1421は、シリコン カーバイドまたは黒鉛から形成されている。
第14E図は、本発明の他の実施例に基づく、サセプタ402のポケット140 3内に配置されたウェハ囲繞リング1421、サセプタインサー)1437、ス ピンドル1432及びウェハ1404の断面図である。ウェハ囲繞リング142 1はポケット1403内に配置されている。サセプタインサート1437は、ウ ェハ囲繞リング1421を貫通して形成された開口部内に配置されている。スピ ンドル1432は、ウェハ囲繞リング1421の中心に形成された開口部内のサ セプタインサート1437の上に配置されている。ウェハ1404は、スピンド ル1432のウェハ背面接触面1432aの上に配置され、かつウェハ囲繞リン グ1421の内側エツジ面1421cとウニ11404のエツジ1404cとが 接触することによって横方向に保持されている。
ウェハ囲繞リング1421、サセプタインサート1437及びスピンドル143 2は、ウェハ囲繞リング1401、サセプタインサート1417及びスピンドル 1412 (第14C図)と等しい材料から形成されている。更に、サセプタイ ンサート1417と同様に、サセプタインサート1437は柔軟な布苦しくは硬 質のプレートの何れか一方によって形成されている。
第14F図は、本発明の他の実施例に基づく、サセプタ402のポケット140 3内に配置されたウェハ囲繞リング1441とウェハ1404の断面図である。
ウェハ囲繞リング1441はポケット1403内に配置されている。
ウェハ背面接触面1441aとウェハエツジ接触面1441bとによって、ウェ ハ囲繞リング1441に凹部が画定されている。ウェハ1404は、ウェハ14 04の上側面1404 aが、ウェハ囲繞リング1441の上側面1441cよ りもわずかに低くなるようにウェハ囲繞リング1441の凹部内に配置されてい る。ウェハ囲繞リング1441は、シリコンカーバイド若しくは黒鉛から形成さ れている。
第14G図は、本発明の他の実施例に基づく、サセプタ402のポケット140 3内に配置されたサセプタインサー)1457、ウェハ囲繞リング1451及び ウェハ1404の断面図である。サセプタインサート1457は、ポケット14 03内に配置され、ポケット1403の底面全体を覆っている。サセプタ囲繞リ ング1451は、ボケッ)1403内のサセプタインサート1457の上に配置 されている。ウェハ背面接触面1451aとウェハエツジ接触面1451bとに よって、ウェハ囲繞リング1451内に凹部が画定されている。ウェハ1404 は、ウェハ1404の上側面1404aが、ウェハ囲繞リング1441の上側面 1451cよりもわずかに低くなるようにウェハ囲繞リング1451の凹部内に 配置されている。
ウェハ囲繞リング1451は、ウェハ囲繞リング1441 (第14F図)と等 しい材料から形成される。サセプタインサート1457は、黒鉛から形成される 。上述されたように、サセプタインサート1457は、柔軟な布若しくは硬質の プレートから形成されている。
第14H図は、サセプタ402(第14A図〜第14G図)のポケット1403 内に配置される、本発明の他の実施例に基づくウェハ囲繞リング1401、スピ ンドル1433、サセプタインサート1417及びウエノ11404の断面図で ある。第14B図に関して既に説明されたように、スピンドル1433は別個の 部品として形成されるか、若しくはサセプタ402と一体形成される。第14C 図のスピンドル1412とサセプタインサート1417の位置が、第14H図の スピンドル1433とサセプタインサート1417の位置と逆になっていること 以外は、第14H図の構成は、第14C図の構成と等しい。第14C図に関して なされたこれまでの説明は、第14H図に関しても適用される。これまで説明さ れたように、スピンドル1433及びサセプタインサート1417は、任意の所 望の厚さを有してよく、ある実施例では等しい厚さを有する。
第14I図は、サセプタ402(第14A図〜第14G図)のポケット1403 内に配置される、本発明の他の実施例に基づくウェハ囲繞リング1406及びウ ェハ1404の断面図である。ウェハ囲繞リング1461は、キャビティ146 1aがその裏側面1461bに形成されている以外は、ウェハ囲繞リング144 1 (第14F図)と等しい。
キャビティ1461aは、ウェハ1404が配置されるウェハ囲繞リング146 1の上側面1461dに形成された凹部1461cと対称的に形成されているこ とが好ましい。キャビティ1461aはその全体に亘って均一な深さを有し、第 14H図の面と直交する方向から眺めた場合、キャビティ1461aは長方形の 断面を有する。ある実施例では、サセプタ402のシャフト516(第5E図及 び第5F図)と平行な方向から眺めた場合、キャビティ1461aが円筒形の形 状を有するようにキャビティ1461aは円形の断面を有するが、しかし他の形 状の断面、例えば正方形を有してもよい。
第14J図は、サセプタ402(第14A図〜第14G図)のポケット1403 内に配置される、本発明の他の実施例に基づくウェハ囲繞リング1471及びウ ェハ1404の断面図である。ウェハ囲繞リング1471は、キャビティ147 1aがキャビティ1461aとは異なり、その全体に亘って等しい深さを備えて いないこと以外はウェハ囲繞リング1461と等しい。キャビティ1471aの 深さは、キャビティ1471aのエツジ部分からキャビティ1471aの中心に 向けて段階的に増加しているので、第14J図と直交する方向から眺めた場合、 キャビティ1471aは三角形の形状を有する。ある実施例では、サセプタ40 2のシャフト516(第5E図及び第5F図)と直交する方向から眺めた場合、 キャビティ1461aが円錐形であるようにキャビティ1471aは円形の断面 を有するが、他の断面の形状、例えば正方形を有してもよい。
本発明に基づく他のウェハ囲繞リングには、ウェハ囲繞リング1461及び14 71に関して例示された形状以外のキャビティを形成することもできる。一般的 に、キャビティは、ウェハ1404の裏側面1404b (第14B図)の温度 が均一に保持される任意の形状に形成される。
第14に図及び第14L図は各々、サセプタ402(第14A図〜第14G図) のポケット1403内に配置される、本発明の他の実施例に基づくウェハ囲繞リ ング1481、スピンドル1402及びウェハ1404の断面図及び平面図であ る。ウェハ1404は、ウェハ囲繞リング1481を明瞭に表すために第14L 図では省略されている。
既に説明されたように、スピンドル1402は、サセプタ402のポケット14 03内に配置される別個の部品として形成されるかまたはサセプタ402と一体 形成される。
ウェハ囲繞リング1481及びスピンドル1402は、上述されたように、ウェ ハ囲繞リング1401及びスピンドル1402 (第14A図及び第14B図) を形成する材料から形成されている。
ウェハ囲繞リング1408の全体的な形状は、ウェハ囲繞リング1401と等し い。しかし、ウェハ1481は、そのウェハ背面接触面1481bに溝1481 aが形成されている。溝1481aは、スピンドル1402が配置されるウェハ 囲繞リング1481の中心に形成された開口部と同中心に前記開口部の周辺に円 形の形状を有して形成されている。
第14M図は、サセプタ402(第14A図〜第14G図)のポケット1403 に配置される、本発明の他の実施例に基づくウェハ囲繞リング1482及びスピ ンドル1402の平面図である。ウェハ囲繞リング、1482を明瞭に図示する ために、ウェハ1404は第14M図では省略されている。ウェハ囲繞リング1 482は、溝1482aが、ウェハ囲繞リング1481の溝1481aのように 円形の形状を有するのではなく、ウェハ囲繞リング1481の中心に形成された 開口部から半径方向に延在して形成されている以外はウェハ囲繞リング1481 と等しい。
ウェハ囲繞リング1481及び1482に各々溝1480a及び1482aを形 成することによって、ウェハ1404のエツジ部分1404cで、ウェハ140 4の裏側面1404b (第14に図)に向かい合うウェハ囲繞リング1481 または1482の表面積が大きくなる。従って、溝が形成されない場合と比べ、 ウェハ1404と、ウェハ1404のエツジ部分1404c付近のウェハ囲繞リ ング1481若しくは1482との間のより良好な熱の伝達が達成され、上述さ れたウェハ1404のエツジ部分1404cでの熱の損失の問題を解決すること が援助さ右、かつウェハ1404全体に亘る均一な温度を保持することが可能と なる。
溝1481aおよび1482aは、フライス削りによって形成される。例えば、 溝1481a及び1482aは0゜76mm(0,030インチ)の厚さ及び0 . 15a++++(0゜020インチ)の幅を有する。より一般的には、溝1 481a及び1482aは、ウェハ1404のエツジ部分1404c付近の温度 の均一性を保持することのできる任意の幅及び深さを有するように形成すること ができる。
第14L図には2個の溝1481aが、第14M図には39個の溝1482aが 各々例示されているが、第14L図及び第14M図に例示された何れの実施例で も任意の個数の溝を形成することができる。更に、第14に図に例示された溝1 481aは正方形の断面を有するが、溝1481a及び溝1482aの何れも、 他の形状の断面、例えば長方形若しくはV型の形状の断面を有してもよい。更に 、溝1481aはウェハ囲繞リング1481の中心に形成された開口部の周囲に 連続的な円形の形状を有するように形成されているが、連続的な形状を有するこ とは必ずしも必要ではない。溝1482aは、第14M図に示されているように 、ウェハ1404を保持する凹部の外側端部1482bからウェハ囲繞リング1 482の内側エツジ1482Cに形成されたものでなくてもよい。
第14N図は、サセプタ402(第14A図〜第14G図)のポケット1403 内に配置される、本発明の他の実施例に基づくウェハ囲繞リング1491及びウ ェハ1404の断面図である。ウェハ囲繞リング1491では、ウェハ1404 が配置される凹部は、上述された実施例の平坦な棚ではなく、傾斜した棚149 1aを有する。
第140図は、処理中に加熱されたウェハ1404が湾曲した状態を例示した、 ウェハ囲繞リング1491及びウェハ1404の断面図である。傾斜した棚14 91aを形成することによって、ウェハ1404が湾曲したときに、ウェハ14 04のエツジ部分1404c付近の領域を、湾曲した棚1491aと接触した状 態に保持することが可能となる。従って、ウェハ囲繞リングの棚が平坦な場合と 比べ、ウェハ1404のエツジ部分1404cの領域をより均一な温度に保持す ることができる。傾斜した棚1491aの角度は、加熱中に湾曲したウェハ14 04のエツジ部分の近傍の角度と概ね一致するように選択されていることが好ま しい。例えば、この角度は、150++++++(6インチ)のウェハに用いら れるウェハ囲繞リングでは約4.7°であり、200o+m(8インチ)のウェ ハに用いられるウェハ囲繞リングでは約4.1’である。
第14N図及び第140図には表されていないが、スピンドルは、ウェハ囲繞リ ング1491の中心に形成された開口部内のウェハ1404の下に配置される。
更に、スピンドルは、ウェハ1404が加熱されて湾曲したときに、ウェハ14 04の予測される曲率に一致するような形状を備えたウェハ1404に隣接する 表面を有する。
第14P図は、本発明の他の実施例に基づく、ウェハ囲繞リングの断面図である 。ウェハ囲繞リング1492は、ウェハ1404が配置される傾斜した棚149 2aを備えている。更に、傾斜した棚1492aには円形の溝1492bが形成 されている。溝1492bは、溝1481a(第14に図及び第14L図)に関 して説明された効果と等しい効果を提供する。溝1492bはまた、第14M図 に例示されたように傾斜した棚1492aに放射状に形成されてもよい。溝14 92bは、溝1481a及び1482bに関して説明された方法と等しい方法を 用いて形成される。溝1492bの寸法は、溝1481a及び1482aに関し て説明されたように決定される。
第14A図〜第14P図の上述された実施例では、ウェハ囲繞リング、スピンド ル及びサセプタインサートの前記特定の寸法は、ずれを最小にし、かつウェハ1 404のほぼ均一な温度を保持するべく、実験的に決定されている。
更に、シリコンカーバイド若しくは黒鉛の代わりに石英ガラスが用いられている 場合、そのような材料は、それらの材料の好ましくない熱慣性(thermal  1nertia)と黒鉛若しくはシリコンカーバイドの好ましい熱保持力(t hermal retantion)とを比較することによって決定される。更 に、スピンドル若しくはウェハ囲繞リングが石英ガラスから形成されている場合 、石英ガラスの表面はビードブラスト若しくは洗浄される。石英ガラスの表面を ビードブラストすることによって、熱保持力が増加する。更に、第14A図〜第 14P図では、1枚のウェハがサセプタの上に配置された本発明の実施例が例示 されているが、上述された構造の1つをウェハが配置される各ポケット内に配置 することによって、上述された構造を1枚以上のウェハが配置されるサセプタに 用いることもできる。
第14Q図及び第14R図は、本発明のある実施例に基づく、ウェハ囲繞リング のC型区分1495の平面図及び側断面図である。本発明に基づくウェハ囲繞リ ングは、C型区分1495と、前記C型区分1495と嵌合して円形のウェハ囲 繞リングを形成する(図示されていない)補完的な区分の2つの部分から形成さ れている。ウェハは、ウェハ囲繞リングの上側面1495gよりも低い位置にあ るウェハ背面接触面1495fの上に配置される。窪み1495 a、1495  b、1495 c、及び1495dが、C型区分1495のサセプタ接触面1 495eに形成されている。
第11A図及び第11B図に関して既に説明されたように、サセプタが下降した とき、ウェハ支持ピン1112 a。
1112 b、1112 c、 及び1112dが窪み1495a、1495  b、1495 c及び1495dと接触し、C型区分1495をサセプタから離 れるように持ち上げる。
ウェハ背面接触面1495fの上に配置されたウェハは、C型区分1495と共 に持ち上げられる。しがし、C型区分1495が持ち上げられたとき、補完的な 区分はサセプタ内に留まる。こうして、補完的な区分が嵌合されてぃた場所に開 いた領域が形成され、この開いた領域を通してロボットアーム若しくはウェハ取 扱いシステムがC型区分1495からウェハを持ち上げかつ移動させる。
サセプタ接触面1495eが、ウェハ背面接触面1495fと上側面1495g とからなる部分の幅よりも短い幅を有するように、C型区分1495の内側エツ ジ面1495hと外側エツジ面1495iは各々傾斜して形成されている。サセ プタのポケットの側面は、C型区分1495の内側エツジ面1495hと外側エ ツジ面1495 iに一致するように傾斜して形成されている。C型区分149 5とサセプタとの間の境界面を傾斜させることによって、C型区分1495がサ セプタの上に配置されたとき、C型区分1495が好適に密閉さね、ガスがC型 区分1495とサセプタとの間から漏洩しかつウェハの裏側面を汚染することが 防止される。境界面を傾斜させることによって、サセプタへのウェハ囲繞リング の配置及びサセプタからのウェハ囲繞リングの除去がより容易となる。補完的な 区分もまた等しい傾斜した表面を有する。
C型区分1495の開いた端部のエツジ1495j及び1495にも、ウェハ背 面接触面1495f及び上側面1495gからサセプタ接触面1495eへ向け て内側に傾斜して形成されている。ウェハ囲繞リングの補完的な区分には、対応 する傾斜したエツジが形成されている。C型区分1495と補完的な区分との間 の境界面を傾斜させることによって、C型区分の補完的な区分に対する良好な密 閉が形成される。ウェハをローディングまたはアンローディングするためにサセ プタが降下したとき、C型区分1495が補完的な区分を持ち上げることのない ように、エツジ1495j及び1495には内側に傾斜していなければならない 。補完的な区分は、補完的な区分及びサセプタに形成された対応する開口部内に 嵌合するビンによってサセプタの上の横方向の定位置に保持されている。
他の実施例では、C型区分1495、サセプタのポケットの側壁、及び補完的な 区分には、傾斜したエツジではなく垂直なエツジが形成されている。
第14S図は、C型区分1498及び補完的な区分1499を含む、本発明の他 の実施例に基づくウェハ囲繞リング1497の平面図である。C型区分1498 はリム1498a及びフロア1498bを含む。同様に、補完的な区分1499 はリム1499a及びフロア1498bを含む。
ウェハ囲繞リング1497は、フロア1498b及び1499bが、第14Q図 及び第14S図のウェハ囲繞リングのようにウェハの一部分の下に配置されてい るのではなく、ウェハの軍全体に延在していること以外は第14Q図及び第14 R図に関して説明されたウェハ囲繞リングと等しい。
反応炉400では、処理中のウェハ全体の温度を概ね均一に保とうとする場合、 処理中のウェハをその外側に配置することのできない、概ね温度の均一な領域が 反応チャンバ403の中心部分に存在する。しかし、この温度の概ね均一な領域 内では、ウェハはサセプタ402の上の任意の位置に配置されてよい。第15A 図、第15B図、及び第15C図は、ウェハを配置するための3通りの可能な方 法を例示した、反応炉400に用いるための3個のサセプタ1502.1522 及び1542の平面図である。
第15A図では、ウェハ1511の中心1511aがサセプタ1502の中心1 502aと一致しないようにウェハ1511が配置されている。ある実施例では 、中心1511aは中心1502aから5.08cm(2インチ)離れている。
反応炉400内に形成された温度の均一な広い領域によって、ウェハ1511が サセプタ402の中心に配置されていない場合、即ちウェハ1511が反応チャ ンバ1403の中心に配置されていない場合でも、ウェハ1511全体の温度が 概ね均一に保持される。このようにウェハを中心から離して配置することは、サ セプタ15o2を適切な位置で回転させた場合、ウェハ1511をローディング 及びアンローディングするためにウェハローディングアームが移動する距離を最 小とし、様々な問題(例えばサセプタ1502とウェハ1511の不整合)がウ ェハ取扱い過程で発生する確率を減少させる。
第15B図では、ウェハ1531は、その中心1531aがサセプタ1522の 中心1522aと一致するように配置され、従って、ウェハ1531は反応チャ ンバ403の概ね均一な温度の領域の中心に配置されている。このようにウェハ をサセプタの中心に配置することによって、サセプタ1522の上に配置されて いるウェハ1531を、サセプタ1502の上に配置されているウェハ1511 よりも大型にすることができる。
第15C図では、ウェハ1551.1552及び1553は、サセプタ1542 の上に対称的に配置されている。
ある実施例では、ウェハ1551.1552、及び1553の中心1551 a 、1552 a及び1553aは、各々、サセプタ1542の中心1542aか ら9. 609cm (3゜783インチ)離れて配置されている。ウェハ15 51.1552、及び1553の中心1551 a、1552 a及び1553 aは各々、サセプタ1542の周方向に互いに120’の角度αをなして配置さ れている。同時に複数のウェハが処理されるので、ウェハ1551.1552及 び1553を反応チャンバ403の概ね均一な温度の領域内に保持するために、 ウェハ1551.1552、及び1553の最大の寸法は、第15B図のウェハ 1531の最大の寸法より小さくなっている。
第15A図、第15B図及び第15C図には、1枚または3枚のウェハが配置さ れたサセプタが例示されているが、本発明に基づく反応炉では、4枚以上のウェ ハが配置されるサセプタを用いることもできる。しかし、同時に処理することの できるウェハの枚数は、処理されるウェハの寸法によって限定される。
第15D図及び第15E図は、各々、3枚の1500111(6インチ)のウェ ハ1571 a、157 l b及び1571cと、1枚の200mm(8イン チ)のウェハ1591が配置された、反応炉400で用いられるサセプタ156 2と1582の平面図である。第15D図では、開口部1563 a、1563  b、1563 c、1563 d、15631がサセプタ1562を貫通して 形成されており、これらの開口部を通してウェハ支持ビン513が延出し、ウェ ハ1571a、1571b及び1571cをサセプタ1562の上に上昇させる 。各ウェハ1571 a、157 l b。
及び1571cはサセプタ1562を回転させることによって上昇し、ウェハ1 571a11571bまたは1571cが、取着ロッド512 b、512 c 、及び512d(第5D図)の上の定位置に配置される。第15E図では、開口 部1583 a、1583 b、1583 c、1583 d及び1583eが サセプタ1582を貫通して形成されており、これらの開口部を通してサセプタ 支持ピン513が延出し、ウェハ1591をサセプタ1582の上に上昇させる 。ウェハ1591は、サセプタ1582を回転させることによって上昇し、ウェ ハ1591が取着ロッド512a、512 b、512 c、512 d、及び 512eの上の定位置に配置される。取着ロッド512 a、512 b、51 2c1 及び512d若しくは取着ロー/ド512b、512 c、 及ヒ51 2 eをウェハ1591を上昇させるために用いてもよい。
上述されたように、ガスパネルがらの反応ガスは、ガス入口管408aを通り、 がっガス注入ヘッド、例えばガス注入へラド414若しくはガス注入噴出孔42 1を通って反応チャンバ403内に供給され、がっ排気ライン409a、409 b、及び409cを通ッテ反応炉4ooがら、ガスを大気中に排気する前に浄化 するスクラバへ排気される。上述された反応炉では、ガス供給システムとスクラ バを制御するために2つのコンピュータが用いられている。
第16A図は、1台のコンピュータ161oがガスパネル1601及びスクラバ 1606を制御するために用いられている、本発明に基づく反応炉1600の概 略図である。
反応ガスは、ガス入口16o2を通してガスパネル1601から反応チャンバ1 603に供給されている。ガスは反応チャンバ1603内を流れウェハ16o4 に達し、ガス排気孔1605を通してスクラバ1606へ排気されている。スク ラバ1606はガスを浄化し、がっスクラバ排気孔1607を通してガスを大気 中に排気する。
コンピュータ161oは、所望の過程に対するコンピュータ1610に記憶され たオペレータによって指定されたデータに基づいて、ガスパネル16o1がら供 給されるガス流の形式及び速度をガス供給制御ライン16o8を通して制御する 。同様に、コンピュータ161oは、使用されるプロセスガスに適したコンピュ ータ161oに記憶されたデータに基づき、スクラバ1606の浄化動作をスク ラバ制御ライン1609を通して制御する。従って反応炉1600では述された 反応炉とは異なり、ガス供給動作及びガス浄化動作のためのデータは1つの装置 内に記憶されかつ1つの装置によって操作されるので、互いに関連したガス供給 動作及びガス浄化動作のコンピュータによる制御が容易に行われる。
本発明のある実施例では、上述されたプロセスコンピュータが、温度制御、電力 制御などと同様に、反応炉の動作で用いられるインターロックを制御する。本発 明の反応炉は多くの新規の特徴を有するが、プロセスコンピュータの動作は、こ れまで説明された新規な特徴を考慮した場合、他の反応炉のプロセスコンピュー タの動作と等しい。
他の実施例では、反応炉のプロセス制御を実施するばかりでなく、プロセスコン ピュータは、実施される処理過程のための反応炉の構造及び、実施される処理過 程のための統計的なデータのデータベースを記憶している。重要な統計的解析の ための十分なデータがデータベースに含まれている場合、プロセスコンピュータ は処理サイクルを完全に制御することができる。反応炉の操作員は、バッチの寸 法、所望の処理過程、及び必要なウェハの均一性に関する情報のみを入力すれば 、よい。プロセスコンピュータはこれらの情報を入力し、データベースを解析す ることによって、処理過程を実施するための適切なプロセスパラメータ、プロセ ス変数を決定する。プロセスコンピュータは次に、反応炉の操作員によって指定 された結果を得るために、自動的に反応炉を構成しかつ自動的に処理過程を実施 する。
更に、反応炉を制御するためのコンピュータと、ガスキャビネットを制御するた めのコンピュータと、スクラバを制御するためのコンピュータとを備えた従来技 術のシステムとは異なり、本発明のプロセスコンピュータは、これら全ての動作 を行うものである。従って、一つの制御盤がら、反応炉の操作員は、特定の制御 過程に対する特定の順序でガスを供給するためのガスパネルを構成し、かつ所望 に応じて排気されたガスを処理するスクラバを構成することができる。これらの 動作を一台のコンピュータによって集中して行うことにより、ハードウェアのコ ストを低減させ、更にシステム全体を構成するために必要な時間を短縮すること ができ、バッチサイクルに要する時間を増加させることができる。
第16B図は、本発明に基づく反応炉を用いた処理過程1650のブロック図で ある。過程165oは、例えば、エピタキシャル層もしくはポリシリコン層を堆 積するための気相成長法を用いた過程からなる。本発明に基づく反応炉は、以下 により詳しく説明される過程を含む過程1650以外の過程にも用いることがで きる。
過程1651では、これまで詳しく説明されたように1枚のウェハもしくは複数 のウェハが反応炉の反応チャンバ内にローディングされる。過程1652では、 これまで説明されたように、窒素ガスを用いたパージングが実施され、次に水素 ガスを用いたパージングが実施される。過程1654では、1枚のウェハもしく は複数のウェハが900〜1200℃に加熱される。過程1655では、適切な 流速を形成するべくHCIガスが排気され、更に水素ガスを用いたパージングが 実施される。過程1656では、1枚のウェハもしくは複数のウェハが処理され るべきウェハの表面に形成されている酸化膜を除去するべくHCIガスによって エツチングされる。過程1657では、更に水素ガスを用いたパージングが実施 され、反応炉内の温度がプロセス温度まで上昇し、ガスの適切な流量を達成する べくプロセスガスが排気される。過程1658では、これまでに説明されたよう に、プロセスガスが反応チャンバ内に供給され、1枚のウェハもしくは複数のウ ェハの表面に堆積される。過程1659では、これまでに詳しく説明されたよう に、1枚のウェハもしくは複数のウェハが冷却さね、水素ガスを用いてプロセス ガスが反応チャンバがらパージされる。過程1660では、上述されたように、 水素ガスが反応チャンバからパージされる。最後に過程1661では、上述され たように、1枚のウェハもしくは複数のウェハが反応チャンバから取り除がれる 。
上述されたように、本発明に基づく反応炉は、様々な半導体処理過程に用いるこ とができるので、半導体処理過程の連続した集合を実施するための反応炉の集合 を組み立てることが可能である。第17図は、特定の半導体処理過程(例えば堆 積過程、アニール過程など)を実施するために用いられている本発明に基づく反 応炉1710.1720゜1730及び1740の集合の平面図である。反応炉 1710.1720,1730及び1740は、その内部にロボット1704が 配置された密閉されたチャンバ1705の周りに配置されている。積み重ねられ た複数のウェハを収容する複数のウェハカセット1702 a、1702 b。
及び1702cが、クリーンルーム1701に隣接するカセットルーム1703 内に配置されている。
始めに、ウェハカセット1702 a、1702 b、及び1702cがクリー ンルーム1701からカセットルーム1703へ転送される。コンピュータ制御 システムはロボット1704に命令を与え、ロボット1407がカセットルーム 1703からウェハカセット、例えばウェハカセット1702aの適切なウェハ を、取り出し、反応炉、例えば反応炉1740の適切な反応チャンバ、例えば反 応チャンバ1740aにウェハをロードする。ロボット1704はまた、反応チ ャンバ、例えば反応チャンバ1740aから他の反応チャンバ、例えば反応チャ ンバ1720aヘウエハを転送するように制御されている。このように、半導体 処理過程は、ロボッ)1704及び本発明に基づく反応炉の集合、例えば反応炉 1710,1720,1730、及び1740を用いることによって自動化され かつ迅速に実施される。第17図には4個の反応炉1710,1720.173 0、及び1740が例示されているが、2個、3個もしくは5個以上の本発明に 基づく反応炉を同様に配置することもできる。
第4A図及び第4B図の反応炉400に関して説明されたように、反応炉400 の保守が行われるときに、反応炉400のシェル452を容器401から遠ざか るように回動できることが好ましい。空間的な制限から、シェル452を反応炉 400のある側面もしくは他の側面に向けて回動させることが好ましい場合があ る。本発明に基づけば、シェル452は反応炉400の何れの側面に向けても容 易に回動させることができる。第17図では、反応炉1720は反応炉1720 の第1の側面に向けて回動可能なシェル1720bを備えており、反応炉174 0は、反応炉1740の第2の側面に向けて回動可能なシェル1740bを備え ている。
これまでに、本発明の様々な実施例について説明されてきた。これらの説明は例 示を意図するものであり、本発明の限定を意図するものではない。従って、以下 の請求の範囲によって限定される本発明の技術的な視点を逸脱することなしに、 これまで説明された本発明に種々の変更を施すことが可能なことは当業者には明 かである。
Fig、IA Fig、IE as Fig、IC Fig、2B Fig、2C Fig、7A Fig、7B Fig、7C Fig、9 Fig、14 Nコ Fig、22 Fig、23B Fig、23C Fig、23D F ig、24CF ig、24D Fig、25B Fig、26A Fig、26B Fig、27A Fig、31 Fig、32 Fig、33A Fig、33E Fig、34A Fig、34B Fig、35A Fig、35C Fig、36A Fig、36B Fig、37A Fig、37B Fig、37C Fig、41A Fig、41B Fig、41C Fig、42A F ig、42B  499a p ig、43 pig、44A Fig、44B Fig、44C Fig、47 Fig、48 補正書の翻訳文提出書 平成6年9月21日゛婿

Claims (1)

  1. 【特許請求の範囲】 1.半導体処理構造であって、 反応チャンバと、 (1)1枚のウエハ若しくは(2)複数のウエハをその上に配置するように適合 された第1の表面と、前記第1の表面の反対側の第2の表面とを備え、前記反応 チャンバ内に取り付けられた回転可能なサセプタ手段と、(1)前記1枚のウエ ハ若しくは(2)前記複数のウエハを直接加熱する放射熱を発生させると共に、 前記反応チャンバの外側に取り付けられた放射熱源手段とを有し、前記半導体処 理構造が高速熟処理反応炉として特徴づけられるような時間内に、前記放射熱源 が、(1)1枚のウエハ若しくは(2)複数のウエハを概ね均一な処理温度に上 昇させることを特徴とする半導体処理構造。 2.前記回転可能なサセプタ手段の前記第2の表面の近傍の前記反応チャンバ内 に取り付けられた加熱手段を更に有することを特徴とする請求項1に記載の半導 体処理構造。 3.前記加熱手段が、抵抗加熱手段からなることを特徴とする請求項2に記載の 半導体処理構造。 4.前記抵抗加熱手段に接続された絶縁された電源供給ラインを更に有し、前記 絶縁された電源供給ラインの絶縁が、前記反応チャンバの動作温度よりも低い温 度定格を有することを特徴とする請求項3に記載の半導体処理構造。 5.壁と、 前記抵抗加熱手段に固着された第1の端部と、第2の端部と、 前記第2の端部から前記第1の端部へ前記第1の端部及び前記第2の端部と垂直 な方向に前記壁に形成された前記壁を貫通して形成された溝とを備えた環状シャ フトを更に有し、 前記シャフトの前記第2の端部が前記反応チャンバの外測に位置し、 前記絶縁された電源供給ラインが前記溝を通って前記抵抗加熱手段に接続される ことによって、前記絶縁された電源供給ラインを前記反応チャンバの動作温度か ら熱的に絶縁することを特徴とする請求項4に記載の半導体処理構造。 6.前記絶縁された電源供給ラインを前記抵抗加熱手段に接続するねじを更に有 することを特徴とする請求項5に記載の半導体処理構造。 7.前記ねじがモリブデン製のねじからなることを特徴とする請求項6に記載の 半導体処理構造。 8.前記環状シャフトが黒鉛製の環状シャフトからなることを特徴とする請求項 5に記載の半導体処理構造。 9.前記回転可能なサセプタ手段が、石英ガラス製の回転可能なサセプタ手段か らなることを特徴とする請求項1に記載の半導体処理構造。 10.前記石英ガラスからなる回転可能なサセプタ手段の前記第1の表面がビー ドブラストされていることを特徴とする請求項9に記載の半導体処理構造。 11.前記石英ガラス製の回転可能なサセプタ手段の前記第2の表面が炎研磨さ れていることを特徴とする請求項9に記載の半導体処理構造。 12.前記回転可能なサセプタ手段が中心を有し、中心を備えたポケットを更に 有することを特徴とする請求項1に記載の半導体処理構造。 13.前記ポケットの中心が前記回転可能なサセプタ手段の前記中心と一致する ことを特徴とする請求項12に記載の半導体処理構造。 14.前記ポケットの前記中心が、前記回転可能なサセプタ手段の前記中心から 離れて配置されていることを特徴とする請求項12に記載の半導体処理構造。 15.前記ポケット内に配置されるサセプタインサートを更に有することを特徴 とする半導体処理構造。 16.前記サセプタインサートが布からなることを特徴とする請求項15に記載 の半導体処理構造。 17.前記サセプタインサートがプレートからなることを特徴とする請求項15 に記載の半導体処理構造。 18.前記サセプタインサートがシリコンカーバイドからなることを特徴とする 請求項15に記載の半導体処理構造。 19.前記サセプタインサートが黒船からなることを特徴とする請求項15に記 載の半導体処理構造。 20.前記前記サセプタインサートがシリコンカーバイドからなることを特徴と する請求項19に記載の半導体処理構造。 21.前記ポケット内に配置されたウエハ囲繞リングを更に有することを特徴と する請求項12に記載の半導体処理構造。 22.前記ウエハ囲繞リングが黒鉛からなることを特徴とする請求項21に記載 の半導体処理構造。 23.前記ウエハ囲繞リングがシリコンカーバイドからなることを特徴とする請 求項21に記載の半導体処理構造。 24.前記回転可能なサセプタ手段の前記第2の表面の近傍の前記反応チャンバ 内に配置された受動熱分布手段を更に有することを特徴とする請求項1に記載の 半導体処理構造。 25.前記受動熱分布手段が、石英ガラス構造によって被覆されたまたは石英ガ ラス構造の上に配置されたシリコンカーバイドを更に有することを特徴とする請 求項19に記載の半導体処理構造。 26.前記反応チャンバ内に取着された複数のガス噴出孔を更に有することを特 徴とする請求項1に記載の半導体処理構造。 27.前記反応チャンバが、水冷された側壁と、水冷された底壁と、強制空冷さ れた上壁とを備えた容器によって画定されていることを特徴とする請求項1に記 載の半導体処理構造。 28.前記強制空冷された上壁が、円形ドーム型の石英ガラスからなる壁を更に 有することを特徴とする請求項27に記載の半導体処理構造。 29.前記放射熱源手段が更に、各々が少なくとも1個のランプを備えた複数の ランプバンクを有することを特徴とする請求項1に記載の半導体処理構造。 30.前記少なくとも1個のランプが石英ガラス・ハロゲンランプからなること を特徴とする請求項29に記載の半導体処理構造。 31.前記石英ガラス・ハロゲンランプがタングステン電極を有することを特徴 とする請求項30に記載の半導体処理構造。 32.前記複数のランプパンクの1つが、7個のランプを有することを特徴とす る請求項29に記載の半導体処理構造。 33.前記複数のランプバンクの1つが、9個のランプを有することを特徴とす る請求項29に記載の半導体処理構造。 34.複数の半導体ウエハを処理する反応炉であって、反応チャンバ手段と、 前記複数のウエハをその上に取り付けるように適合された第1の表面と、前記第 1の表面の反対側の第2の表面とを備えた、前記反応チャンバ内に取り付けられ た回転可能なサセプタ手段と、 その発生する放射熱が前記複数のウエハを直接加熱するように、前記反応チャン バの外側に取り付けられた放射熱源手段とを有し、 前記反応炉が高速熱処理反応炉として特徴づけられるような時間内で、前記熱源 手段が前記複数のウエハの前記温度を概ね均一なプロセス温度に上昇させること を特徴とする反応炉。 35.前記サセプタの前記第2の面の近傍に、前記反応炉内で取り付けられた加 熱手段を更に有することを特徴とする請求項34に記載の反応炉。 36.前記回転可能なサセプタ手段が、石英ガラス製の回転可能なサセプタ手段 からなることを特徴とする請求項29に記載の反応炉。 37.前記石英ガラス製の回転可能なサセプタ手段の前記第1の表面がビードブ ラストされていることを特徴とする請求項36に記載の反応炉。 38.前記石英ガラス製の回転可能なサセプタ手段の前記第2の表面が炎研磨さ れていることを特徴とする請求項36に記載の反応炉。 39.前記回転可能なサセプタ手段が中心を有し、かつ各々が中心を備えた複数 のポケットを更に有することを特徴とする請求項34に記載の反応炉。 40.前記ポケットの前記中心が、前記回転可能なサセプタ手段の前記中心に関 して対称的に配置されていることを特徴とする請求項39に記載の反応炉。 41.前記複数のポケットの各々に配置されたシリコンカーバイド製のサセプタ インサートを更に有することを特徴とする請求項39に記載の反応炉。 42.前記ポケット内に取り付けられたウエハ囲繞リングを更に有することを特 徴とする請求項39に記載の反応炉。 43.前記回転可能なサセプタ手段の前記第2の表面の近傍の前記反応炉内に取 り付けられた受動熱分布手段を更に有することを特徴とする請求項34に記載の 反応炉。 44.前記受動熱分布手段が更に、石英ガラス構造によって被覆された、または 石英ガラス構造の上に配置されたシリコンカーバイドを有することを特徴とする 請求項43に記載の反応炉。 45.前記反応チャンバに取り付けられた複数のガス噴出孔を更に有することを 特徴とする請求項34に記載の反応炉。 46.前記反応チャンバが、水冷された側壁と、水冷された底壁と、強制空冷さ れた上壁とからなる容器によって画定されていることを特徴とする請求項34に 記載の反応炉。 47.前記強制空冷された上壁が更に、円形ドーム型の石英ガラス製の壁を有す ることを特徴とする請求項46に記載の反応炉。 48.前記放射熱源手段が更に、各々が少なくとも1個のランプを備えた複数の ランプバンクを有することを特徴とする請求項34に記載の反応炉。 49.前記少なくとも1個のランプが石英ガラス・ハロゲンランプからなること を特徴とする請求項48に記載の反応炉。 50.前記石英ガラス・ハロゲンランプがタングステン電極を有することを特徴 とする請求項49に記載の反応炉。 51.前記複数のランプバンクの1つが、7個のランプを含むことを特徴とする 請求項48に記載の反応炉。 52.前記複数のランプバンクの1つが、9個のランプを含むことを特徴とする 請求項48に記載の反応炉。 53.前記複数のランプバンクが、前記複数のウエハの各ウエハの温度を、毎秒 約20℃の速度で上昇させることを特徴とする請求項48に記載の反応炉。 54.前記複数のランプバンクが、前記複数のウエハの各ウエハの温度を、毎秒 約10℃の速度で上昇させることを特徴とする請求項48に記載の反応炉。 55.125mm、150mm、200mm、250mm、300mm、350 mm及び400mmからなる直径の集合から選択された直径を備えた1枚の半導 体ウエハを処理する反応炉であって、 反応チャンバ手段と、 前記1枚の半導体ウエハをその上に取り付けるべく適合された第1の面と、前記 第1の面の反対側の第2の面とを備えた、前記反応チャンバ内に取り付けられた 回転可能なサセプタ手段と、 その発生する放射熱が前記回転可能なサセプタ手段と前記複数のウエハとを直接 加熱するように、前記反応チャンバの外側に取り付けられた放射熱源手段とを有 し、前記反応炉が高速熱処理反応炉として特徴づけられるような時間内に、前記 放射熱源が、前記1枚のウエハの温度を概ね均一なプロセス温度に上昇させるこ とを特徴とする反応炉。 56.前記回転可能なサセプタ手段の前記第2の表面付近の、前記反応チャンバ 内に取り付けられた加熱手段を更に有することを特徴とする請求項55に記載の 反応炉。 57.前記回転可能なサセプタ手段が石英ガラス製の回転可能なサセプタ手段か らなることを特徴とする請求項55に記載の反応炉。 58.前記石英ガラス製の回転可能なサセプタ手段の前記第1の表面がビードブ ラストされていることを特徴とする請求項57に記載の反応炉。 59.前記石英ガラスから形成された回転可能なサセプタ手段の前記第2の表面 が炎研磨されていることを特徴とする請求項57に記載の反応炉。 60.前記回転可能なサセプタ手段が中心を有し、かつ中心を備えたポケットを 更に有することを特徴とする請求項55に記載の反応炉。 61.前記ポケットの前記中心が、前記回転可能なサセプタ手段の前記中心と一 致することを特徴とする請求項60に記載の反応炉。 62.前記ポケットの前記中心が、前記回転可能なサセプタ手段の前記中心から 離れて配置されていることを特徴とする請求項60に記載の反応炉。 63.前記ポケット内に配置されたシリコンカーバイド製のサセプタインサート を更に有することを特徴とする請求項60に記載の反応炉。 64.前記ポケット内に取り付けられたウエハ囲繞リングを更に有することを特 徴とする請求項63に記載の反応炉。 65.前記回転可能なサセプタ手段の前記第2の表面の付近の前記反応チャンバ 内に取り付けられた受動熱分布手段を更に有することを特徴とする請求項55に 記載の反応炉。 66.前記受動熱分布手段が更に、石英ガラス構造によって被覆されたまたは石 英ガラス構造の上に配置されたシリコンカーバイドを更に有することを特徴とす る請求項65に記載の反応炉。 67.前記反応炉内に取り付けられた複数のガス噴出孔を更に有することを特徴 とする請求項55に記載の反応炉。 68.前記反応チャンバが、水冷された側壁と、水冷された底壁と、強制空冷さ れた上壁とを備えた容器によって画定されていることを特徴とする請求項55に 記載の反応炉。 69.前記強制空冷された上壁が、円形ドーム型の石英ガラス製の壁を更に有す ることを特徴とする請求項68に記載の反応炉。 70.前記放射熱源手段が、各々が少なくとも1個のランプを含む複数のランプ バンクを更に有することを特徴とする請求項55に記載の反応炉。 71.前記少なくとも1個のランプが、石英ガラス・ハロゲンランプからなるこ とを特徴とする請求項70に記載の反応炉。 72.前記石英ガラス・ハロゲンランプがタングステン電極を有することを特徴 とする請求項71に記載の反応炉。 73.前記複数のランプバンクの1つが、7個のランプを有することを特徴とす る請求項70に記載の反応炉。 74.前記複数のランプバンクの1つが、9個のランプを有することを特徴とす る請求項70に記載の反応炉。 75.前記複数のランプバンクが、前記1枚のウエハの前記温度を、毎秒約20 ℃の速度で上昇させることを特徴とする請求項70に記載の反応炉。 76.前記複数のランプバンクが、前記1枚のウエハの前記温度を、毎秒約10 ℃の速度で上昇させることを特徴とする請求項70に記載の反応炉。 77.高速熱処理反応炉であって、 水冷された円筒形の側壁と、強制空冷された石英ガラス製の上壁と、円筒形の水 冷された底壁とを備えた反応チャンバ手段と、 前記反応チャンバ手段の外側であってかつ前記強制空冷された石英ガラス製の上 壁の上に配置された放射熱源と、(1)1枚のウエハ若しくは(2)複数のウエ ハをその上に配置するように適合された第1の表面と、前記第1の表面の反対側 の第2の表面とを備えた、前記反応チャンバ手段内に取り付けられた回転可能な サセプタ手段と、前記回転可能なサセプタ手段の前記第2の表面付近の前記反応 チャンバ手段内に取り付けられた受動熱分布手段と、前記回転可能なサセプタ手 段を支持する第1の端部と、前記反応チャンバ手段の外側に配置された第2の端 部とを備えたサセプタ支持手段と、 中心の円筒形の開口部を画定する壁と、前記受動熱分布手段に固着された第1の 端部と、第2の端部とを備え、前記第2の端部が前記反応チャンバの外に位置し 、かつ前記サセプタ支持手段が前記中心の円筒形の開口部を貫通して延在する環 状シャフトと、 前記環状シャフトと前記サセプタ支持手段とに連結されたサセプタ配置機構とを 有し、 前記サセプタ配置機構が、前記環状シャフトと前記サセプタ支持手段とを第1の 方向に移動し、これによって前記回転可能なサセプタ手段が前記第1の方向に移 動させられることを特徴とする高速熟処理反応炉。 78.前記環状シャフトが、黒鉛製の環状シャフトからなることを特徴とする請 求項77に記載の高速熟処理反応炉。 79.前記回転可能なサセプタ手段が、石英ガラス製の回転可能なサセプタ手段 からなることを特徴とする請求項77に記載の高速熱処理反応炉。 80.前記石英ガラス製の回転可能なサセプタ手段の前記第1の表面がビードブ ラストされていることを特徴とする請求項79に記載の高速熱処理反応炉。 81.前記石英ガラス製の回転可能なサセプタ手段の前記第2の表面が炎研磨さ れていることを特徴とする請求項79に記載の高速熱処理反応炉。 82.前記回転可能なサセプタ手段が、中心を備え、かつ中心を備えたポケット を更に有することを特徴とする請求項77に記載の高速熱処理反応炉。 83.前記ポケットの前記中心が、前記回転可能なサセプタ手段の前記中心と一 致していることを特徴とする請求項82に記載の高速熱処理反応炉。 84.前記ポケットの前記中心が、前記回転可能なサセプタ手段の前記中心から 離れて配置されていることを特徴とする請求項82に記載の高速熱処理反応炉。 85.前記ポケット内に配置されるシリコンカーバイド製のサセプタインサート を更に有することを特徴とする請求項82に記載の高速熱処理反応炉。 86.前記ポケット内に取り付けられたウエハ囲繞リングを更に有することを特 徴とする請求項82に記載の記載の高速熟処理反応炉。 87.前記受動熱分布手段が更に、石英ガラス構造によって被覆された若しくは 石英ガラス構造の上に配置されたシリコンカーバイドを更に有することを特徴と する請求項77に記載の高速熱処理反応炉。 88.前記反応チャンバ内に取り付けられた複数のガス噴出孔を更に有すること を特徴とする請求項77に記載の高速熱処理反応炉。 89.前記強制空冷された石英ガラス製の上壁が更に、円形ドーム型の石英ガラ ス製の壁を有することを特徴とする請求項77に記載の高速熱処理反応炉。 90.前記放射エネルギー源手段が更に、各々が少なくとも1個のランプを備え た複数のランプバンクを有することを特徴とする請求項77に記載の高速熱処理 反応炉。 91.前記少なくとも1個のランプが石英ガラス・ハロゲンランプからなること を特徴とする請求項90に記載の高速熱処理反応炉。 92.前記石英ガラス・ハロゲンランプがタングステン電極を有することを特徴 とする請求項91に記載の高速熱処理反応炉。 93.前記複数のランプバンクの1つが、7個のランプを有することを特徴とす る請求項90に記載の高速熱処理反応炉。 94.前記複数のランプバンクの1つが、9個のランプを有することを特徴とす る請求項90に記載の高速熱処理反応炉。 95.半導体ウエハを処理する反応炉であって、反応チャンバ容器手段と、 前記反応チャンバ容器手段の上に配置され、かつ主面を備えたテーブル手段と、 シェル手段と、 前記テーブル手段に固着された第1の方向に延在する溝手段と、 前記溝手段に移動可能に接続され、かつ前記シェル手段に選択的に着脱可能な複 数のコネクタを備えた連結手段とを有し、 前記連結手段が前記溝に沿って移動し、前記シェル手段が、前記テーブルの表面 に接触した第1の位置から、前記テーブルの主面から離れた第2の位置へ第1の 方向に移動し、 前記シェル手段が前記第2の位置にあるとき、前記複数のコネクタの1つを前記 シェル手段から切離すことによって、前記シェル手段が前記第1の方向と概ね直 交する第2の方向に移動可能となり、これによって前記シェル手段によって制限 されることなしに、前記反応チャンバ容器手段に接近することが可能となること を特徴とする反応炉。 96.前記連結手段が更に、第1及び第2のボスと、第3及び第4のボスとを備 えた、前記溝手段に移動可能に接続されたヨークを有し、 前記第1及び第2のボスは各々、その内部に形成された開口部を備え、前記第1 及び第2のボスの前記開口部の前記中心は同一軸上にあり、 前記第3及び第4のボスの各々は、その内部に形成された開口部を有し、前記第 3及び第4のボスの前記開口部の中心は同一軸上にあり、 前記シェル手段は更に、 その内部を貫通する開口部を備えた第1のボスと、その内部を貫通する開口部を 備えた第2のボスとを有し、前記連結手段が、 前記ヨークの前記第1のボスの前記開口部と、前記シェルの前記第1のボスの前 記開口部と、前記ヨークの前記第2のボスの前記開口部とを貫通する第1のピン と、前記ヨークの前記第3のボスの前記開口部と、前記シェルの前記第2のボス の前記開口部と、前記ヨークの前記第4のボスの前記開口部とを貫通する第2の ピンとを有し、前記第1のピンが前記ヨークを前記シェルに接続し、前記第1の ピンを除去したとき、前記シェルが前記第2の方向に移動可能となることを特徴 とする請求項95に記載の反応炉。 97.高速熱処理反応炉のサセプタであって、中心と、中心を備えたポケットを 含む第1の表面と、第2の表面を備えた石英ガラス製の支持部と、前記ポケット 内に配置されたシリコンカーバイド製のサセプタインサートとを有することを特 徴とするサセプタ。 98.前記第1の表面がビードブラストされていることを特徴とする請求項97 に記載のサセプタ。 99.前記第2の表面が炎研磨されていることを特徴とする請求項97に記載の サセプタ。 100.前記石英ガラス製の支持部の前記中心が、前記ポケットの前記中心と重 なり合うことを特徴とする請求項97に記載のサセプタ。 101.前記石英ガラス製の支持部の前記中心が、前記ポケットの前記中心と離 れて配置されていることを特徴とする請求項97に記載のサセプタ。 102.前記ポケット内に取り付けられたウエハ囲繞リングを更に有すこるとを 特徴とする請求項97に記載のサセプタ。 103.高速熱処理反応炉のための装置であって、(1)1枚のウエハ若しくは (2)複数のウエハをその上に配置するべく適合された第1の表面と、前記第1 の表面の反対の第2の表面とを備えた石英ガラス製のサセプタ手段と、 前記回転可能なサセプタ手段の前記第2の表面付近に取り付けられた受動熱分布 手段とを有することを特徴とする高速熱処理反応炉のための装置。 104.前記受動熱分布手段が更に、石英ガラス構造によって被覆されたまたは 石英ガラス構造の上に配置されたシリコンカーバイドを有することを特徴とする 請求項103に記載の装置。 105.半導体ウエハを処理するための反応炉内の構造であって、 その上に前記半導体ウエハを取り付けるべく適合された第1の表面と、第2の表 面と、前記第1の表面から前記第2の表面へ貫通して形成された複数の開口部と を備えたサセプタと、 複数のウエハ支持ピンとを有し、 第1の位置では、前記ウエハ支持ピンは前記サセプタ内に収容され、第2の位置 では、前記ウエハ支持ピンは前記第1の表面の上に前記半導体ウエハを保持する べく、前記各ウエハ支持ピンは前記開口部の各々に移動可能に取り付けられてい ることを特徴とする構造。 106.各々が前記各ウエハ支持ピンに対応する、前記反応炉内に取り付けられ た複数の支持部とを更に有し、前記サセプタが第3の位置にあるとき、前記複数 の支持部が前記複数のウエハ支持ピンに係合し、かつ前記ウエハ支持ピンを前記 第2の位置に保持することを特徴とする請求項105に記載の構造。 107.前記サセプタが第4の位置にあるとき、前記複数のウエハ支持ピンが前 記第1の位置にあることを特徴とする請求項106に記載の構造。 108.高速熱処理反応炉(RTP反応炉)に於いて、サセプタ及び石英ガラス 製の部分に堆積されたシリコンのエッチング方法であって、 所定の百分率のHC1を含むガスを、前記RTP反応炉内に流す過程と、 前記壁の温度が、シリコンを堆積させる過程の壁の通常の動作温度よりも高くな るように、前記RTP反応炉の壁への冷媒の流れを減少させる過程とを有するこ とを特徴とするエッチング方法。 109.その表面と垂直な方向に移動可能なサセプタを備えた高速熱処理反応炉 の反応チャンバ内の粒子による汚染の減少方法であって、 前記反応チャンバの壁を貫通する支持手段の上に前記サセプタを取り付ける過程 と、 前記支持手段に取着された機構によって、前記サセプタを前記垂直な方向に前記 反応チャンバの外に移動させ、それによって前記反応チャンバ内の部品の数を限 定する過程とを有することを特徴とする減少方法。 110.反応炉の反応チャンバ内での半導体ウエハ若しくは複数の半導体ウエハ の処理方法であって、前記1枚の半導体ウエハ若しくは複数の半導体ウエハを前 記反応チャンバ内にローディングする過程と、望まれないガスを前記反応チャン バからパージングする過程と、 前記1枚のウエハ若しくは複数のウエハを、毎秒10℃の速度でプロセス温度に 加熱する過程と、前記反応チャンバ内にプロセスガスを導入し、前記プロセスガ スを前記1枚のウエハ若しくは複数のウエハの表面に堆積させる過程と、 前記1枚のウエハ若しくは複数のウエハを取り扱うことのできる温度に冷却する 過程と、 前記1枚のウエハ若しくは複数のウエハを前記反応チャンバからアンローディン グする過程とを有することを特徴とする半導体ウエハの処理方法。
JP51709694A 1993-01-21 1994-01-21 半導体基板を処理するための高速熱処理反応炉 Expired - Fee Related JP4084412B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US007/981 1993-01-21
US08/007,981 US5444217A (en) 1993-01-21 1993-01-21 Rapid thermal processing apparatus for processing semiconductor wafers
PCT/US1994/000456 WO1994017353A1 (en) 1993-01-21 1994-01-21 A rapid thermal processing apparatus for processing semiconductor wafers

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2004154897A Division JP3859226B2 (ja) 1993-01-21 2004-05-25 半導体基板を処理するための高速熱処理反応炉
JP2006334765A Division JP2007180533A (ja) 1993-01-21 2006-12-12 半導体基板を処理するための高速熱処理反応炉

Publications (2)

Publication Number Publication Date
JPH07505261A true JPH07505261A (ja) 1995-06-08
JP4084412B2 JP4084412B2 (ja) 2008-04-30

Family

ID=21729164

Family Applications (3)

Application Number Title Priority Date Filing Date
JP51709694A Expired - Fee Related JP4084412B2 (ja) 1993-01-21 1994-01-21 半導体基板を処理するための高速熱処理反応炉
JP2004154897A Expired - Fee Related JP3859226B2 (ja) 1993-01-21 2004-05-25 半導体基板を処理するための高速熱処理反応炉
JP2006334765A Pending JP2007180533A (ja) 1993-01-21 2006-12-12 半導体基板を処理するための高速熱処理反応炉

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2004154897A Expired - Fee Related JP3859226B2 (ja) 1993-01-21 2004-05-25 半導体基板を処理するための高速熱処理反応炉
JP2006334765A Pending JP2007180533A (ja) 1993-01-21 2006-12-12 半導体基板を処理するための高速熱処理反応炉

Country Status (5)

Country Link
US (5) US5444217A (ja)
EP (2) EP0633997B1 (ja)
JP (3) JP4084412B2 (ja)
DE (2) DE69434773T2 (ja)
WO (1) WO1994017353A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000311895A (ja) * 1999-03-04 2000-11-07 Applied Materials Inc フッ素化bpsg膜の堆積及び平坦化の改良された方法
JP2002164423A (ja) * 2000-11-28 2002-06-07 Tokyo Seimitsu Co Ltd ウェーハリフト装置を備えたウェーハ保持装置
JP2002521817A (ja) * 1998-07-23 2002-07-16 アプライド マテリアルズ インコーポレイテッド 赤外線透過性熱リアクタカバー部材
JPWO2014196323A1 (ja) * 2013-06-06 2017-02-23 イビデン株式会社 ウエハキャリアおよびこれを用いたエピタキシャル成長装置

Families Citing this family (614)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
KR0135840B1 (ko) * 1994-07-26 1998-04-29 김광호 개구부 매몰(filling)장치와 이를 이용한 반도체소자 제조방법
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3094816B2 (ja) * 1994-10-25 2000-10-03 信越半導体株式会社 薄膜の成長方法
JP3011866B2 (ja) * 1994-11-30 2000-02-21 信越石英株式会社 枚葉式ウエーハ熱処理装置
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5982986A (en) 1995-02-03 1999-11-09 Applied Materials, Inc. Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
JPH08316154A (ja) * 1995-02-23 1996-11-29 Applied Materials Inc 疑似ホットウォール反応チャンバ
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
JP2001524259A (ja) * 1995-07-10 2001-11-27 シーヴィシー、プラダクツ、インク マイクロエレクトロニクス製造装置用プログラマブル超クリーン電磁サブストレート回転装置及び方法
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5651827A (en) * 1996-01-11 1997-07-29 Heraeus Quarzglas Gmbh Single-wafer heat-treatment apparatus and method of manufacturing reactor vessel used for same
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5751896A (en) 1996-02-22 1998-05-12 Micron Technology, Inc. Method and apparatus to compensate for non-uniform film growth during chemical vapor deposition
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
JPH09260364A (ja) * 1996-03-26 1997-10-03 Tokyo Electron Ltd 熱処理方法および熱処理装置
US6031211A (en) * 1997-07-11 2000-02-29 Concept Systems Design, Inc. Zone heating system with feedback control
EP0823492A3 (en) * 1996-08-07 1999-01-20 Concept Systems Design Inc. Zone heating system with feedback control
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
KR100239405B1 (ko) * 1996-10-24 2000-01-15 김영환 반도체 제조장치
US5889258A (en) * 1996-12-12 1999-03-30 Lubomirski; Dimitri High temperature heating apparatus
US5789309A (en) * 1996-12-30 1998-08-04 Memc Electronic Materials, Inc. Method and system for monocrystalline epitaxial deposition
US6110289A (en) * 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
US5986329A (en) * 1997-03-07 1999-11-16 Advanced Micro Devices, Inc. Deposition of super thin PECVD SiO2 in multiple deposition station system
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
US6051512A (en) * 1997-04-11 2000-04-18 Steag Rtp Systems Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
US6762396B2 (en) 1997-05-06 2004-07-13 Thermoceramix, Llc Deposited resistive coatings
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US5840124A (en) * 1997-06-30 1998-11-24 Emcore Corporation Wafer carrier with flexible wafer flat holder
US5926615A (en) * 1997-07-08 1999-07-20 National Science Council Temperature compensation method for semiconductor wafers in rapid thermal processor using separated heat conducting rings as susceptors
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5870526A (en) * 1997-07-17 1999-02-09 Steag-Ast Inflatable elastomeric element for rapid thermal processing (RTP) system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JPH1197446A (ja) * 1997-09-18 1999-04-09 Tokyo Electron Ltd 縦型熱処理装置
JP2001522142A (ja) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
EP1049640A4 (en) 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
JP2928210B1 (ja) * 1998-01-30 1999-08-03 九州日本電気株式会社 半導体基板の不純物拡散処理方法および半導体製造装置
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6592661B1 (en) 1998-02-25 2003-07-15 Micron Technology, Inc. Method for processing wafers in a semiconductor fabrication system
JP3374743B2 (ja) * 1998-03-05 2003-02-10 日本電気株式会社 基板熱処理装置及び同装置からの基板の分離方法
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
TW463028B (en) * 1998-04-21 2001-11-11 Hitachi Shipbuilding Eng Co Working robot for heat exchangers and operating method thereof
US6188044B1 (en) * 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
DE19821007A1 (de) 1998-05-11 1999-11-25 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6034357A (en) * 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6169271B1 (en) 1998-07-13 2001-01-02 Mattson Technology, Inc. Model based method for wafer temperature control in a thermal processing system for semiconductor manufacturing
IL125690A0 (en) * 1998-08-06 1999-04-11 Reiser Raphael Joshua Furnace for processing semiconductor wafers
US6300600B1 (en) 1998-08-12 2001-10-09 Silicon Valley Group, Inc. Hot wall rapid thermal processor
US6462310B1 (en) 1998-08-12 2002-10-08 Asml Us, Inc Hot wall rapid thermal processor
US6900413B2 (en) 1998-08-12 2005-05-31 Aviza Technology, Inc. Hot wall rapid thermal processor
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6957690B1 (en) * 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
JP3516596B2 (ja) * 1998-10-19 2004-04-05 松下電器産業株式会社 半導体装置の製造方法
TW457557B (en) * 1998-10-29 2001-10-01 Shinetsu Handotai Kk Semiconductor wafer and its manufacturing method
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6091889A (en) * 1999-01-08 2000-07-18 National Science Council Rapid thermal processor for heating a substrate
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6105274A (en) * 1999-03-18 2000-08-22 International Business Machines Corporation Cryogenic/phase change cooling for rapid thermal process systems
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
TW466576B (en) 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
TW425635B (en) 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6799603B1 (en) 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2001127143A (ja) * 1999-10-27 2001-05-11 Applied Materials Inc 基板支持装置
DE19951991C2 (de) * 1999-10-28 2001-10-25 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Beladen eines Suszeptors
DE19952705A1 (de) * 1999-11-02 2001-05-10 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit einer epitaktischen Schicht
US6246031B1 (en) 1999-11-30 2001-06-12 Wafermasters, Inc. Mini batch furnace
US6303906B1 (en) 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
US6345150B1 (en) 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6436796B1 (en) * 2000-01-31 2002-08-20 Mattson Technology, Inc. Systems and methods for epitaxial processing of a semiconductor substrate
EP1123992A3 (en) * 2000-02-09 2003-09-17 Moore Epitaxial, Inc. Semiconductor processing apparatus and method
US6328221B1 (en) 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6544339B1 (en) * 2000-03-22 2003-04-08 Micro C Technologies, Inc. Rectilinear wedge geometry for optimal process control in chemical vapor deposition and rapid thermal processing
US6399926B2 (en) * 2000-04-03 2002-06-04 Sigmameltec Ltd. Heat-treating apparatus capable of high temperature uniformity
WO2001082342A1 (en) * 2000-04-26 2001-11-01 Wafermasters Incorporated Gas assisted rapid thermal annealing
US6464412B1 (en) 2000-05-15 2002-10-15 Eastman Kodak Company Apparatus and method for radiant thermal film development
US6417076B1 (en) * 2000-06-05 2002-07-09 Micron Technology, Inc. Automated combi deposition apparatus and method
US6545369B1 (en) 2000-06-05 2003-04-08 Micron Technology, Inc. Overlay error reduction by minimization of unpatterned wafer area
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
JP2001351871A (ja) * 2000-06-09 2001-12-21 Asm Japan Kk 半導体製造装置
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US6599818B2 (en) * 2000-10-10 2003-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
KR100436941B1 (ko) * 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
CN100493267C (zh) 2000-11-29 2009-05-27 萨莫希雷梅克斯公司 具有控制电阻率的电阻加热器及其制备方法
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP2002176000A (ja) * 2000-12-05 2002-06-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び半導体装置の製造方法
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6770146B2 (en) 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
US7118780B2 (en) 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
JP3975321B2 (ja) * 2001-04-20 2007-09-12 信越化学工業株式会社 フォトマスク用シリカガラス系基板及びフォトマスク用シリカガラス系基板の平坦化方法
KR100422199B1 (ko) * 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
US6344631B1 (en) 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
US6645344B2 (en) * 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
EP1393355A2 (de) * 2001-05-18 2004-03-03 Mattson Thermal Products GmbH Vorrichtung zur aufnahme von scheibenförmigen objekten
KR100876927B1 (ko) * 2001-06-01 2009-01-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 열처리장치 및 열처리방법
JP4703891B2 (ja) * 2001-06-07 2011-06-15 ルネサスエレクトロニクス株式会社 薄膜製造方法
US20030010775A1 (en) * 2001-06-21 2003-01-16 Hyoung June Kim Methods and apparatuses for heat treatment of semiconductor films upon thermally susceptible non-conducting substrates
DE10131673A1 (de) * 2001-06-29 2003-01-30 Infineon Technologies Ag Tragevorrichtung für einen Wafer
EP1274121A1 (en) * 2001-06-29 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Wafer chuck for supporting a semiconductor wafer
KR20030006245A (ko) * 2001-07-12 2003-01-23 삼성전자 주식회사 웨이퍼 건조장치
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
TW559905B (en) * 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003086522A (ja) * 2001-09-13 2003-03-20 Sumitomo Chem Co Ltd 半導体製造装置
JP3798674B2 (ja) * 2001-10-29 2006-07-19 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP3715228B2 (ja) * 2001-10-29 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
EP1988188B9 (en) * 2001-12-03 2012-05-02 Ulvac, Inc. Apparatus and method for producing films
AU2002350358A1 (en) * 2001-12-26 2003-07-30 Vortek Indusries Ltd. Temperature measurement and heat-treating methods and systems
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6868302B2 (en) * 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6687456B1 (en) * 2002-07-15 2004-02-03 Taiwan Semiconductor Manufacturing Co., Ltd In-line fluid heater
US20060102210A1 (en) * 2002-07-25 2006-05-18 Yasuhiro Chouno Substrate processing container
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
US7166168B1 (en) 2002-10-18 2007-01-23 Carl Zeiss Smt Ag Substrate-coating system and an associated substrate-heating method
EP1568068A1 (en) * 2002-11-22 2005-08-31 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
US7921802B2 (en) * 2002-12-09 2011-04-12 Nxp B.V. System and method for suppression of wafer temperature drift in cold-wall CVD systems
AU2003287837A1 (en) 2002-12-20 2004-07-14 Vortek Industries Ltd Methods and systems for supporting a workpiece and for heat-treating the workpiece
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
KR20050088159A (ko) * 2003-01-17 2005-09-01 제너럴 일렉트릭 캄파니 웨이퍼 처리 장치
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US6991003B2 (en) * 2003-07-28 2006-01-31 M.Braun, Inc. System and method for automatically purifying solvents
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
WO2005059991A1 (en) * 2003-12-19 2005-06-30 Mattson Technology Canada Inc. Apparatuses and methods for suppressing thermally induced motion of a workpiece
US7190889B2 (en) * 2004-05-17 2007-03-13 Neocera, Llc Non-contact heater and method for non-contact heating of a substrate for material deposition
DE102004025150B4 (de) * 2004-05-21 2019-05-09 Mattson Technology, Inc. Lagebestimmung eines Halbleitersubstrats auf einer Rotationsvorrichtung
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
DK1630260T3 (da) * 2004-08-20 2011-10-31 Jds Uniphase Inc Magnetisk holdemekanisme til et dampudfældningssystem
US7785456B2 (en) * 2004-10-19 2010-08-31 Jds Uniphase Corporation Magnetic latch for a vapour deposition system
US7332195B2 (en) * 2004-08-26 2008-02-19 Honeywell International Inc. Chemical vapor deposition method
JP2006190795A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および急速熱処理装置
JP4934595B2 (ja) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
EP1688534A1 (de) * 2005-02-02 2006-08-09 Wolff Cellulosics GmbH & Co.KG Verwendung von Arabinoxylanen in der Papierherstellung
US7598477B2 (en) * 2005-02-07 2009-10-06 Guy Smith Vacuum muffle quench furnace
US7402778B2 (en) * 2005-04-29 2008-07-22 Asm Assembly Automation Ltd. Oven for controlled heating of compounds at varying temperatures
US7745762B2 (en) * 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
EP1739213B1 (de) * 2005-07-01 2011-04-13 Freiberger Compound Materials GmbH Vorrichtung und Verfahren zum Tempern von III-V-Wafern sowie getemperte III-V-Halbleitereinkristallwafer
EP1760170B1 (en) 2005-09-05 2011-04-06 Japan Pionics Co., Ltd. Chemical vapor deposition apparatus
US7905109B2 (en) * 2005-09-14 2011-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rapid cooling system for RTP chamber
JP5017950B2 (ja) * 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US20070125303A1 (en) * 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
JP5105396B2 (ja) * 2006-04-12 2012-12-26 東京応化工業株式会社 加熱処理装置
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
CN101460659B (zh) * 2006-06-02 2011-12-07 应用材料股份有限公司 利用压差测量的气流控制
US8571396B2 (en) * 2006-06-26 2013-10-29 Tp Solar, Inc. Rapid thermal firing IR conveyor furnace having high intensity heating section
US9301340B2 (en) 2006-06-26 2016-03-29 Tp Solar, Inc. IR conveyor furnace having single belt with multiple independently controlled processing lanes
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
JP5967859B2 (ja) 2006-11-15 2016-08-10 マトソン テクノロジー、インコーポレイテッド 熱処理中の被加工物を支持するシステムおよび方法
WO2008066804A1 (en) * 2006-11-27 2008-06-05 Momentive Performance Materials Inc. Quartz encapsulated heater assembly
KR100790729B1 (ko) * 2006-12-11 2008-01-02 삼성전기주식회사 화학 기상 증착 장치
JP2008182180A (ja) * 2006-12-26 2008-08-07 Epicrew Inc 加熱装置及び半導体製造装置
WO2008092682A1 (en) * 2007-02-01 2008-08-07 Ibp Conex Limited Insertion and release tool for pipe fitting arrangement and method using such tool
US8610033B1 (en) * 2007-03-29 2013-12-17 Moore Epitaxial, Inc. Rapid thermal process reactor utilizing a low profile dome
WO2008142747A1 (ja) * 2007-05-16 2008-11-27 Canon Anelva Corporation 加熱処理装置
JP4288309B2 (ja) * 2007-09-03 2009-07-01 キヤノンアネルバ株式会社 基板熱処理装置及び基板の熱処理方法
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US8375758B1 (en) 2007-09-13 2013-02-19 The Boeing Company Induction forming of metal components with slotted susceptors
US8017059B2 (en) 2007-09-13 2011-09-13 The Boeing Company Composite fabrication apparatus and method
US8865050B2 (en) * 2010-03-16 2014-10-21 The Boeing Company Method for curing a composite part layup
US8372327B2 (en) * 2007-09-13 2013-02-12 The Boeing Company Method for resin transfer molding composite parts
JP5444607B2 (ja) * 2007-10-31 2014-03-19 株式会社Sumco エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
KR100906341B1 (ko) * 2007-11-22 2009-07-06 에이피시스템 주식회사 급속열처리용 기판회전요동장치
JP5283370B2 (ja) * 2007-11-29 2013-09-04 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8404049B2 (en) 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20090214843A1 (en) * 2008-02-26 2009-08-27 Siltronic Corporation Controlled edge resistivity in a silicon wafer
US8388755B2 (en) * 2008-02-27 2013-03-05 Soitec Thermalization of gaseous precursors in CVD reactors
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090269939A1 (en) * 2008-04-25 2009-10-29 Asm International, N.V. Cyclical oxidation process
WO2009135148A2 (en) * 2008-05-01 2009-11-05 Thermoceramix Inc. Cooking appliances using heater coatings
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
CN101308981A (zh) * 2008-07-11 2008-11-19 永泰电子(东莞)有限公司 一种运用红外线加热的焊接工艺及焊接装置
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8581153B2 (en) * 2008-09-30 2013-11-12 Tokyo Electron Limited Method of detecting abnormal placement of substrate, substrate processing method, computer-readable storage medium, and substrate processing apparatus
US20100095890A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gas supply system, pumping system, coating system, gas supply method, and pumping method
JP5123820B2 (ja) * 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8110435B2 (en) * 2008-12-18 2012-02-07 Jusung Engineering Co., Ltd. Method and apparatus for manufacturing semiconductor device
CN101768731B (zh) * 2008-12-29 2012-10-17 K.C.科技股份有限公司 原子层沉积装置
WO2010093568A2 (en) 2009-02-11 2010-08-19 Applied Materials, Inc. Non-contact substrate processing
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
KR101091369B1 (ko) 2009-02-17 2011-12-07 엘지이노텍 주식회사 반도체 제조장치
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
JP5438992B2 (ja) * 2009-02-20 2014-03-12 昭和電工株式会社 炭化珪素半導体装置の製造方法
US8673081B2 (en) * 2009-02-25 2014-03-18 Crystal Solar, Inc. High throughput multi-wafer epitaxial reactor
US8298629B2 (en) * 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
JP2010205922A (ja) * 2009-03-03 2010-09-16 Canon Anelva Corp 基板熱処理装置及び基板の製造方法
US20100240224A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufactruing Co., Ltd. Multi-zone semiconductor furnace
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101536257B1 (ko) * 2009-07-22 2015-07-13 한국에이에스엠지니텍 주식회사 수평 흐름 증착 장치 및 이를 이용한 증착 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
CN102598130A (zh) * 2009-08-26 2012-07-18 威科仪器股份有限公司 用于在磁记录介质上制作图案的系统
JP5357689B2 (ja) * 2009-10-02 2013-12-04 三洋電機株式会社 触媒cvd装置、膜の形成方法、太陽電池の製造方法及び基材の保持体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
US8242033B2 (en) * 2009-12-08 2012-08-14 Corning Incorporated High throughput recrystallization of semiconducting materials
JP2011171450A (ja) * 2010-02-17 2011-09-01 Nuflare Technology Inc 成膜装置および成膜方法
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
EP2368860A1 (de) * 2010-03-01 2011-09-28 Saint-Gobain Glass France Vorrichtung und Verfahren zur Substratprozessierung
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
TWI398545B (zh) * 2010-04-29 2013-06-11 Chi Mei Lighting Tech Corp 有機金屬化學氣相沉積機台
FR2959757B1 (fr) * 2010-05-04 2012-08-03 Global Technologies Reacteur pyrolytique a chauffage bilateral
WO2012009636A1 (en) * 2010-07-15 2012-01-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
WO2012012376A1 (en) * 2010-07-22 2012-01-26 First Solar, Inc Deposition system
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
TWI489075B (zh) * 2010-09-28 2015-06-21 Tp太陽能公司 具有高反射率加熱區段的快速點火ir輸送帶爐
CH703545B1 (de) * 2010-10-04 2012-02-15 Rene Meier Verfahren zur Herstellung von elektroaktiviertem Wasser.
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
DE102010054919A1 (de) * 2010-12-17 2012-06-21 Centrotherm Photovoltaics Ag Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9915475B2 (en) * 2011-04-12 2018-03-13 Jiaxiong Wang Assembled reactor for fabrications of thin film solar cell absorbers through roll-to-roll processes
JP6097742B2 (ja) 2011-05-27 2017-03-15 クリスタル・ソーラー・インコーポレーテッド エピタキシャル堆積によるシリコンウェハ
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104040691B (zh) * 2011-12-27 2016-09-07 佳能安内华股份有限公司 基板热处理装置
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US20130196053A1 (en) * 2012-01-10 2013-08-01 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat Flow cell design for uniform residence time fluid flow
USD726133S1 (en) 2012-03-20 2015-04-07 Veeco Instruments Inc. Keyed spindle
USD712852S1 (en) 2012-03-20 2014-09-09 Veeco Instruments Inc. Spindle key
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
US9401271B2 (en) * 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
EP2660574A1 (en) * 2012-05-04 2013-11-06 LayTec AG Flat light emitting plate for simulating thermal radiation, method for calibrating a pyrometer and method for determining the temperature of a semiconducting wafer
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
WO2014058612A1 (en) * 2012-10-09 2014-04-17 Applied Materials, Inc. Indexed inline substrate processing tool
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
JP5904101B2 (ja) * 2012-11-22 2016-04-13 豊田合成株式会社 化合物半導体の製造装置およびウェハ保持体
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CA2843276A1 (en) * 2013-02-20 2014-08-20 Hartford Steam Boiler Inspection And Insurance Company Dynamic outlier bias reduction system and method
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6027929B2 (ja) * 2013-03-29 2016-11-16 大陽日酸株式会社 気相成長装置の調整方法
US9580806B2 (en) * 2013-08-29 2017-02-28 Applied Materials, Inc. Method of processing a substrate support assembly
KR102227281B1 (ko) * 2013-09-06 2021-03-12 어플라이드 머티어리얼스, 인코포레이티드 원형 램프 어레이들
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015076943A1 (en) * 2013-11-22 2015-05-28 Applied Materials, Inc. Easy access lamphead
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6303592B2 (ja) * 2014-02-25 2018-04-04 東京エレクトロン株式会社 基板処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015179387A1 (en) * 2014-05-21 2015-11-26 Brewer Science Inc. Multi-size adaptable spin chuck system
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6094605B2 (ja) * 2015-01-20 2017-03-15 トヨタ自動車株式会社 単結晶製造装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9982364B2 (en) * 2015-04-07 2018-05-29 Applied Materials, Inc. Process gas preheating systems and methods for double-sided multi-substrate batch processing
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
WO2016196105A1 (en) * 2015-06-05 2016-12-08 Applied Materials, Inc. Susceptor position and rotation apparatus and methods of use
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI692047B (zh) * 2015-10-09 2020-04-21 美商應用材料股份有限公司 用於epi製程之晶圓加熱的二極體雷射
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015220924B4 (de) * 2015-10-27 2018-09-27 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe mit Orientierungskerbe, Verfahren zum Abscheiden einer Schicht auf einer Halbleiterscheibe und Halbleiterscheibe
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
JP6539578B2 (ja) 2015-12-22 2019-07-03 株式会社Screenホールディングス 熱処理装置および熱処理方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CA3016541A1 (en) 2016-03-02 2017-09-08 Watlow Electric Manufacturing Company Heater element as sensor for temperature control in transient systems
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180122023A (ko) 2016-03-28 2018-11-09 어플라이드 머티어리얼스, 인코포레이티드 서셉터 지지부
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6836965B2 (ja) * 2017-06-23 2021-03-03 昭和電工株式会社 成膜装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11131022B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110211710B (zh) * 2019-06-12 2022-03-25 中国核动力研究设计院 一种多辐照目标材料辐照考验堆芯结构及布置和运行方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7236985B2 (ja) * 2019-11-15 2023-03-10 東京エレクトロン株式会社 温度計測システム、温度計測方法及び基板処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023210656A1 (ja) * 2022-04-27 2023-11-02 ローム株式会社 加熱処理装置、及びその動作方法

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3279946A (en) * 1962-08-14 1966-10-18 Merck & Co Inc Hydrogen chloride treatment of semiconductor coating chamber
DE1771305C3 (de) * 1968-05-03 1974-07-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Reinigen eines für die Halbleiterherstellung dienenden Behandlungsgefäßes aus Quarz
US3835751A (en) * 1971-10-06 1974-09-17 Leesona Corp Fluid operated system
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US3836751A (en) * 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US3916822A (en) * 1974-04-26 1975-11-04 Bell Telephone Labor Inc Chemical vapor deposition reactor
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
SE7710800L (sv) * 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4407496A (en) 1981-12-14 1983-10-04 Johnson David E Limb exercise device
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
JPS58223320A (ja) * 1982-06-22 1983-12-24 Ushio Inc 不純物拡散方法
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
GB2136937A (en) * 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4511688A (en) * 1984-02-29 1985-04-16 The Dow Chemical Company Flame retardant for use in rigid polyurethane foams
US4560420A (en) * 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS61289624A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相成長装置
US4680451A (en) * 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
EP0255454A3 (en) * 1986-07-26 1991-11-21 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5034199A (en) * 1987-11-13 1991-07-23 Kopin Corporation Zone melt recrystallization apparatus
US4851358A (en) * 1988-02-11 1989-07-25 Dns Electronic Materials, Inc. Semiconductor wafer fabrication with improved control of internal gettering sites using rapid thermal annealing
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5169684A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5104276A (en) * 1989-05-19 1992-04-14 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
US4986838A (en) * 1989-06-14 1991-01-22 Airgard, Inc. Inlet system for gas scrubber
JPH04713A (ja) * 1989-12-26 1992-01-06 Sumitomo Metal Ind Ltd 基板の加熱装置
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5034100A (en) * 1990-11-28 1991-07-23 Wilbanks International Stationary drainage device with pressure roll
JPH04202091A (ja) * 1990-11-30 1992-07-22 Furukawa Electric Co Ltd:The 化合物半導体の気相成長装置
US5106200A (en) * 1990-12-20 1992-04-21 Applied Materials, Inc. Apparatus for measuring temperature of wafer
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JP2532401Y2 (ja) * 1991-04-16 1997-04-16 ソニー株式会社 バイアスecrプラズマcvd装置
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5152842A (en) * 1991-12-05 1992-10-06 Rohm Co., Ltd. Reactor for epitaxial growth
DE4140387C2 (de) * 1991-12-07 1998-10-15 Inst Halbleiterphysik Gmbh Vorrichtung und Verfahren zur verformungsfreien Bearbeitung von Halbleitermaterialscheiben in schnellen thermischen Prozessen
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5431737A (en) * 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002521817A (ja) * 1998-07-23 2002-07-16 アプライド マテリアルズ インコーポレイテッド 赤外線透過性熱リアクタカバー部材
JP2000311895A (ja) * 1999-03-04 2000-11-07 Applied Materials Inc フッ素化bpsg膜の堆積及び平坦化の改良された方法
JP4489898B2 (ja) * 1999-03-04 2010-06-23 アプライド マテリアルズ インコーポレイテッド フッ素化bpsg膜の堆積及び平坦化の改良された方法
JP2002164423A (ja) * 2000-11-28 2002-06-07 Tokyo Seimitsu Co Ltd ウェーハリフト装置を備えたウェーハ保持装置
JPWO2014196323A1 (ja) * 2013-06-06 2017-02-23 イビデン株式会社 ウエハキャリアおよびこれを用いたエピタキシャル成長装置

Also Published As

Publication number Publication date
US5683518A (en) 1997-11-04
EP1154039A1 (en) 2001-11-14
WO1994017353A1 (en) 1994-08-04
DE69434773T2 (de) 2007-06-28
JP3859226B2 (ja) 2006-12-20
DE69434773D1 (de) 2006-08-03
EP0633997A1 (en) 1995-01-18
DE69429218D1 (de) 2002-01-10
JP2007180533A (ja) 2007-07-12
EP0633997A4 (en) 1997-05-21
US6151447A (en) 2000-11-21
EP0633997B1 (en) 2001-11-28
US5444217A (en) 1995-08-22
JP2005045213A (ja) 2005-02-17
JP4084412B2 (ja) 2008-04-30
US6310327B1 (en) 2001-10-30
EP1154039B1 (en) 2006-06-21
DE69429218T2 (de) 2002-08-29
US5710407A (en) 1998-01-20

Similar Documents

Publication Publication Date Title
JPH07505261A (ja) 半導体基板を処理するための高速熱処理反応炉
US8148271B2 (en) Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
KR101005384B1 (ko) 열처리 장치
US6737613B2 (en) Heat treatment apparatus and method for processing substrates
KR100415475B1 (ko) 기판 상에 박막을 성장시키는 장치
US6149365A (en) Support frame for substrates
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20090095731A1 (en) Mounting table structure and heat treatment apparatus
US20030019585A1 (en) Substrate processing apparatus and method for fabricating semiconductor device
US8055125B2 (en) Substrate stage mechanism and substrate processing apparatus
TWI517252B (zh) 使用微環境之熱處理加工裝置
WO1994017353B1 (en) A rapid thermal processing apparatus for processing semiconductor wafers
JP2001512789A (ja) ミニ・バッチ式プロセス・チャンバ
JP2003515950A (ja) 抵抗加熱型単一ウエハ炉
KR20110025101A (ko) 탑재대 구조 및 처리 장치
US20120219713A1 (en) Parallel batch chemical vapor deposition system
JP4282539B2 (ja) 基板処理装置および半導体装置の製造方法
JP2004055880A (ja) 基板処理装置
JP2004006737A (ja) 半導体製造装置、熱処理装置および半導体製造方法
JP2006093411A (ja) 基板処理装置
US20230117184A1 (en) Batch processing oven for magnetic anneal
KR100299113B1 (ko) 열처리장치및열처리방법
JP2007066934A (ja) 基板処理装置
JPH08162447A (ja) 半導体製造装置
JP2000252222A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060912

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061212

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070914

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20071122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080215

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110222

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees