JP2005045213A - 半導体基板を処理するための高速熱処理反応炉 - Google Patents

半導体基板を処理するための高速熱処理反応炉 Download PDF

Info

Publication number
JP2005045213A
JP2005045213A JP2004154897A JP2004154897A JP2005045213A JP 2005045213 A JP2005045213 A JP 2005045213A JP 2004154897 A JP2004154897 A JP 2004154897A JP 2004154897 A JP2004154897 A JP 2004154897A JP 2005045213 A JP2005045213 A JP 2005045213A
Authority
JP
Japan
Prior art keywords
wafer
susceptor
reactor
gas
wafers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004154897A
Other languages
English (en)
Other versions
JP3859226B2 (ja
Inventor
Gary M Moore
ムーア、ゲイリー・エム
Katsuhito Nishikawa
ニシカワ、カツヒト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Moore Epitaxial Inc
Original Assignee
Moore Epitaxial Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Moore Epitaxial Inc filed Critical Moore Epitaxial Inc
Publication of JP2005045213A publication Critical patent/JP2005045213A/ja
Application granted granted Critical
Publication of JP3859226B2 publication Critical patent/JP3859226B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】複数のサイズのウエハに対応でき、処理過程で概ね均一な温度に過熱できる、高速熱処理反応炉を提供する。
【解決手段】高速熱処理(RTP)反応炉300は、単一の若しくは2個の熱源310を用い、複数のウエハ311、312若しくは1枚の大型のウエハを処理する。1枚のウエハ若しくは複数のウエハ311,312は、サセプタ支持部304によって支持された回転可能なサセプタ302の上に配置されている。サセプタ位置制御部は、処理過程中にウエハ311,312を回転させ、かつウエハ311,312をローディングしかつ処理するための様々な位置でサセプタ302を上昇及び降下させる。熱制御部が、処理過程中にウエハを概ね均一な温度に加熱する単一の熱源若しくは2個の熱源を制御する。ガス流制御部は、反応チャンバ内に流れこむガス流を制御する。
【選択図】図3

Description

本発明は、半導体ウエハの熱処理に関し、特に複数枚の半導体ウエハ及び1枚の大型半導体ウエハの高速熱処理方法及び装置に関する。
半導体ウエハの表面に膜を堆積させる過程は、半導体処理の共通の過程である。典型的には、半導体ウエハを収容した堆積チャンバ内で、選択された化学ガスが混合される。通常、チャンバ内のガスの化学反応を促進し、かつ膜が堆積されるウエハの表面を加熱するために熱が加えられる。
堆積過程では、堆積された膜の厚さ及び抵抗率を均一に保ちながら、ウエハのスループット(即ち単位時間当たりに処理されるウエハの数)を最大にすることが望まれる。均一な厚さ及び抵抗率を得るには、ウエハを均一な温度に保つことが重要である。
多くの異なる堆積反応炉が開発されてきた。一般的に、各堆積反応炉は、反応チャンバと、ウエハ取扱いシステムと、熱源及び温度制御部と、ガス供給システム(吸気、排気、及び流量制御システム)とを有する。
図1−Aには、水平炉と呼ばれる従来技術の1つの形式の堆積反応炉100の簡略化された断面図が示されており、この水平炉内では、サセプタ101が水平な管102(通常は長方形の断面を有する)内に配置されており、この水平な管102の内部が反応チャンバとなっている。半導体ウエハ103a、103b及び103cが、サセプタ101の表面101aに載置されている。熱源104がウエハを加熱し、反応ガス105が管102を通して流れ、ウエハの周囲を通過する。サセプタ101は、図1−Aに示されているように傾いて配置されており、表面101Aは、反応ガス105の流れに向かい合い、反応ガス105の流れの端部付近のウエハの近傍で反応ガスが枯渇する問題が発生することを最小にする。
図1−Bは、バレル反応炉と呼ばれる従来のある形式の反応炉110の概略斜視図であり、この反応炉内では、サセプタ111は反応炉を画定するベルジャ112の内部に支持されている。半導体ウエハ、例えばウエハ113は、サセプタ111の側面例えば側面111aにほぼ垂直に載置されている。熱源114がウエハを加熱し、反応ガスがガス入口115を通してベルジャ112の上部に供給される。ガスは、サセプタ111の長手方向に沿って下向きに移動し、ウエハの表面の上を通過し、かつベルジャ112の底部のガス出口(図示されていない)を通って反応炉から排気される。
図1−Cは、パンケーキ(pancake)反応炉と呼ばれる従来の更に他の形式の反応炉120の概略断面図であり、この反応炉内では、垂直方向に固定されたサセプタ121が、反応チャンバを画定するベルジャ122の底部から支持されている。半導体ウエハ、例えばウエハ123は、サセプタ121の表面121aの上で水平に載置されている。ウエハはRF熱源(図示されていない)によって加熱され、反応ガスはサセプタ支持部125を通って反応チャンバ内のウエハの上部に供給される。反応ガスは下向きに流れてウエハの上部に達し、ベルジャ122の底部のガス出口(図示されていない)を通して排気される。
堆積反応炉は、その動作の特徴に基づいて分類される。即ち、反応炉はコールドウォール(cold wall)反応炉またはホットウォール(hot wall)反応炉の何れかである。コールドウォール反応炉は、チャンバの壁面に好ましくない堆積が形成されないために、通常はより好ましいものである。
反応炉はまた、ウエハを加熱及び冷却するために要する時間によって分類される。従来の反応炉では、ウエハのバッチを処理するために必要な1サイクルに40〜90分を要した。一方、高速熱処理(rapid thermal process)(RTP)反応炉は、ウエハの処理に2〜15分のみを要する。従って、高速熱処理反応炉は、従来の反応炉の処理時間よりも十分に短い処理時間を要することを特徴とする。
従来の反応炉は、1つのバッチで複数枚のウエハまたは一枚のウエハを処理するために用いられてきたが、一方RTP反応炉は、一枚のウエハを有するバッチを処理するために用いられてきた。RTP反応炉は、複数枚のウエハを備えたバッチを処理するためには用いられない。その理由は、RTP反応炉の温度が迅速に変化するので、反応チャンバ内に均一な温度の領域を形成することが困難となるためである。反応チャンバ内の均一な温度の領域によって、反応炉で処理できるウエハが、概ね200mm以下の直径の一枚のウエハに限定される。
従来の反応炉とは異なり、RTP反応炉は同時に一枚のウエハのみを処理するが、従来の反応炉によって可能な抵抗率及び厚さよりもより均一な抵抗率及び厚さを形成することができるために、RTP反応炉が用いられている。従来の反応炉では、厚さ及び抵抗率のばらつきは3〜10%となっている。RTP反応炉では、厚さのばらつきは1〜2%であり、抵抗率のばらつきは1〜5%である。
反応炉はまた、反応チャンバ内のウエハの姿勢に基づいて分類される。垂直反応炉は、その内部でガスが堆積される表面がほぼ垂直に配置されている。水平反応炉は、その内部でガスが堆積される表面がほぼ水平に配置されている。
反応炉はまた、ウエハを加熱するために用いられる熱源の形式に基づいて分類される。半導体処理のために放射加熱を用いる方法は、従来から公知であり、1960年代まで遡ることができる。半導体を処理するためにさまざまなシステムが開発され、これらのシステムは放射加熱用の熱源またはRF熱源及びサセプタを備えている。しかし、これらの装置の各々は少なくとも1つの問題点を有する。
シーツ(Sheets)による米国特許第4,649,261号「Apparatus for Heating Semiconductor Wafers in Order To Achieve Annealing, Silicide Formation, Reflow of Glass, Passivation Layers, etc.」に開示された発明では、2つの放射熱源即ち連続した熱を放射する熱源及びパルス状の熱を放射する熱源が用いられており、静止したウエハを1秒間に200℃〜500℃の割合で加熱している。シミズ(Shimizu)による米国特許第4,533,820号「Radiant Heating Apparatus」は、ペデスタルによって支持された半導体ウエハを加熱する複数の2次元に配置された光源によって囲繞された反応チャンバが開示されている。シミズは、光源が点灯した後に3分間で均一な酸化膜が半導体ウエハに形成されることを報告している。
半導体ウエハを加熱するために2つの放射熱源を用いた他の構造が、例えば、1987年7月14日にガット(Gat)らに発行された米国特許第4,680,451号「Apparatus Using High Intensity CW Lamps for Improved Heat Treating of Semiconductor Wafer,」、及び1985年10月29日にアライ(Arai)らに発行された米国特許第4,550,245号「Light−Radiant Furnace for Heating Semiconductor Wafers,」に開示されている。ガットらは、10.16cm(4インチ)のウエハを3秒間で700℃に加熱し、10秒間この温度に保持し、次に3秒間で温度を低下させることを報告している。アライらは、放射熱源の各ランプに1600Wの電力を供給して、厚さ450μm、面積25.81cm(4平方インチ)のシリコンウエハを、ランプが点灯された後10秒間で1200℃に加熱したことを報告している。
半導体ウエハを加熱するための更に他の装置が、ロビンソン(Robinson)らによる米国特許第4,789,771号明細書に開示されており、この明細書には、ウエハが反応チャンバのサセプタの上に支持されていることが記載されている。この装置では、赤外線ランプが反応チャンバを加熱するように配置されている。この構造はいくつかの欠点を有するものである。例えば、放射加熱ランプが反応チャンバ内のガスにさらされるために、ランプの表面に堆積物が形成される。更に、ランプ及び反射器の内側面はチャンバ内のガスの流れによってのみ冷却されるので、ランプの寿命が短くなると予想される。また、ランプの石英ガラスばかりでなくランプの反射器が明らかに高温度となるために、長時間に亘って使用されるうちに、ランプ及び反射器の表面に堆積物が形成され、この堆積物がサセプタの上に形成される層の均一性に影響を及ぼすことになる。更に、放射エネルギーを完全には透過しないサセプタの回転機構によって、サセプタの裏側面全体が直接加熱されることが妨げられるので、サセプタの表面を均一に加熱するための特別な機構が必要とされる。
本発明の新規な高速熱処理(RTP)反応炉は、1枚の半導体ウエハばかりでなく、複数枚の半導体ウエハをも処理する。本明細書で説明されるRTP反応炉は、従来の反応炉の処理サイクル時間と比べてその処理サイクル時間が短いことを特徴とする。ウエハを迅速に加熱することは、本発明の反応炉を特徴づける短い処理サイクル時間の要因の1つである。本発明に基づくRTP反応炉は、ひとつの熱源または2個の熱源を用いて、複数枚のウエハもしくは1枚の大型なウエハ、例えば250mm(10インチ)、300mm(12インチ)及び400mm(16インチ)の直径のウエハを処理する(以下、ウエハの寸法をウエハの直径で表す)。
本発明のある実施例に基づけば、1つのバッチで、125mm(5インチ)及び150mm(6インチ)のウエハは4枚処理され、200mm(8インチ)のウエハは3枚処理され、250mm(10インチ)、300mm(12インチ)及び400mm(16インチ)のウエハは各々1枚づつ処理される。しかし、本発明の原理を用いたより大型の反応炉を用いることによって、より大型のバッチを処理することができる。
より詳しく説明すれば、本発明の半導体処理構造は、反応チャンバ内に取り付けられた回転可能なサセプタを備えた反応チャンバを有する。回転可能なサセプタは、(1)1枚のウエハまたは(2)複数枚のウエハの何れか一方を取り付けるように適合された第1の表面と、前記第1の表面の反対側の第2の表面とを有する。放射熱源が反応チャンバの外側に取り付けられており、放射熱源からの放射熱が、回転可能なサセプタに載置された1枚のウエハまたは複数枚のウエハを直接加熱する。放射熱源は、1枚のウエハまたは複数枚のウエハの温度を、概ね均一なプロセス温度に上昇させ、このプロセス温度は、この半導体処理構造が高速熱処理反応炉として特徴づけられるような時間内で良好な処理結果を得ることのできるような十分に均一な温度である。
他の実施例では、半導体処理構造は更に、反応チャンバ内に回転可能なサセプタの第2の表面の近傍に取り付けられた加熱器を有する。この加熱器は、例えば抵抗加熱器からなる。抵抗加熱器へは絶縁された電源ラインから電力が供給され、この電源ラインは反応チャンバの動作温度よりも低い温度定格を有する絶縁被覆を有する。絶縁された電源ラインを反応チャンバの動作温度に対して熱的に絶縁するために、この電源ラインは環状シャフト内を配線されている。
前記環状シャフトは、壁面と、抵抗加熱器に取着された第1の端部と、第2の端部と、前記第1及び第2の端部に対して垂直な方向に前記第2の端部から前記第1の端部へ前記壁面に延在する導管とを有する。環状シャフトの第2の端部は、反応チャンバの外部に配置されている。絶縁された電源ラインは、前記導管を通って抵抗加熱器に接続されているので、反応チャンバの動作温度から熱的に絶縁されている。ある実施例では、ねじ、好ましくはモリブデン製のねじが、絶縁された電源ラインを抵抗加熱器に接続している。
本発明のある実施例では、回転可能なサセプタは、石英ガラスからなり、かつ第1の表面はビードブラスト(bead blast)され、一方第2の表面は炎研磨(flame polish)されている。サセプタは、各ウエハを支持するポケットを有する。このポケットの深さは、ウエハの厚さと等しいかまたはウエハの厚さよりもわずかに浅く、ウエハがポケット内に載置されたとき、ウエハの表面はサセプタの第1の表面と同一平面にあるかまたは第1の表面からわずかに低い位置にある。
1枚のウエハが処理される場合、ポケットの中心は、回転可能なサセプタの中心と一致するか若しくはサセプタの中心から変位して配置されている。前記ポケットの中心を変位して配置することによって、ウエハのローディング及びアンローディングが容易となる。
ウエハの温度を均一にすることを強化するために、ウエハ囲繞リングが、少なくともウエハのエッジ部分を囲繞するべく、サセプタのポケット内に配置されている。他の実施例では、その内部にサセプタインサート及びまたはスピンドルが配置される開口部が、ウエハ囲繞リングの中心に形成されている。ウエハ囲繞リングの凹部と、サセプタインサート及び/またはスピンドルは、等しい深さを有し、ウエハがウエハ囲繞リング及びサセプタインサート及び/またはスピンドルの上に載置されたとき、ウエハの表面がサセプタの前記第1の表面と同一平面にあるかまたは第1の表面からわずかに低い位置に配置され、ウエハは前記ポケットの外側エッジ面によって定位置に保持される。他の実施例では、ウエハ囲繞リングの表面に、ウエハのエッジ付近の表面に隣接する溝が形成されている。ウエハ囲繞リングには、ウエハが当接する傾斜した棚が形成されても良く、ウエハが加熱されて湾曲したとき、ウエハの表面は概ねウエハ囲繞リングの表面と接触した状態を保つ。
更に他の実施例では、反応チャンバ内の加熱器は、受動熱分配要素に置き換えられ、この熱分配要素は、回転可能なサセプタの第2の表面の近傍に取り付けられている。前記受動熱分配要素は、石英ガラスで被覆されたまたは石英ガラスの上に配置されたシリコンカーバイドを含む。代わりに、黒鉛を用いることもできる。
本発明の反応炉内にプロセスガスを注入するために、反応チャンバ内に取着された複数のガス噴出孔若しくは中心に配置されたガス注入ヘッドが用いられる。反応チャンバは、水冷された側壁と、水冷された底壁と、強制空冷された上壁とを備えた容器によって画定されている。空気によって冷却された上部の壁は、ドーム型の石英ガラスからなる。
本発明の放射エネルギー源は、複数のランプバンクを有し、このランプパンクには少なくとも1個のランプが備え付けられている。このランプは、タングステン電極を備えたクォーツハロゲンランプからなる。
本発明の新規な反応炉には、環状シャフトに連結されかつサセプタ支持手段に連結されたサセプタ配置機構が更に備えられている。このサセプタ配置機構は、環状シャフト及びサセプタ支持手段を第1の方向に移動し、これによって回転可能なサセプタを第1の方向に移動させる。
本発明の更に他の実施例では、半導体ウエハを処理する反応炉は、頭部を備えたテーブルに取り付けられた反応チャンバ容器を有する。第1の方向に延在する溝には、シェルが移動可能に接続されており、前記溝は、テーブルに固着されている。前記シェルは、連結手段によって前記トラックに移動可能に接続されている。前記連結手段は、前記シェルに取着された複数のコネクタを有する。前記複数のコネクタは、前記シェルに選択的に着脱可能である。
前記連結手段が前記溝に沿って移動するとき、前記シェルは前記表面と接触する第1の位置から、前記テーブルの表面から隔てられた第2の位置へ、第1の向きに移動する。シェルが第2の位置にあるとき、複数のコネクタの1つをシェルから遮断することによって、シェルは、前記第1の方向とほぼ直交する第2の方向に沿って移動可能となり、前記シェルによって制限されずに、前記反応チャンバ容器に接近することが可能となる。
ある実施例では、前記連結手段は溝に移動可能に接続されたヨークを有する。このヨークは、第1及び第2のボスと、第3及び第4のボスとを有する。第1及び第2のボスの各々は、その内部に形成された開口部を有し、この第1及び第2のボスの開口部の中心は互いに等しい軸上に配置されている。第3及び第4のボスもまた、その内部に形成された開口部を各々備え、この第3及び第4のボスの開口部の中心も互いに等しい軸上に配置されている。シェルは、貫通する開口部を備えた第1のボスと、貫通する開口部を備えた第2のボスとを有する。
ヨークの第1のボスの開口部と、シェルの第1のボスの開口部と、ヨークの第2のボスの開口部とを貫通する第1のピンが、ヨークとシェルとを連結している。ヨークの第3のボスの開口部と、シェルの第2のボスの開口部と、ヨークの第4のボスの開口部とを貫通する第2のピンが、ヨークとシェルとを連結している。第1のピンを取り除くことによって、シェルは第2の方向に移動可能となる。
上述されたように、本発明のサセプタは、半導体ウエハを載置するように適合された第1の表面と、前記第1の表面の反対側の第2の表面とを有する。ある実施例では、サセプタは、第1の表面から第2の表面へ貫通する複数の開口部を更に有する。ウエハ支持ピンが、サセプタの開口部の各々の中に収容されている。ウエハ支持ピンは、第1の位置にあるときサセプタ内に収容されており、また第2の位置にあるとき半導体ウエハを第1の表面の上で保持する。各々のウエハ支持ピンに対応する複数の支持部が、反応炉内に取り付けられており、サセプタが所定の位置に配置されているとき、複数の支持部は複数のウエハ支持ピンに係合し、かつウエハ支持ピンを第2の位置に保持する。サセプタが他の所定の位置に配置されているとき、複数のウエハ支持ピンは第1の位置に配置されている。
本発明のRTP反応炉の石英ガラス部分及びサセプタに堆積したシリコンは、RTP反応炉を通して予め決められた百分率のHClを含むガスを流す過程と、RTP反応炉の壁への冷媒の流れを減少し、壁の温度をシリコン堆積過程の通常の動作時の壁の温度よりも高く保つ過程とからなる方法を用いることによってエッチングされる。
その表面に対して垂直方向に移動可能なサセプタを備えた高速熱処理反応炉の反応チャンバ内の微粒子による汚染は、反応チャンバの壁を貫通する支持手段にサセプタを取着し、支持手段に取着された機構によって、サセプタを前記垂直な方向に反応チャンバの外へ移動させ、これによって反応チャンバ内の部品の数を制限することで減少させることができる。
本発明の原理に基づけば、1枚の半導体ウエハだけでなく、複数枚の半導体ウエハを処理する新規な高速熱処理(RTP)反応炉が提供される。ここで、RTP反応炉は、従来の反応炉に比べ処理サイクル時間が短い反応炉である。本発明のRTP反応炉は、10℃/秒と400℃/秒との間の割合で1枚のウエハまたは複数枚のウエハを加熱することができる。ある実施例では、本発明のRTP反応炉は、ウエハを20℃/秒の割合で加熱する。他の実施例では、本発明のRTP反応炉は、ウエハを10℃/秒の割合で加熱する。ウエハの迅速な加熱は、本発明のRTP反応炉を特徴づける短い処理サイクル時間の要因の1つである。本発明に基づくRTP反応炉は、単一の熱源または2つの熱源を用いて、複数枚のウエハまたは、1枚のウエハ、例えば、250mm(10インチ)、300mm(12インチ)、400mm(16インチ)の直径のウエハを処理する(以下、ウエハの寸法は、ウエハの直径によって表す)。
本発明のある実施例に基づけば、1つのバッチで125mm(5インチ)または150mm(6インチ)のウエハが3枚処理され、200mm(8インチ)、250mm(10インチ)または300mm(12インチ)のウエハは各々1枚づつ処理される。しかし、本発明の原理に基づく大型の反応炉を用いることによって、より長寸のバッチを処理することができる。例えば、本発明の他の実施例に基づくRTP反応炉は、1つのバッチで150mm(6インチ)のウエハを4枚処理し、200mm(8インチ)のウエハを3枚処理し、300mm(12インチ)または400mm(16インチ)のウエハを1枚処理する。
図2−Aは、複数枚のウエハ210を処理するための、本発明のある実施例に基づくRTP反応炉200の概略断面図である。ウエハ210は、サセプタ支持部212によって支持されたサセプタ201に載置される。サセプタ位置制御部202は、処理中にウエハ210を回転させると共に、ウエハ210をロードしかつ処理するために、サセプタ210を様々な位置へ上昇及び下降させる。熱制御部203は、ウエハ210を処理する間、概ね均一な温度に加熱する単一の熱源204を制御する。ガス流制御部205は、入口導管206とガス注入ヘッド207を通して反応炉200の反応チャンバ209内へ流れ込むガスの流れを調節し、かつ出口導管208を通して反応チャンバ209からガスを排気する。
図2−Bは、複数枚のウエハ210を処理するための、本発明の他の実施例に基づくRTP反応炉220の概略断面図である。図2−Aに示されているように、反応炉220は、サセプタ201、サセプタ支持部212、サセプタ位置制御部202、熱制御部203、熱源204、ガス流制御部205、入口導管206、出口導管208、ガス注入ヘッド207及び反応チャンバ209を有する。反応炉220はまた、熱制御部203によって制御された第2の熱源224を有する。
図2−Cは、1枚の大型のウエハ250を処理するための本発明の他の実施例に基づくRTP反応炉204の概略断面図である。ウエハ250はサセプタ241の上に載置される。反応炉240の構成要素の他の部分は、反応炉220と等しい。詳細に説明すれば、反応炉240は、2個の熱源204及び224を有する。図2−A〜図2−Cは、以下に説明されるように、その中心部からガスが注入されるRTP反応炉を例示しているが、これらのRTP反応炉の各々では、側壁からガスを注入するための複数の噴出孔を用いることもできる。
複数枚のウエハまたは1枚の大型のウエハを処理するために用いられた従来の反応炉では、長い加熱サイクル、処理サイクル及び冷却サイクルが必要とされた。即ち、1100℃に加熱することが必要な堆積過程では、加熱、処理及び冷却に必要な総時間は、従来の反応炉を用いた場合、概ね45〜90分である(本明細書の説明では、堆積過程は、フィルムがウエハの上に成長させられる処理時間を含んで定義されている)。等しい処理及び温度に対して、RTP反応炉200、220及び240を用いた場合、加熱、処理及び冷却のための必要な時間は、非常に短い(即ち2〜20分)。
反応炉200、220及び240では、サセプタ201の熱質量(thermal mass)によって、サセプタを用いていない反応炉と比べ、加熱及び冷却時間が増加するが、サセプタ201が、複数枚のウエハ210(図2−A及び図2−B)の各ウエハまたは1枚のウエハ250(図2−C)の中心部分と周辺部分との間の温度差を最小にし、これによってウエハ210またはウエハ250を処理する間に、従来の反応炉と比べ、定常状態でのウエハ210またはウエハ250の温度の均一性が高められる。更に、以下により詳しく説明されるように、サセプタ201の材料は、サセプタ201に関連する不利益な熱効果を最小にするように選択される。
熱源204(図2−A及び図2−C)は、放射熱源からなる。熱源224(図2−B及び図2−C)は、抵抗加熱器からなる。代わりに、本明細書の開示内容の観点から、当業者は、RTP反応炉220または240の熱源224を抵抗加熱器ではなく、RF熱源を用いて実施することもできる。
図2−A〜図2−Cに例示された本発明の各実施例では、ウエハ210またはウエハ250の温度がほぼ均一となるように、熱源204(図2−A)または熱源204及び224(図2−B及び図2−C)が、ウエハ210またはウエハ250の温度を、周囲温度から定常状態のプロセス温度まで迅速に上昇させ、処理が行われている間、この概ね均一な温度を保持する。処理が終了した後に、ウエハ210またはウエハ250は、水素ガスによって冷却され、次に窒素ガスが反応チャンバ209から反応ガスをパージするために用いられる。迅速に加熱することによって、ウエハ210またはウエハ250を迅速に処理することができる。概ね均一なウエハの温度は、多くの半導体処理過程では重要であり、例えば、概ね均一な温度が受容可能な均一な厚さ及び抵抗率を得るために特に重要な、エピタキシャル層の形成過程で重要である。
ここで、「概ね均一な温度」は、実施される特定な処理過程に対して、受容可能な質を備えた処理過程を達成するために必要な温度の分布を意味している。例えば、エピタキシャル過程では、温度の分布は、ずれ、厚さの均一性及び抵抗率の均一性に対する工業規格を少なくとも満たすウエハを形成するべく、十分に均一でなければならない。実際、本発明のRTP反応炉では、温度の均一性は、以下に詳しく説明されるように、エピタキシャル過程では、工業規格よりも良好な結果が得られるものとなっている。
本発明の重要なアスペクトは、反応チャンバ209内の多くの構成要素の個数が最小化されているということである。特に、反応チャンバ209内に含まれる構成要素は、サセプタ201、サセプタ支持部212、熱源224(適切な場合)及びガス注入ヘッド207のみである。即ち、反応チャンバ209内にサセプタ配置制御部202のすべてまたは一部が含まれている従来の反応炉と比較して、反応チャンバ209内の潜在的な汚染物質源を非常に減少することができる。
RTP反応炉200、220及び240は、200mm(8インチ)以下の1枚のウエハのみを処理する従来のRTP反応炉を用いる全ての処理を実施するために用いることができる。例えば、RTP反応炉200、220及び240は、アニールまたは、層または導電性領域をウエハ上に形成しない他の半導体処理過程に用いることができる。
例えば、約1100℃での約2秒間のアニールによって、約80keVのイオン加速電圧で注入されたドーズ量1×1016の砒素イオンからの損傷を除去し、十分に活性化する。典型的には、反応炉200、220及び240を用いた迅速な熱アニールは、約1秒から約15秒の範囲で実施され、かつ約800℃から約1200℃の範囲のピーク温度を有する。活性化されるドーパントの割合は、典型的には約50%から約90%の範囲である。当業者には明らかなように、特定の持続時間及びピーク温度は、注入されるドーパント濃度及び種によって決定される。
アニールに加え、RTP反応炉200、220及び240は、金属接触部を焼結するためにも用いられる。堆積の後に、金属と半導体との良好な接触部を形成するために、RTP反応炉200、220及び240の何れもが、半導体と金属の組合せを、金属と半導体の境界面で相互拡散及び合金化が生ずる温度まで加熱する。例えば、アルミニウムに対しては、この温度は、不活性ガスまたは水素雰囲気中で約5秒から約20秒間の範囲の持続時間に亘って約450℃から約500℃の範囲内にある。
代わりに、RTP反応炉200、220及び240は、シリコン化合物とシリコンとの間のオーミックコンタクトを形成するためにも用いられる。このような用途では、通常は耐火金属からなる薄い金属層が、ウエハの上に堆積され、ウエハがRTP反応炉200、220及び240の何れか1つの反応炉によって加熱され、金属がシリコンと接触する位置でシリコン化合物が形成される。次に、反応していない金属がエッチングによって除去される。金属・シリコン化合物は、加熱過程の時間または温度の何れにも特別に影響されずに形成される。耐火性シリコン化合物に対して、加熱温度は約800℃から約1100℃の範囲にあり、加熱時間は約1秒から約80秒の範囲にある。
上述された過程のみが、特定の層または特定の複数の層を備えた半導体ウエハを加熱するべく、RTP反応炉200、220及び240を用いる。RTP反応炉200、220及び240はまた、支持部の上に特定の層、例えば酸化膜、種々の絶縁層、誘電体層及びパッシベーション層をシリコンウエハまたは化合物半導体ウエハの上に形成するため、またはエピタキシャル層をシリコンウエハの上に形成するために用いられる。RTP反応炉200、220及び240はまた、300〜600℃の温度での化合物半導体処理過程に用いられる。RTP反応炉200、220及び240は更に、フラットパネルディスプレイを製造するためにも用いられる。
更に、本明細書の開示内容の観点から、当業者は、ポリシリコンの成長のような気相成長法のためにRTP反応炉200、220及び240を用いることもできる。
即ち、シリコンエピタキシャル層が、シリコンウエハの表面の上に形成される。ウエハは、900℃から1200℃の温度に加熱され、かつシリコンソースガスまたはドーパントソースガスのような1種類または複数の反応ガスと混合された水素キャリアガスからなる混合ガスにさらされる。シリコン層は、シリコン基板と等しい結晶方向を有するようにシリコン基板の上に堆積される。
以下に、本発明の個々のアスペクトがより詳しく説明される。これらの説明は、1枚のウエハの処理に関して説明されることもあり、または複数枚のウエハの処理に関して説明されることもある。しかし、以下の各説明では、1枚または複数枚のウエハが単一のバッチで処理されることが理解される。一般的に、本発明は、1回につき1枚または複数枚のウエハを処理する過程を含む。更に、以下に、特定の寸法のウエハに対する特定のバッチの寸法について説明が行われるが、本発明は、以下に説明される寸法以外の寸法のバッチを処理する過程をも含むことが理解される。一般的に、本発明は、特定のウエハの寸法に対する特定のバッチの寸法の処理過程に限定されるものではなく、かつ特定の寸法の複数枚のウエハを処理する過程に限定されるものでもない。
図3、図4、図5及び図6は、本発明に基づくRTP反応炉300、320、340及び360の概略断面図である。図3、図4、図5及び図6は、本発明に基づく反応炉の基本的な構成要素を表し、かつ本発明に基づく反応炉に対する、熱源とガス注入システムのいくつかの可能な組合せを例示している。
図3は、1枚または複数枚の半導体ウエハ、例えばウエハ311、312を処理するためのRTP反応炉300の概略断面図である。反応炉300は、容器301、サセプタ302、サセプタ支持部304、放射熱源310(複数のランプ305及び反射器306を含む)、受動熱分配要素307、側壁に設けられた注入ガス噴出孔314a、314b、及びガス排気パイプ309a、309bを有する。
容器301は、底壁301a、側壁301b及びドーム型の上壁301cから形成されている。底壁301a、側壁301b及び上壁301cは、反応チャンバ303を画定している。底壁301a及び側壁301bはステンレス鋼から形成され、かつ石英ガラスを内張りされている。ある実施例では、底壁301aは円形であり、かつ側壁301bは円筒形である。ドーム型の上壁301cは、放射熱源310からの放射熱が上壁301cによってほとんど吸収されることのないように石英ガラスから形成されている。従って、放射エネルギーは上壁301cによって妨害されずに上壁301cを通過してウエハ311及び312を直接加熱する。
上壁301cの形状は、いくつかの要因に基づく折衷案として選択されたものである。例えば低圧のBICMOS過程の間、例えば100トル以下の真空に反応チャンバ303が保持された場合、上壁301cの形状が平坦な形状に近づくほど、上壁301cがつぶれる可能性が増加する。一方、上壁301cの曲率が増加すると、放射熱源310がウエハ311、312からより遠ざけられ、そのために、ウエハ311、312の所定の温度を保持するべく、放射熱源310からのより大きなエネルギーが必要となる。更に、上壁301cの曲率が増加すると、ウエハ311及び312からの上壁301cへの距離が増加し、プロセスガスの少なくとも一部をより長い距離に亘って下降させられなければならず、このためガスがウエハ311及び312の上に堆積される前により長い加熱時間が必要となる。上壁301cの曲率はまた、プロセスガスがウエハ311及び312の上に下降するとき、プロセスガスの流れに影響を与える。
上壁301cの正確な形状は、多くの異なる形状について試験し、かつ上壁301cの形状によって影響を受ける上述された特性の好ましい組合せを得ることのできる形状を選択することによって実験的に決定される。図3、図4、図5及び図6では、上壁301cは、ほぼ円弧形の外観を形成する断面の形状を有する。図7−Aは、湾曲したまたは「ベル」形の外観を有する上壁381aを含む、本発明の他の実施例に基づく容器381の概略断面図である。
図8は、本発明に基づく反応炉と共に用いられる容器の上壁371の断面である。上壁371の断面の形状はほぼ円形である。
上壁371は、フランジ371aの底面371bから上壁371の内側の最も高い点までの垂直距離として定義される高さと直径との比(H/d)を有する。好ましくは、上壁371は、H/dが3〜5となる形状を有する。本発明に基づく反応炉は、この好ましいH/dを有するように形成される。
本発明の他の実施例に基づけば、上壁371の正確な形状は、上壁301cの中心を通過する上壁301cに垂直な線に沿って測定されたある曲率半径によって、または2つ以上の曲率半径の組合せによって定義される。例えば、上壁371は432mm(17インチ)〜570mm(22.5インチ)の直径372、及び約305mm(12インチ)〜533mm(21インチ)の曲率半径とを有する。曲率半径の組合せが用いられる場合、上壁371の中心の曲率半径は、例えば、約254mm(10インチ)〜305mm(12インチ)であり、上壁371の周縁部分での曲率半径は、例えば343mm(13.5インチ)〜406mm(16インチ)である。高さ375は、上述された曲率半径及び上述されたH/dに従うように変化する。
ガス偏向棚373が、容器の反応チャンバ内の側壁に隣接して石英ガラスの上に配置されている。ガス偏向棚373は、例えば、石英ガラスから形成され、サセプタ376及びウエハ内の温度分布をできるだけ乱すことのないようにされている。ガス偏向棚373によって、サセプタ376と反応炉の側壁との間を通過する可能性のあるガスは、サセプタ376の表面の上を通過する(即ちウエハまたは複数枚のウエハの上を通過する)。ガス偏向棚373はまた、サセプタ376のエッジ部分の近傍を通過するガスの速度を増加させるが、その理由は、ガス偏向棚373が配置されていない場合にサセプタ376と反応炉の側壁との間に存在する開口部よりも、少数のより小型の開口部374が、サセプタ376とガス偏向棚373の間に存在するためである。処理過程の所望の均一性を達成すガスの流れを形成するように、ガス偏向棚373とサセプタ376との間のより小型の開口部を形成するべく、ガス偏向棚373の長さを所望の値に変化させることができる。
ウエハ311、312(図3)は、反応チャンバ303内の円形サセプタ302の上に載置されている。ある実施例では、各ウエハ311、312は、サセプタ302の「ポケット」と呼ばれる凹部内に配置されている。凹部の深さは、ウエハの表面311a、312aが、ほぼサセプタ302の表面302aと等しい高さとなるように選択される。凹部の直径は、「ウエハ囲繞リング」と呼ばれる(以下により詳しく説明される)サセプタリングが、対応するウエハ311または312の周囲の各凹部内に嵌合されるように選択される。
図7−B及び図7−Cは各々、サセプタ382の上にウエハ391を載置するための他の手段を例示した、本発明の他の実施例に基づく、サセプタ382の側面図及び上面図である。図3、図4、図5及び図6のウエハ311、312のように凹部内に配置されるのではなく、ウエハ391は、サセプタ382の表面382eの上に配置され、かつポスト382a、382b、382c、382dによって横方向の定位置に保持されている。ポスト382a、382b、382c、382dは、石英ガラスから形成されている。代わりに、以下に説明される本発明のいくつかの実施例の場合のように、サセプタ382が黒鉛から形成されている場合、ポスト382a、382b、382c、382dを黒鉛から形成することもできる。ポスト382a、382b、382c、382dは、サセプタ382の他の部分と一体形成されるか、またはサセプタ382とは別個に形成されて、サセプタ382に形成された対応する開口部内に圧力締めによって取着される。4個のポスト382a、382b、382c、382dが図示されているが、他の個数のポスト、例えば3個のポストを用いることもできることが理解される。
サセプタ支持部304(図3)は、サセプタ302を反応チャンバ303の選択された位置に保持する。サセプタ支持部304は、上昇または下降し、ウエハ311、312の反応チャンバ303内での位置を変える。ある実施例ではサセプタ302及び受動熱分配要素307は、ウエハ311、312を反応チャンバ303内で加熱する間、第1の方向の第1の位置(操作位置)に配置され、ウエハ311、312を反応チャンバ303から除去するときまたは反応チャンバ303内へ載置するとき、前記第1の位置とは異なる第1の方向の第2の位置(ローディング位置)に配置される。
サセプタ302、サセプタ支持部304及び受動熱分配要素307は、図3、図4、図5、及び図6では、ローディング位置に配置されて図示されている。ウエハ311、312は、1つのロボットまたはウエハ取扱いシステム(図示されていない)によって、側壁301bに形成されたドア313を通して反応チャンバ303内に載置され、及び反応チャンバ303から除去される。ローディング位置は、ロボットまたはウエハ取扱いシステムが、反応チャンバ303内に容易に延出し、かつウエハ311、312をサセプタ302の上に載置することができるように選択されている。
以下により詳しく説明されるように、サセプタ302がローディング位置にある場合、ある実施例では、ピン(図示されてない)がサセプタ302を貫通して形成された対応する開口部を通して延出し、ウエハ311、312を表面302aの上に上昇させる。更に他の実施例では、ピンがサセプタ302に形成された開口部を通して延出し、ウエハ311、312が載置されたウエハ囲繞リングを上昇させる。各々のウエハ311、312またはウエハ囲繞リングを上昇させるために任意の個数のピンを使用することができるが、例えばウエハ311またはウエハ囲繞リングを安定して支持するためには少なくとも3個のリングを用いることが好ましい。ある実施例では、3〜8個のピンが用いられている。機械的な複雑さを最小にするために、使用されるピンの数を最少にすることが一般的に望まれるので、ピンがウエハ311、312を上昇させる本発明の更に他の実施例では、サセプタ302の周縁部に半径方向に120°の間隔を置いて配置された3個のピンが、125mm(5インチ)、150mm(6インチ)及び200mm(8インチ)のウエハを支持するために用いられ、90°の間隔を置いて配置された4個のピンが、250mm(10インチ)及び300mm(12インチ)のウエハを支持するために用いられている。
ウエハ311、312は表面302aの上に上昇させられるので、ロボットまたはウエハ取扱いシステムがウエハ311、312を除去するときにサセプタ302の表面302aに接触せず、このために表面302をロボットまたはウエハ取扱いシステムが擦ることまたはその他の損傷が回避される。更に、ウエハ311、312は表面302aの上に上昇させられているので、ロボットまたはウエハ取扱い手段は各々、ウエハの表面311b及び312bを保持することによってウエハ311、312を除去することができ、このために、反応炉300、320、340及び360を用いた多くの過程では、フィルムが堆積された表面311a、312aに損傷が加えられることが回避される。
図3では、ウエハ311、312はサセプタ302の上に載置されており、サセプタ302、サセプタ支持部304及び受動熱分配要素307が、ウエハ311、312が放射熱源310へ接近するように操作位置に上昇させられ、従って反応炉300の動作中に放射熱源310がより迅速かつより効果的にウエハ311、312を加熱することができる。
以下により詳しく説明されるように、反応炉300の動作中に、サセプタ302は回転させられる。サセプタ302を回転させることによって、ウエハ311、312が処理されている間、ウエハ311、312の反応チャンバ303内の位置が、前記第1の方向と直交する第2の方向に沿って変化する。その結果、ウエハ311、312の位置が変化することによって、反応炉300の動作中に存在する不均一性の効果を概ね除去することができるので、反応チャンバ303内で実施される処理がより均一に実施される。
図3及び図5に例示された本発明の実施例では、ウエハ311、312は、単一の熱源である放射熱源310によって加熱される。放射熱源310は、複数のランプ305を有し、このランプは、1μm以下から約500μmの範囲、好ましくは1μm以下から約10μmの範囲、より好ましくは1μm以下の範囲の波長の放射エネルギーを放射する。各々が各ランプ305に隣接する複数の反射器306が、放射エネルギーをウエハ311、312へ反射する。
放射熱源310は、以下により詳しく説明されるように、水冷及び強制空冷されている。水冷と強制空冷の組合せによって、ランプ305及び反射器306は所望の動作温度の範囲内に保持される。
反応炉300(図3)及び反応炉340(図5)では、受動熱分配要素307が、サセプタ302の近傍のサセプタ302の下に取り付けられている。ここで用いられているように、「近傍」は、サセプタ302をサセプタ支持部304に熱的接続するための物理的空間の必要性による制限を考慮して、できるだけ接近した位置を意味している。受動熱分配要素307は、サセプタ302からの熱の損失を最小にし、これによってウエハ311、312からの熱の損失を最小にする。受動熱分配要素307は好ましくは熱を吸収すると共にサセプタ302へ再び熱を放射する材料もしくはサセプタ302へ向けて熱を反射する材料からなることが好ましい。
図4は、図3のウエハ311、312のような1枚または複数枚の半導体ウエハを処理するためのRTP反応炉320の概略断面図である。反応炉320は、反応炉300と等しく、図3及び図4の構成要素と等しい構成要素には同じ番号が付されている。反応炉320では、ウエハ311、312を加熱するために2個の熱源が用いられている。
第2の熱源である抵抗加熱要素327は、抵抗加熱器327の抵抗要素に電流を流したとき熱を発生する。抵抗加熱器327が発生した熱の大部分がウエハ311、312に伝達されるように、サセプタ302は石英ガラスなどの材料によって形成されている。放射熱源310及び抵抗加熱器327は、ウエハ311、312の各々の全体の温度を概ね均一に保持する。
ウエハ311、312の中心部分よりも、ウエハ311、312の周縁部分の面積が大きいために、ウエハの中心部分よりも周縁部分での熱の損失が大きい。従って、いかなる補償も行われない場合、ウエハ311、312の中心部分よりもウエハ311、312の周縁部分での温度変化が大きくなる。この温度変化は好ましいものではなく、かつ半導体処理過程の歩留りを低いものとする。即ち、エピタキシャル層の製造過程でのウエハの半径方向に沿った温度の変化は、ずれと、厚さ及び抵抗率の不均一性に対する有害な効果を生み出す。半径方向の温度変化を最小にするべく、反応炉300、320、340及び360では、熱的な絶縁性を備えたサセプタリング(図示されていない)がウエハ311、312の各々の周囲に配置されている。本発明のさまざまな実施例に基づくサセプタリングが、図34−A〜図43を参照しながら、以下に説明される。
製造過程の開始時点で、反応炉300(図3)または反応炉320(図4)では、ランプ305に供給される電力が、反応炉320では更に抵抗加熱器327へ加えられる電力が、ウエハ311、312の温度が急速に上昇するように増加させられる。ウエハ311、312の温度は、以下により詳しく説明されるように高温計または熱電対(図示されていない)によって検出される。ウエハ311、312の温度が所望の温度に達したとき、ランプ305の各々の集合の電力は、ウエハ311、312の各々の全体の温度が均一となるように調節される。
ウエハ311、312が所望の温度に加熱された後に、反応炉300または320を用いる製造過程に対して必要な場合、ガスが反応チャンバ303内に側壁に形成された注入ガス噴出口314a、314bを通して供給される。ガスは、ウエハ311、312及びサセプタ302を、反応炉320では更に抵抗加熱器327を通過して流れ、底壁301aに形成された排気管309a、309bを通して反応チャンバ303から排気される。
図5は、図3及び図4のウエハ311、312のような1枚または複数枚の半導体ウエハを処理するためのRTP反応炉340の概略断面図である。反応炉300(図3)と同様に反応炉340では、ウエハ311、312を加熱するために熱源310のみが用いられている。しかし、反応炉340では、反応炉300のように側壁に設けられた注入ガス噴出孔314a、314bを通してガスが反応チャンバ303に供給されるのではなく、ガスはガス入口管354aを通して流れ、ガス注入ヘッド354bを通して反応チャンバ303内に供給される。反応炉300及び320(図4)と同様に、反応炉340では、ガスは底壁301aに形成された排気管309a、309bを通して反応チャンバ303から排気される。
図6は、図3、図4及び図5のウエハ311、312のような1枚または複数枚の半導体ウエハを処理するためのRTP反応炉360の概略断面図である。反応炉360では、ウエハ311、312は、放射熱源310と抵抗加熱器327の2つの熱源によって加熱される。ガスは、ガス入口管354a及びガス注入ヘッド354bを通して反応チャンバ303内に供給され、排気管309a、309bを通して排気される。
半導体ウエハの上に層を堆積させるためにガスを用いる典型的な半導体製造過程では、ガスをパージすることが必要となる。ウエハ311、312を反応チャンバ303内に配置するために、またはウエハ311、312を反応チャンバ303から取り出すためにドア313を開いたとき、反応炉300、320、340または360の周囲の空気が反応チャンバ303内に入る。特に、ウエハ311、312を処理する前に、空気中の酸素が反応チャンバ303から除去されなければならない。用いられる反応炉に応じて、側壁に形成された注入ガス噴出孔314a、314bまたはガス注入ヘッド354bを通して、窒素が反応チャンバ303に供給され、反応チャンバ303の酸素をパージする。次に、水素が反応チャンバ303内に供給され、窒素をパージする。
水素が供給された後に、上述されたように、ウエハ311、312が加熱され、プロセスガスが反応チャンバ303に供給される。処理過程が終了した後に、水素ガスを用いて反応チャンバ303から残りのプロセスガスをパージする。次に、窒素を用いて水素ガスをパージする。水素ガス及び窒素ガスを用いることによって、ウエハ311、312が冷却される。窒素ガスをパージした後に、ウエハ311、312が冷却され、ドア313が開けられ、ウエハ311、312が取り出される。
約900〜1200℃の間のプロセス温度でシリコンを堆積させる過程を含む処理過程では、ウエハ311、312は周囲温度まで冷却されず、処理過程中でウエハ311、312が加熱される温度に応じて、300℃から600℃の範囲の温度に冷却される。典型的には、冷却時間は、2〜5分である。ある実施例では、ウエハ311、312は、約1130℃から約450℃へ冷却され、冷却時間は約2.5〜3.5分である。より低い温度(即ち900℃以下の温度)で実施される処理過程では、ウエハ311、312は反応チャンバ303から除去される前にプロセス温度の約50%の温度に冷却される。
ウエハ311、312を、周囲温度まで冷却する必要がないために、冷却時間が短縮され、ウエハのスループットが向上する。更に、反応チャンバ303を、上述された1つまたは複数の処理過程の前のパージ動作の間に加熱することが可能であり、このため複数のウエハのバッチを処理するための時間が短縮される。
ウエハ311、312は、反応チャンバ303から取り出される前に、ウエハ311、312が硬化する温度まで冷却されなければならない。更に、反応チャンバ303は、ウエハ311、312を取り出すためにドア313を開いたときに、水素が反応チャンバ303内に残留している場合に生ずる爆発の可能性を最小にする温度に冷却されなければならない。
反応炉300、320、340または360が、例えばエピタキシャル層などのある材料からなる層をウエハの上に堆積するためにガスを用いる半導体処理過程に用いられる場合、長時間に亘って使用されたとき、反応炉300、320、340または360の一部分、例えば壁301a、301b、301cの上に、ある材料からなる層が堆積される可能性がある。以下により詳しく説明されるように、底壁301a及び側壁301bは水冷されている。上壁301cは、ランプ305及び反射器306と同様に、空冷されている。壁301a、301b、301cを冷却することによって、堆積過程中に壁301a、301b及び301cの上に望まれない層が堆積されることを最小にすることができる。
従来の反応炉では、反応炉の一部分、即ち、黒鉛から形成された部分から、堆積されたシリコンを除去するために「ハイエッチング(high etch)」を用いることができ、このハイエッチングでは、反応チャンバ303が少なくとも1150〜1200℃の温度にあるとき、少なくとも90%のHClを含む混合ガスを3〜20分間反応チャンバ303に注入する。しかし、ハイエッチングによって、石英ガラスの上に堆積したシリコンが除去されることはない。従って、従来の反応炉では、石英ガラスによって形成された構成要素を洗浄するために、これらの構成要素を反応炉から取り出さなければならない。本発明の原理に基づけば、壁301a、301bの温度を通常の動作温度以上に上昇させることによって、堆積されたシリコンを、ハイエッチングの間に石英ガラスからなる構成要素から除去することができる。堆積されたシリコンの除去は、壁301a、301bが冷却される程度を低下させるように、ハイエッチングの間に壁301a、301bを冷却するために用いられる流体の温度を上昇させることによって実施される。
反応炉300、320、340及び360では、ウエハ311、312と、サセプタ302と、サセプタ支持部304の一部と、抵抗加熱器327(反応炉320及び360)または受動熱分配要素307(反応炉300及び340)と、側壁に形成された注入ガス噴出孔314a、314b(反応炉300及び340)またはガス注入ヘッド354b及びガス入口管354aの一部(反応炉320及び360)のみが、反応チャンバ303の内部に配置されている。従来技術の反応炉では、反応炉300、320、340及び360よりも多くの機械的な構成要素が反応炉内に配置されている。(先行する堆積過程の間に堆積される材料を含む)これらの機械的な構成要素による汚染は、従来技術の反応炉の微粒子による大きな汚染源となっている。反応炉300、320、340及び360は、従来の反応炉と比べより少数の機械的な構成要素を備えており、汚染物質を提供する機械的な構成要素が少ないため、及び反応炉300、320、340及び360を繰り返し使用する間にその表面にシリコンが堆積される機械的な構成要素が少ないため、反応炉300、320、340及び360の汚染物質の問題は軽減される。従って、反応炉300、320、340及び360の反応チャンバ303の内部に配置される機械的な構成要素の個数を少なくすることによって、従来の反応炉の問題点が実質的に解決される。
更に、熱源とサセプタとの新規な組合せによって、従来のRTP反応炉よりも、反応チャンバ303のより広い領域に亘って概ね均一な温度が保持されるので、従来のRTP反応炉によって処理される1枚の小型のウエハ(例えば100mm、125mm、及び150mm)ではなく、複数枚のウエハ(例えば125mm、150mm、及び200mm)または1枚の大型のウエハ(例えば250mm、300mm、及び400mm)の何れをも処理することが可能となる。複数枚のウエハが処理できることによって、ウエハのスループットが向上し、かつ大型のウエハを処理できることによって、より大型のウエハを必要とする産業界の要求にRTP反応炉が対応することが可能となる。
反応炉300、320、340及び360は更に、多数のバッチに対する温度の良好な再現性を提供する。その結果、従来のRTP反応炉では必要とされた、所望の温度の均一性を保持するべく反応炉300、320、340及び360を再校正する必要がなくなる。校正のためのダウンタイムがないために、ウエハを処理するためにより多くの割合の時間を費やすことが可能となるため、従来のRTP反応炉と比べウエハのスループットを向上させることができる。
更に、従来の反応炉と比較し、複数枚のウエハを備えたバッチを処理することが可能となり、厚さ及び抵抗率の均一性が改善される。従来の反応炉では、厚さ及び抵抗率のばらつきが3〜10%のウエハが形成される。本発明に基づくRTP反応炉では、1〜2%の厚さのばらつき及び1〜5%の抵抗率のばらつきが達成される。
図9及び図10は、本発明のある実施例に基づく、反応炉400のより詳細な断面図である。図11は、反応炉400の概略上面図である。図9の断面図は、図10の線4B−4Bから見た断面図である。図10の断面図は、図9の線4A−4Aから見た断面図である。
反応炉400に関する以下の説明(とりわけ図9、図10、図11、第12図、第13図、図14、図15、図16及び図17に関する説明)では、反応炉400のいくつかの要素(以下「省略された要素」と呼ぶ)が、図面には表されていないが、実際にはこれらの省略された要素が存在するのでこれらの要素は表現されるべきものである。この省略された要素は、図を明瞭にするために省略されている。ある図面に表現されていない省略された要素は、他の図面では表現されており、当業者には、全体の図面から、省略された構成要素の形状及び図面に例示された他の構成要素との関係が明らかとなる。
以下により詳しく説明されるように、フレーム450は反応炉400の選択された部分を囲繞し、かつ例えば冷間圧延鋼板1018から形成されている。図11に例示されているように、反応炉400は、いくつかの区分400a、400b、400c、400d、及び400eに分割されている。区分400aは、容器401、熱源、ガス注入システム、及びサセプタ支持及び駆動機構を収容している。区分400bは、反応炉400を用いる製造過程の必要性に応じて、従来技術のバレルCVD反応炉で用いられるガスパネルと等しい性能のガスパネルを収容している。勿論、ガスパネルは、反応炉400内で実施される製造過程に必要な全てのガスを保持しかつ提供する構造を有する。区分400cは、ガス排気システムの一部を収容している。区分400dは、熱源に電力を供給するため電源及びSCR(silicon controlled rectifiers)を収容している。区分400eは、残りの回路要素、即ち他の電源、プロセス変数(例えば、ガスの流れ、熱源からのエネルギー)を制御するためのコンピュータ、電気的なリレーその他を収容している。
図9及び図10に例示されているように、区分400aは、テーブル451によって2つの部分に分割されている。シェル452は、テーブル451と接触して固定されており、かつ容器401の上側部分と、ランプバンク405a、405b(図9)、405c、405d(図10)を囲繞している。図10に例示されているように、シェル452は、356アルミニウム合金から形成されたヨーク453に取着されている。ヨーク453は、直線状のレール454に移動可能に取着されている。線形状のレール454は、アメリカ合衆国カリフォルニア州サンフランシスコのシュネーベルガー社(Schneeberger Inc.)から、No.1 MRA 25 658−W1−G3−V1として入手することができる。ヨーク453は、直線状のレール454に沿って上下し、シェル452をテーブル451の上または下に移動する。直線状のレール454は、例えば3.18mm(0.125インチ)の厚さの冷間圧延鋼板から形成された柱458に取着されている。柱458はテーブル451に取着されている。
反応炉400の動作中に、シェル452は図9及び図10に例示された位置まで下降し、シェル452がテーブル451と接触する。反応炉400の保守を行う場合、シェル452はテーブル451から離れて上昇させられ、シェル452とテーブル451との間に収容された反応炉400の構成要素に接近することが可能となる。更に、以下により詳しく説明されるように、シェル452は、シェル452がテーブル451の直下に配置されることのないように、ピン457a、457b(図10)の一方を中心としてヨーク453に対して回動するように取り付けられても良く、これによって、反応炉400の構成要素へ接近することがより容易となる。
シェル452は、反応炉400内でさまざまな機能を実施する。ランプバンク405a、405b、405c、及び405dは、シェル452によって支持されている。更に、シェル452には、以下に説明されるように、ランプバンク405a、405b、405c、及び405dと、容器401の上側部分とを冷却するための空気を流す通路が形成されている。プロセスガスがチャンバの中心から注入される場合(図5及び図6)、シェル452にはランプバンク405a、405b、405c、及び405dを冷却するための冷却水が流れる冷却水管だけでなく、ガス入口管408a及びガス供給システムで用いられる他のハードウェアも収容しされている。このようにして、シェル452によって、容器401が損傷を受けることが防止される。
シェル452は、アルミニウムから形成されており、かつ耐熱性のテフロク(商標)塗料が塗布されている。テフロク(商標)塗料は、反応炉400内でウエハを処理する間シェル452がさらされる高温度にシェル452が耐えることを援助する。
容器401は、3つの壁、即ち底壁401a、側壁401b、及び上壁401cを有する。容器401の内側の領域が、反応チャンバ403を構成する。上壁401cは、概ね円弧状の断面を有し、かつ5mm(0.197インチ)の厚さを有する。上壁401cの内面の最高点は、シェル452に接触するテーブル451の表面から約11.73cm(4.619インチ)離れている。(図示されていない)ウエハは、側壁401bに形成されたドア413(図9)を通して、反応チャンバ403内に載置され、または反応チャンバ403から取り出される。以下により詳しく説明されるように、ウエハはサセプタ402に形成された凹部に載置される。サセプタ402と側壁401bとの間の距離は約3.8cm(1.5インチ)である。
図2−A〜図2−Cは、各々、サセプタ21を回転させ、上昇させ及び下降させるサセプタ位置制御部202を備えた、本発明に基づく種々の反応炉200、220及び240の概略断面図である。図9及び図10では、このサセプタ位置制御部が、反応炉400内で電動機415及び417を備えている。電動機415はシャフト416を駆動し、サセプタ402を回転させる。電動機417は、ベルト418を駆動し、リードねじ428を回転させ、プレート428が上昇または下降し、サセプタ402を上または下に移動させる。サセプタ402が垂直方向に移動することによって、1枚のウエハまたは複数枚のウエハをローディング及びアンローディングするため、及び処理するために適切な高さにサセプタ402が配置される。更に、以下により詳しく説明されるように、サセプタ402がウエハローディング位置へ降下したとき、ピンがサセプタ402の開口部を通して延出し、1枚のウエハまたは複数枚のウエハをサセプタ402の上に持ち上げ、1枚のウエハまたは複数枚のウエハのアンローディング及びローディングを容易にする。
抵抗加熱器407、または(以下により詳しく説明される)受動熱分配要素が、黒鉛から形成された環状シャフト419に取着されている。シャフト416は、環状のシャフト419と同軸に取着されている。(第4E図及び第4F図を参照しながら以下により詳しく説明される)ベローアセンブリ420が、シャフト416と、環状シャフト419と、関連する機構を囲繞する領域427を密閉するようにプレート426と底壁401aとの間に取着され、シャフト416と環状シャフト419との間の空隙及び環状シャフト419と底壁401aとの間の空隙を通して反応チャンバ403から漏洩する可能性のあるガスを収容する。これらのガスは、以下により詳しく説明されるようにパージされる。
例えば、図2−B及び図2−Cの反応炉220及び240のように、2個の熱源を用いる本発明の実施例では、ランプバンク405a、405b、405c、及び405dと、抵抗加熱器407が、1枚のウエハまたは複数枚のウエハを概ね均一な温度に加熱するべく用いられる。例えば、図2−Aの反応炉200のように、単一の熱源を用いる本発明の実施例では、ランプバンク405a、405b、405c、及び405dのみがウエハを加熱するために用いられ、これらの実施例では、(図21を参照しながら以下により詳しく説明される)受動熱分配要素が、1枚のウエハまたは複数枚のウエハ全体に亘って概ね均一な温度を達成することを援助するべく用いられる。
以下により詳しく説明されるように、2個の熱源を用いる本発明の実施例では、ランプの集合と抵抗加熱器407が、ウエハの温度の測定値に応答して、種々の熱量を提供するべく別個に電気的に制御されている。ある実施例では、ウエハの温度は直接測定されおらず、即ち、温度センサがウエハに接触していない。アメリカ合衆国イリノイ州ナイルスのイルコン社(Ircon、 Inc.)から入手可能な、600℃から1250℃の範囲の温度を測定することが可能な光学的高温計がシェル452の外側のヘッド455(図10)に取り付けられている。高温計熱感知要素は、シェル452内からシェル452に形成された開口部456aを通して放射された熱を感知する。開口部456aは、薄い石英ガラス(BaF2もしくはCaF2)から形成されている窓によって被覆されている。所望に応じてハンドヘルド高温計を用いることができるようにするために、第2の開口部456bがシェル452に形成される。開口部456bはまた、反応炉400の動作中に反応チャンバ403内を視覚的に観測するために用いられる。高温計は、反応炉400の試験運転の間に、高温計の測定値と、試験されるサセプタと接触する熱電対によって測定されたサセプタの温度の測定値とを相関させることによって校正される。
高温計による温度の測定に加えて、もしくは高温計による温度の測定の代わりに、ウエハの温度は、以下により詳しく説明されるように、容器401に形成された開口部、例えば425a(図10)を通して挿入された熱電対によって測定することもできる。高温計と同様に、反応炉400の試験運転中に、この熱電による試験されるウエハの温度の測定値と、試験されるウエハに接触した他の熱電対によって測定された温度の測定値とを相関させることによって、この熱電対が校正される。
反応炉400の動作中に、壁401a、401b、及び401c(図9及び図10)は、反応チャンバ403の動作温度に関連する冷却温度、例えば600℃に保持される。壁401a、401b、及び401cがこの冷却温度に保持されない場合、反応炉400の堆積過程中に、膜が壁401a、401b、及び401cに堆積される可能性がある。壁401a、401b、及び401cに膜が形成されることは、いくつかの理由から好ましいものではない。反応炉400の動作中に、壁401a、401b、及び401cに形成された膜は、熱を吸収し、これによって反応チャンバ403の熱の分布に影響が及ぼされ、ウエハに許容されない温度の変化が形成される。更に、壁401a、401b、及び401cに形成された膜は、ウエハを汚染する粒子を反応炉400の動作中に発生させる可能性がある。
底壁401a及び側壁401bは、以下により詳しく説明されるように、壁401a及び401bを通して流れる水によって冷却されている。ランプバンク405a、405b、405c、及び405dは、強制空冷及び水冷されている。上壁401cは強制空冷されている。強制空冷のための空気は、2個の遠心送風機423(図10)を駆動する電動機422によって循環されている。1個の遠心送風機のみが図10には図示されている。もう一方の遠心送風機は図示された遠心送風機の裏側近傍に配置されている。遠心送風機423は、出口圧力0.044気圧(18インチH2O)の空気を毎分16.99m3(600立方フィート)送り出す。反応炉400の動作中に、冷却システムを通過する流速は、毎分16.99m3(600立方フィート)である。本発明で用いられる電動機422及び遠心送風機423は、アメリカ合衆国カリフォルニア州サンタモニカのパクストン・プロダクツ社(Paxton Products、 Inc.)から、製品番号RM−87C/184TCとして入手することができる。
反応チャンバ403またはランプバンク405a、405b、405c及び405dから熱を吸収した空気は、従来の熱交換器424を通過させることによって、約40〜100℃に冷却される。この熱交換器は、アメリカ合衆国マサチューセッツ州ウェイクのEG&G ウェイクフィールド・エンジニアリング(Wakefield Engineering)から製品番号725として入手することができる。熱交換器424は、空気を約40℃に冷却するように設計されている。熱交換器424の冷却水の流速は概ね毎分27.27l〜45.45l(6〜10ガロン)の範囲である。加熱された排気空気は、始めに遠心送風機423を通り、次に熱交換器424を通過する。遠心送風機423と熱交換器424のこの順序は、加熱された排気空気が熱交換器424を通り次に遠心送風機423を通る場合よりもより良好な冷却効果を提供するので、好ましいものである。
プロセスガスは、ガス入口管408a(図10)を通して反応チャンバ403内に供給され、以下により詳しく説明されるようにガス注入ヘッド414を通して反応チャンバ403内に注入される。代わりに、ガスはガス入口管408bを通して流れ、かつ以下により詳しく説明される、底壁401aに形成された開口部、例えば開口部425bを通して挿入された複数のガス注入噴出孔、例えばガス注入噴出孔421aを通して反応チャンバ403内に注入される。ガスは、サセプタ402に載置されたウエハを通過し、排気ライン409a及び409b(図9及び図10)を通して反応チャンバ403から共通の排気ライン409c(図10)へ排気される。排気ライン409a、409b、及び409cは、反応チャンバ403の圧力より低い0.044〜0.22気圧(1〜5インチH2O)の圧力に保持されているので、ガスは反応チャンバ403から排気される。ガスは排気ライン409cを通り反応炉400の区分400cに達し、従来どおり反応炉400から排気される。
使用された反応ガスは反応炉400から排気された後に、1992年1月22日にジョンスガード(Johnsgard)らに発行された米国特許第4,986,838号「Inlet System for Gas Scrubber」に開示されたスクラバのような(図示されていない)スクラバによって洗浄される。上述された米国特許は、ここで言及したことによって本出願の一部とされたい。
第12図及び第13図は各々、シェル452及びテーブル451の間の反応炉400の構成要素及びシェル452を詳細に表した、図9及び図10の部分図である。図14は、シェル452の内側部分を表す、シェル452の底面図である。図15は、冷却空気入口553a、553bと、冷却空気出口554a、554bとを表した、反応炉403及びテーブル451の上面図である。図16及び図17は、テーブル451の下の反応炉400の区分を詳細に表した、図10の一部を表す図である。図16は、サセプタ402の上にウエハ51をローディングするための下降した位置のサセプタ402を表し、図17は、ウエハ511を処理するための上昇した位置のサセプタ402を表している。
第12図及び第13図に示されているように、ランプバンク405a、405b、405c、及び405dは、上壁401cの上に配置されている。各ランプバンク405a、405b、405c及び405dは、反射器アセンブリ506a、506b、506c、及び506dとして一体形成された1個または複数のランプ505と、ランプと等しい個数の反射器を含む(以下、代表的なランプまたは複数のランプは、ランプ505または複数のランプ505と呼ばれ、1個または複数の特定のランプは、例えば、ランプ505aと呼ばれる)。各ランプバンク405a及び405b(第12図)には、ランプ505が7個備えられている。ランプバンク405c及び405d(第13図)には、1個のランプ505が備えられている。以下により詳しく説明されるように、その一部が第12図及び第13図に示されているように、スロットが、ランプ505a、505b、及び505dの上の反射器アセンブリ506a、506b、506c、及び506dに形成されている。
ランプバンクのケーシング535a、535b、535c、及び535dは、各々、ランプバンク405a、405b、405c、及び405dの大部分を囲繞している。ランプバンクのケーシング535a、535b、535c、及び535dは、例えばランプ505に隣接してその底部が開いており、ランプ505から放射されたエネルギーが反応チャンバ403へ向かい、冷却空気が容器401へ向かう。ランプバンクのケーシング535a、535b、535c、及び535dは、金メッキされたステンレス鋼から形成されている。
各ランプバンク405a、405b、405c、及び405dは、その両端がねじ切りされた4本のスタッド504によってシェル452に取り付けられている。各スタッド504のねじ切りされた一方の端部は、シェル542に形成されたねじ切りされた開口部に螺合されている。各スタッド504のもう一方の端部は、対応するランプバンク、例えばランプバンク405aに螺合されている。ある実施例では、各ランプバンク405a、405b、405c、及び405dは、対応する取り付け面515a、515b、515c、及び515dが、サセプタ402に対して約20°の角度を形成するように、取り付けられている。この角度は、以下により詳しく説明される手段を用いてランプバンクの隅の位置を調節することによって、特定のランプバンク、例えばランプバンク405aに対してわずかに変化させることができる。このような角度の変更は、スタッド504のねじ切りされた部分の直径と、ランプバンク405aのねじ切りされた開口部との間に設けられた空間的な許容誤差のために可能となっている。
ランプバンク405a、405b、405c、及び405dを、20°以外の角度で取り付けることも可能である。本発明のある実施例では、図9、図10、図11、第12図、第13図、図16、及び図17の反応炉400の上壁401cの形状に対しては、各ランプバンク405a、405b、405c、及び405dは、対応する取り付け面515a、515b、515c、及び515dが、サセプタ402との間に10〜40°の角度をなすように取り付けられている。異なる形状の上壁を備えた容器を有する本発明に基づく反応炉に対しては、異なる範囲の角度が適切である。
図18は、ランプバンク405b及び405dの斜視図である。各ランプバンク、例えばランプバンク405bは、ランプフレーム、例えばランプフレーム605b、及び605dと、反射器アセンブリ、例えば反射器アセンブリ506b、及び506dと、(図18では図示されていない)1個または複数のランプ505と、ランプクリップ617の1つまたは複数の集合とを有する。各反射器アセンブリ、例えば反射器アセンブリ506bは、ナット及びボルトによってランプバンク、例えばランプバンク405bに取り付けられている。反射器アセンブリ506bの各反射器に形成されたスロット618によって、以下により詳しく説明されるように、冷却用空気が反射器アセンブリ506bを通過しランプ505を通過することが可能となる。各ランプ505の向かい合う端部は、ランプクリップ617の片方に取着され、このランプクリップ617はナット及びボルトによってランプフレーム605bに取着されている。
スタッド504は、ランプフレーム、例えばランプフレーム605bの4つの隅、例えば隅615a、615b、615c、及び615dの各々に螺着されている。スペーサ、ロックナット、及びナット(何れも図18には図示されていない)が、ランプフレーム605bに螺着された各スタッド504のねじ切りされた端部に螺合されている。スペーサは、ランプバンク、例えばランプバンク405bの位置が、シェル452(第12図及び第13図)に対して変化するように、異なる長さを有してもよい。シェル452が取り付けられるテーブル451の表面から最も接近して配置されたランプ505a、505b、505c、及び505dの中心線のテーブル451の表面からの距離は、約10.95cm(4.31インチ)であり、最も離れて配置されたランプ505e、及び505fの中心線のテーブル451の表面からの距離は約16cm(6.31インチ)である。しかし、ランプバンク405a、405b、405c、及び405dの角度が20°の場合、これらの距離は、約5.08cm(2インチ)だけ増減することができる。
反応炉400の区分400d(図11)から耐熱性のワイヤを通してランプ505に電力が供給される。2個のランプバンク、例えばランプバンク405b、及び405dの耐熱性のワイヤは、テーブル451(図15)に形成された開口部556a、及び556bのうちの一方の開口部を通過し、他の2個のランプバンク、例えばランプバンク405a及び405cのワイヤは、開口部556a及び556bのうちのもう一方の開口部を通過している。
図18に示されているように、耐熱性のワイヤは、ラウティングボード610に取り付けられた軍用コネクタ、例えば軍用コネクタ604a、604bを通してシェル452内に挿入されている(図18にはラウティングボード610のみが例示されているが、ランプバンク405a及び405cに関連する同様のラウティングボード610も配置されている)。耐熱性のワイヤは、ワイヤの束、例えばワイヤの束611a、611bとして、シェル452内で束ねられている。ワイヤの束611aは、ランプバンク405bのランプ505の耐熱性のワイヤを含み、ワイヤの束611bは、ランプバンク405dのランプ505の耐熱性のワイヤを含む。
スペーサ、ロックナット、ワイヤラグ及びナット、例えばスペーサ606a、ロックナット607a、ワイヤラグ608a、及びナット609aは、複数のねじの各々、例えばねじ616aに螺合されており、複数のねじはランプフレーム605bに螺合されている。各ランプ505には1つのねじが備えられている。ねじ616aによって、(ある実施例ではセラミックから形成された)電気的な絶縁スペーサ606aを通して、対応するランプ505からワイヤラグ608aへの電気的な接続が形成される。耐熱性のワイヤの1つである導電性ワイヤ619aは、ワイヤラグ608a(及びランプ505)を、軍用コネクタ604aに接続し、かつ外部の電源に接続している。
上述されたように、ランプバンク405a、405b、405c、及び405dは水冷されている。外部の水供給源から供給される冷却水は、各ランプバンク405a、405b、405c、及び405dの背面に取着された銅製の管、例えば管612を通して供給されている。管612は、断路器613a、613bを備えたラウティングボード610に取着されている。冷却水は、管の区分612aを通して導入される。冷却水は、管612を通してランプバンク405bに供給され、このランプバンク405bでは、図18には図示されていないが、管612はランプバンク605bの背面の大部分に亘って蛇のような形状で配置され、ランプバンク405bを冷却する大量の冷却水を得ることができる。次に、冷却水がランプバンク405dの背面の管612を流れ、管612を通って管区分612bへ戻り、外部の冷却水供給源の排水管に戻る。冷却水の流速は、ある実施例では毎分約6.8175l(1.5ガロン)である。
ランプ505は、反応チャンバ403内のウエハ511(図16及び図17)に放射エネルギーを供給し、ウエハ511を加熱する。ランプ505は、クォーツハロゲンランプからなる。電圧が各ランプ505に印加され、加熱されたタングステンフィラメントから短波長の、即ち1μm以下から約500μmの範囲の波長の放射エネルギーが放射される。本発明に用いるために適したクォーツハロゲンランプは、アメリカ合衆国カリフォルニア州90502・トーランスのウシオ・アメリカン社(Ushio American、 Inc.)から、製品番号第QIR 480−6000Eとして発売されている。このランプの仕様が表1に表されている。
Figure 2005045213
各ランプ505は、パラボラ型の金メッキされた高度に磨きあげられた反射器内に配置されている。各反射器は、個々のランプ505の長手方向に沿った放射線型の断面を有するように形成されている。反射器は、反応チャンバ403及びウエハ511に伝達される熱の量を最大にするべく提供されている。反応チャンバ403から遠ざかる向きにランプ505から放射された放射エネルギーは、反射器によって反応チャンバ403に向かって再び放射される。更に、反応チャンバ403から反射されたエネルギーは、反射器によって再び反応チャンバ403に向かって反射される。一般的に、反射器は、ランプ505の寿命を制限することのない、またはウエハ511の温度分布が不均一とならない任意の形状を有しかつ任意の姿勢に配置される。
上述されたように、反応炉400では、各ランプバンク405a、405b、405c、及び405dに対する全ての反射器は、反射器アセンブリ506a、506b、506c、及び506dとして一体形成されている。反射器アセンブリ506a、506b、506c、及び506dは、アメリカ合衆国カリフォルニア州サニーベイルのエピタキシャルサービス(Epitaxial Services)から、製品番号第90145として入手することができる。本発明に用いるために適した他の反射器アセンブリは、アメリカ合衆国カリフォルニア州サンタクララのベクトル・テクノロジー・グループ社(Vector Technology Group、 Inc.)から、スパイラル・アレイ・リフレクタ・エクステンディッド(Spiral−Array Reflector Extended)(製品番号第90145)として入手することができる。
反射器アセンブリ506a、506b、506c、及び506dの他に、反射器517(第12図及び第13図)が、ボルトによってクランプリング401dに取着されている。反射器517は、金属板、例えばステンレス鋼から形成され、かつ金、ニッケルまたは銀のような反射性の材料をメッキされている。反射器517の表面のうち反応チャンバ403に面した部分のみがメッキされていればよいが、概ね、反射器517の表面全体がメッキされている。反射器517は、反応チャンバ403の周辺部分全体に亘って取着されており、かつサセプタ402に向かってエネルギーを反射するように配置されている。
上壁401cは、ランプ505からの放射エネルギーが上壁401cによってほとんど吸収されないように石英ガラスによって形成されているので、放射エネルギーの大部分が、反応チャンバ403を通ってウエハ511に直接伝達される。図16及び図17に最もよく表されているように、上壁401cは、クランプリング401dを貫通すると共にテーブル451に形成されたねじ切りされた開口部内に延出するねじ切りされた部材549によって所定の位置に締着されている。クランプリング401dはステンレス鋼から形成されている。2個のOリング551a、及び551bがテーブル451の溝内に配置されており、ねじ切りされた部材459が締め付けられたとき、Oリング551a、551bは、圧縮され、テーブル451と上壁401cとの間を密閉する。クランプリング401dと上壁401cとの間が、Oリング551cによって密閉される。
ランプ505及び反射器アセンブリ506a、506b、506c、及び506dは、上述された水冷に加え強制空冷されている。図14に表されているように、冷却用の空気が、空気入口553a、553bを通してシェル452の頭部に形成された空間内に供給される。空気入口553a、及び553bは、7.6cm(3インチ)の直径を有する。冷却用の空気は、6個の通気孔555a、555b、555c、555d、555e、及び555fを通してシェル452と容器401との間の領域内に供給される。空気が、シェル452と容器401との間の領域を通過するとき、空気は反射器アセンブリ506a、506b、506c、及び506dと、ランプ505の上を通過し、反射器アセンブリとランプとを冷却する。更に、空気は容器401の上壁401cの上を通過し、上壁401cを冷却する。
図15に表されているように、加熱された空気は、(テーブル451に形成されている)空気出口554a、及び554bを通して、シェル452と容器401との間の領域から流出する。空気出口554a、及び554bは、10.2cm(4インチ)の直径を有する。次に加熱された空気は図10に関して上述されたように、空気を冷却する熱交換器に戻される。次に冷却された空気はシェル452と容器401との間の領域に再び供給され、ランプ505と、反射器アセンブリ506a、506b、506c、及び506dと、上壁401cとを再び冷却する。
サセプタ402の下部に配置されたRF熱源を用いた本発明のある実施例では、以下により詳しく説明されるように、RF熱源のコイルは、容器401の下から供給されたコイルを通過する水流によって冷却されている。
図15に表されているように、テーブル451は2つの区分からなる。テーブルの区分451aはアルミニウムから形成されており、テーブルの区分451bには、耐腐食性であって区分451bがさらされる高温度に耐える能力を有するステンレス鋼が用いられている。
上述されたように、シェル452は、反応炉400の何れか一方の側面に向かってテーブル451から離れるように回動するようにヨーク453に取り付けられている(図10)。図14に詳しく表示されているように、ピン457a及び457bが、シェル452の(「ボス」と呼ばれる)取着区分552a、及び552bに形成された開口部と、(図14には図示されていない)ヨーク453に形成された整合する開口部とに挿入され、シェル452をヨーク453に対して横方向の定位置に保持する。シェル452は、シェル452の取着区分552a、及び552bの一方の端部に接触するヨーク453(図10を参照のこと)の端部453a、及び453bによって垂直方向の定位置に保持されている。シェル452は、ピン457a、及び457bの一方を取り除き、かつシェル452をピン457a、及び457bのもう一方のピンを中心として回転させるとによってテーブル451から回動して遠ざけられる。2個のピン457a、及び457bが提供されているので、シェル452を2つの向きの何れにも開くことが可能であり、反応炉400を用いる様々な条件のもとで、容器401及びシェル452の内部の反応炉400の構成要素に接近することが容易となる。
側壁401bと底壁401aが図16及び図17に示されている。側壁401bと底壁401aは、ステンレス鋼から形成されており、互いに溶接されている。石英ガラスの内張り501a及び501bが各々、底壁401a及び側壁401bに隣接する反応チャンバ403内に配置されている。内張り501a及び501bは、各々、反応炉400内でウエハ511を処理する間に、底壁401a及び側壁401bにガスが堆積することを防止する。内張り501a、501bは、反応チャンバ403の内側に面したビードブラストされた表面を備えた純粋な石英ガラスから形成されている。ビードブラストされた表面によって、表面がビードブラストされていない場合には内張り501a、及び501bから剥離する堆積された膜を、内張り501a、及び501bに固着させることができる。従って、膜の剥離による汚染が防止され、反応炉400を長時間に亘って使用した後に、内張り501a及び501bが反応チャンバ403から取り出され、酸を用いたエッチングによって洗浄される。
図15に表されているように、開口部425a、425b、425c、及び425dが、底壁401aに形成されている。開口部425a、425b、425c、及び425dは各々、1.9cm(0.75インチ)の直径を有する。開口部425a、425b、425c、及び425dの各々は、温度を測定するために反応チャンバ403内に熱電対を挿入するために用いられてもよい。開口部425a、425b、425c、及び425dの各々はまた、ウエハ511をより速く冷却するために、ウエハの処理後のパージングの間に反応チャンバ403内に更にパージガスを導入するために用いられてもよい。開口部425a、425b、425c、及び425dの各々はまた、ウエハ511に粒子が堆積することを防止することを援助するために、処理前もしくは処理後のパージングの前もしくはパージングの間に、ウエハ511に向かって空気を噴出させるために用いられてもよい。
本発明のある実施例では、熱電対525(図16及び図17)が、開口部425a、425b、425c、及び425dの1つ(開口部425aが図示されている)を通して挿入されている。熱電対525は、その先端部分が露出された、石英ガラスによって被覆された熱電対ワイヤを有する。熱電対ワイヤは、例えば、K型の熱電対ワイヤであってよい。熱電対ワイヤは、石英ガラスによって被覆されて剛性を備え、反応チャンバ403内での熱電対ワイヤの位置を調節することがより容易となり、反応チャンバ403内に存在する水素にさらされることによる熱電対ワイヤの劣化速度が遅くなる。熱電対ワイヤの先端部分が黒鉛によって覆われることによって、熱電対ワイヤが反応チャンバ403内の水素雰囲気から更に保護される。黒鉛は十分な熱伝導性を有するので、熱電対ワイヤの温度測定能力は、実際には制限されることはない。
熱電対525は、熱電対525を開口部525aを通して上または下に移動させることによって、反応チャンバ403の所望の高さに配置される。ある実施例では、熱電対525は、サセプタ402の上側面の上方約2.54cm(1インチ)に配置されている。更に、熱電対525は、所望の位置に回転する。本発明のある実施例では、熱電対525が直線の形状を有する場合と比べ、熱電対525の端部525aがサセプタ402により接近するように、熱電対525の端部525aが、ある角度に折り曲げられ、かつ熱電対525が回転して配置されている。
図19は、抵抗要素のパターンを表す、3個の等しい区分707a、707b、及び707cから形成された抵抗加熱器407の断面図である。図20−A及び図20−Bは各々、抵抗加熱器407の区分707aの平面図及び一部切欠き断面図である。図20−Cは、図20−Aの区分線A内の707aの一部の詳細図である。抵抗加熱器407は、アメリカ合衆国オハイオ州クリーブランドのユニオン・カーバイド・アドバンス・セラミクス社(Union Carbide Advance Ceramics Corp.)によって製造されており、図19、図20−A、図20−B及び図20−Cの図面を提供し、かつ製品番号第E10005を指定することによって入手することができる。
抵抗加熱器407の各区分、例えば区分707aは、3つの層、即ちセラミックからなる2つの外側層と、黒鉛からなる1つの内側層からなる。図19は、黒鉛の層を表した抵抗加熱器407の断面図である。黒鉛層は、電気的な絶縁領域、例えば領域708が、黒鉛層の部分、例えば部分709a、709bを分割するようにパターン化されているので、黒鉛層が迷路のような形状を有する通路を形成する。抵抗加熱器407は、電流がこの迷路のような形状の通路を流れるときに熱を発生する。電気的な絶縁領域、例えば領域708は、セラミックから形成されている。代わりに、電気的な絶縁領域、例えば領域708は黒鉛層内に形成された溝であっても良い。この後者の場合、溝内の空気が、十分に電気的な絶縁を提供する。
抵抗加熱器407の直径は、35.6cm(14インチ)であり、厚さは1.27cm(0.5インチ)である。この抵抗加熱器は三相交流によって動作する。印加電圧が240Vの場合、46Aの電流が流れ、印加電圧が480Vの場合、92Aの電流が流れる。
抵抗加熱器407の中心に形成された開口部710によって、以下により詳しく説明されるように、シャフト516(図16及び図17)が抵抗加熱器407を通過し、かつサセプタ402を支持することが可能となる。複数の開口部、例えば開口部711a、711bが、抵抗加熱器407を貫通して形成されることによって、取着ロッド、例えば取着ロッド512a、512b(図16及び図17)が抵抗加熱器407を通過することが可能となり、これらの取着ロッドは、以下により詳しく説明されるように、ウエハ511をローディング及びアンローディングするために用いられる。抵抗加熱器407には、12個の開口部、例えば、開口部711a、及び711bが形成されているが、特定のウエハローディング及びアンローディング技術に適合するように任意の個数の開口部が形成されてもよい。各々の開口部、例えば開口部711a、及び711bは、取着ロッド512a、及び512bの直径よりも僅かに大きい0.953cm(0.375インチ)の直径を有する。開口部、例えば開口部711a、及び711bは、対応する取着ロッド、例えば取着ロッド512a、及び512bの位置に対応して配置されている。
図19及び図20−Aに図示され、かつ以下により詳しく説明されるように、3個のモリブデン製のねじ714a、714b及び714cが抵抗加熱器407の区分707a内に配置されている。ねじ714aは、外部の電源と、抵抗加熱器407の区分707a内の黒鉛から形成された抵抗要素とを電気的に接続する。ねじ714b及び714cは各々、区分707aと区分707b及び区分707aと区分707cとを電気的に接続するために用いられている。図19に示されているように、区分707aのねじ714bと、区分707bのねじ714dは、抵抗加熱器407の底部のセラミック層内に配置されたモリブデンまたは黒鉛から形成されたスリーブ712と各々接触し、区分707aの黒鉛から形成された抵抗要素と、区分707bの黒鉛から形成された抵抗要素とを電気的に接続する。同様に、区分707aと区分707cと、及び区分707bと区分707cとが接続されている。
図20−Aでは、モリブデン製のねじ714b、及び714cの中心は、抵抗加熱器407の中心から16.80cm(6.614インチ)離れており、かつ各々、区分707aの側壁717a、及び717bから0.953cm(0.375インチ)離れている。モリブデン製のねじ714aは、抵抗加熱器407の中心から2.07cm(0.813インチ)離れており、かつ区分707aの側壁717aから1.03cm(0.407インチ)離れている。各モリブデン製のねじ、例えばねじ714a、714b、及び714cの直径は、0.912cm(0.359インチ)であり、図20−Bに示されているように、各モリブデン製のねじの厚さは0.508cm(0.2インチ)である。厚さ0.318cm(0.1252インチ)のスロット715が、各ねじ714b及び714cの底部に隣接して形成されており、このスロットによって、電線が各ねじ714b及び714cと接触して、上述したように抵抗加熱器707の区分707a、707b、及び707cを電気的に接続している。反応炉400では、表面713(図20−B)が、サセプタ402と隣接して配置されている。
図16及び図17に示されているように、抵抗加熱器407は石英ガラス層508の上に取り付けられており、かつ石英ガラス被膜507によって被覆されている。サセプタ402に面する石英ガラス被膜507の表面は、サセプタの下方約2.22cm(0.875インチ)に配置されている。石英ガラス層508は、ウエハ511の処理過程中に、抵抗加熱器407にプロセスガスが堆積することを防止する。石英ガラス被膜507もまた、抵抗加熱器407にプロセスガスが堆積することを防止する。石英ガラス層508及び石英ガラス被膜507は抵抗加熱器407よりも容易に洗浄することができるので、石英ガラス層508及び石英ガラス被膜507を提供することによって、次の利点が提供される。上述された石英ガラスの内張り501と同様に、反応炉400を長時間に亘って使用した後に、石英ガラス層508及び石英ガラス被膜507を反応チャンバ403から取り出し、洗浄することができる。
更に、層508及び被膜507は石英ガラスから形成されているので、層508及び被膜507は抵抗加熱器407から放射される熱をほとんど吸収しない。従って、石英ガラス被膜507によって、抵抗加熱器407からの熱の大部分がウエハ511に伝達され、石英ガラス層508はウエハ511から放射される熱を吸収するヒートシンクとして作用することはない。
抵抗加熱器407は反応チャンバ403内に配置されているので、高電圧の電源ラインを反応チャンバ407内に配置しなければならない。しかし、反応炉400の動作中に、反応チャンバ403内の温度は約1200℃に達する。この反応炉内の高温度は、一般的に入手可能な電線の絶縁温度の仕様を超過している。例えば、本発明のある実施例では、アメリカ合衆国カリフォルニア州レッドウッドシティのベイ・アソシエイツ(Bay Associates)から入手可能な399℃及び600Vを定格とするファイヤーゾーン(Firezone)101電線が抵抗加熱器407に電流を供給するために用いられている。更に、多くの過程では、水素が反応チャンバ403内に存在している。このため、電線の絶縁が破壊された場合、反応チャンバ403内のアーク放電によって爆発が生ずる危険性がある。
本発明のある実施例に基づけば、上述された電源に関する問題は、抵抗加熱器407の底面から反応チャンバ403の外側に延在する環状シャフト419の導管、例えば導管419a(図16及び図17)を提供することによって解決される。導管、例えば導管508aは、石英ガラス層508を貫通して形成されている。導管508aは導管419aと接触している。モリブデン製のねじ、例えばねじ524aは、抵抗加熱器407を石英ガラス層508に保持している。ねじ524aは、抵抗加熱器407の黒鉛製の抵抗要素と接触し、かつ導管508内に延在している。モリブデンはその導電率が高く、かつ腐食及び熱に対する良好な耐性を有する(ねじ524aは1370℃までの温度に耐えることができる)ので、ねじ524aを構成する材料として選択されている。定格400℃の導電性ワイヤが、反応チャンバ403の外側から導管419a及び508aを通してねじ524aに配線されている。このようにして、電線を非常に高い温度または水素にさらさずに、反応チャンバ403の外側から抵抗加熱器407の抵抗要素に電流が供給される。抵抗加熱器407には三相交流電力が供給されているので、上述された導管及びねじの3個の集合が電源を反応チャンバ403に供給するために用いられている。
上述されたように、本発明のある実施例では、反応チャンバの上に配置された単一の放射熱源のみが用いられている。これらの実施例では、熱を再放射またはウエハに向かって反射する材料からなる層をサセプタの下に配置することが望ましい。この受動熱分配要素によって、処理中のウエハ全体に亘ってほぼ均一な温度を保持することが援助される。
図21は、ウエハ511が載置されるサセプタ402を支持するようなシャフト416の断面図である。反応炉400のある実施例では、受動熱分配要素727は布またはプレートから形成され、かつ布支持部728と布カバー729の間に配置されている。受動熱分配要素727は、黒鉛、金属またはシリコンカーバイドから形成することができる。本発明のある実施例では、受動熱分配要素727はシリコンカーバイドから形成されている。本発明の他の実施例では、受動熱分配要素727はシリコンカーバイドで被覆された黒鉛から形成されている。受動熱分配要素727は、サセプタ402と等しい直径、即ち35.6cm(14インチ)を有する。
本発明のある実施例では、布支持部728と布カバー729は、各々、図16及び図17に関して上述されたように、石英ガラス層508及び石英ガラス被覆507からなる。石英ガラス層508は1.59cm(0.625インチ)の厚さを有し、石英ガラス被覆は0.318cm(0.125インチ)の厚さを有する。石英ガラス被覆507は、石英ガラス層508の裏側面の直下まで延在し、受動熱分配要素727が粒子によって汚染されることを防止する。しかし、ウエハ511がロードまたはアンロードされるとき、石英ガラス被覆507、受動熱分配要素727及び石英ガラス層508がサセプタ402と共に下降する場合、石英ガラス被覆507が底壁401aに接触するほど石英ガラス被覆507が下に延在するべきではない(図16)。
図22は、容器301の底壁301aに取着された反射板730を含む、本発明の他の実施例に基づく反応炉700の概略断面図である。反応炉700は反応炉300(図3)と等しく、等しい構成要素には等しい符号が付されている。反応炉700では、反射板730は、ナット及びボルトによって反応チャンバ303の外側の容器301の底壁301aに取着されている。底壁301aは、反応チャンバ303から遠ざかるランプ305から放射されたエネルギーを反応チャンバ303に向けて反射する。反射板730は、金またはニッケルをメッキされたステンレス鋼から形成されている。本発明のこの実施例では、抵抗加熱器327(図4及び図6)と、受動熱分配要素307(図3及び図5)と、関連する支持部及び被覆(図16及び図17)が反応炉300から除去されているので、側壁301bは、他の場合よりも短くなっている。これは、反射板730がサセプタ302により近く配置されているので、反射板730からサセプタ302へ反射されるエネルギーがより強くなることを意味している。
上述されたように、容器401の底壁401aと側壁401bは、底壁401a及び側壁401bを通過する冷却水によって冷却されている。図16及び図17に表されているように、導管503cは底壁401aに形成されており、側壁401bによってキャビティ503aが形成されている。導管503a及びキャビティ503cの両方は、バッフルを含み、このバッフルによって、底壁401a及び側壁401bが均一に冷却されるように冷却水の流れが決定される。更に、キャビティ503b内の水流は、Oリング551、及び551bが冷却されるようにテーブル451内で形成される。冷却水は、外部の冷却水供給源からキャビティ503aからキャビティ503a、503bと導管503cへ、通常の管を通して容器501の下から約5.472気圧(80psi)の圧力で供給されており、冷却水の流速は通常の弁によって制御されている。本発明のある実施例では、導管503c及びキャビティ503a、及び503bの各々を通過する冷却水の流速は毎分約5.9l(1.3ガロン)となっている。
ウエハ511が所定の温度まで加熱されたとき、混合ガスが2つの従来の方法、即ちドーム型の上壁401cの中心からガスを注入する方法と、側面からガスを注入する方法の何れかの方法によって反応チャンバ403内に導入される。ガスラインは、ガスパネルをテーブル451の下に配置された通常のT型弁に接続している。T型弁は、上壁の中心からガスを導入する方法及び側面からガスを注入する方法の何れか一方の方法を切り替えて用いるために配置されている。
中心からガスを注入する方法では、ガスはガス入口管408a(第13図)を通過し、使用されるガスに応じて流速3〜150slmで、ガス注入ヘッド514(第12図及び第13図)に形成された開口部を通して反応チャンバ403内に注入される。ガス注入ヘッド514は、図10のガス注入ヘッド414とは異なる。一般に、本発明に用いるガス注入ヘッドは、任意の形状、例えばシャワーヘッド形、円錐形、または球形であってよい。
上述された容器401では、ガス注入ヘッド514は容器401の中心に配置されている。ガス注入ヘッド514は石英ガラスまたは黒鉛から形成することができる。黒鉛は、ガスが反応チャンバ403内に導入されるときにガスを予熱することが望ましい場合に用いられる。ガス入口管408aは、ステンレス鋼から形成され、直径0.64cm(0.25インチ)である。ガスは反応チャンバ403内を下降し、サセプタ402及び抵抗加熱器407を通過し、底壁401aに配置された排気開口部409a及び409b(図9及び図10)を通して反応チャンバ403から排気される。
側面からガスを注入する方法では、ガスはガス入口管408b(第13図)を通過し、底壁401aに形成された開口部521a、521b、及び521c(図15)を通って、反応チャンバ403の周縁部分に配置された複数のガス注入噴出孔、例えばガス注入噴出孔421a(図16及び図17)から反応チャンバ403内に導入される(符号421は図面に記載されていないが、以下の説明ではガス注入噴出孔はガス注入噴出孔421と呼ばれる)。これまでの説明から明らかなように、開口部521a、521b、及び521cは、底壁401aのエッジ付近に対称的に配置されており、かつある円の円周上に120°毎に配置されている。各開口部521a、521b、及び521cの中心線は、側壁401bから1.84cm(0.725インチ)離れている。各開口部521a、521b、及び521cの直径は、1.9〜3.2cm(0.75〜1.25インチ)である。ある実施例では、各開口部521a、521b、及び521cの直径は、2.22cm(0.875インチ)となっている。ガス注入噴出孔421の各々は、回転し、かつ底壁401aを通して上下に移動するので、ガスは所望に応じて種々の高さ及び向きで反応チャンバ403内に注入される。ガス注入噴出孔421は、所望に応じて様々な他の位置、例えば側壁401bを通して、または上壁401cを通して、反応チャンバ403に向けて形成される。反応チャンバ403内へ注入されるガスの位置及び向きは、ガス注入噴出孔421が反応チャンバ403内に配置される特定の方法よりも重要である。
ガスは、使用されるガスに応じて100〜200slmの流速でガス注入噴出孔421を通して反応チャンバ403内に導入される。本発明のある実施例では、3個のガス注入噴出孔421が設けられており、各注入噴出孔は石英ガラスから形成されており、かつ直径0.46cm(0.180インチ)の単一の円形の開口部を備えている。本発明では、異なる個数のガス注入噴出孔421が用いられてもよい。即ち、2〜10個のガス注入噴出孔421を、反応チャンバ403内の所望のガスの流れを形成するために用いることができる。更に、ガス注入噴出孔421は、開口部以外を備えていてもよく、かつ開口部の形状は円形以外の形状であってもよい。更に、ガス注入噴出孔421は石英ガラスではなくステンレス鋼または黒鉛から形成されていてもよい。
図23−Aは、本発明の他の実施例に基づく、ガス注入噴出孔821の一部の斜視図である。ガス注入噴出孔821は、ガス注入噴出孔421と等しい。ガス注入噴出孔の端部801は、ガス注入噴出孔の管805に溶接されている。代わりに、ガス注入噴出孔の端部801にねじ切りされた部分が形成され、ガス注入噴出孔の管805内に形成された対応するねじ切りされた凹部内に螺合されてもよい。ガス注入噴出孔の端部801とガス注入噴出孔の管805は、石英ガラス、ステンレス鋼または黒鉛から形成される。
図23−Bは、本発明のある実施例に基づく、ガス注入噴出孔821に用いるガス注入噴出孔の端部803の断面図である。キャビティ803dが、ガス注入噴出孔の端部803の中心に形成されている。ガス注入噴出孔の端部803を通過するガスの流れの全体的な方向と平行な方向から眺めた場合、キャビティ803dは例えば円形もしくは正方形の断面を有する。キャビティ803dは、第1の幅803cから、ガス注入噴出孔の端部803の末端部分803eでガスが噴出される開口部の幅である第2の幅803aへ先細りとなる形状を有する。本発明のある実施例では、幅803aは約3.18mm(0.125インチ)であり、角度803bは約45°であり、幅803cは約9.53mm(0.375インチ)である。
図23−Cは、本発明のある実施例に基づく、ガス注入噴出孔821に用いられるガス注入噴出孔の端部813の断面図である。キャビティ813fは、ガス注入噴出孔の端部813の中心に設けられている。ガス注入噴出孔の端部813を通るガス流の全体的な流れと平行な方向から眺めた場合、キャビティ813fは例えば円形または正方形の形状を有する。キャビティ813は、第1の幅813eから第2の幅813cへ先細りとなり、次に幅813bへ再び拡張する形状を有する。ガスが噴出されるガス注入噴出孔の端部813の末端部分803gの開口部は幅813bを有する。本発明のある実施例では、幅813eは約9.53mm(0.375インチ)であり、角度813dは約45°であり、幅813cは3.18mm(0.125インチ)であり、角度813aは約60°であり、幅813bは約6.35mm(0.25インチ)である。
図23−Dは、本発明のある実施例に基づく、ガス注入噴出孔821に用いるガス注入噴出孔の端部823の断面図である。キャビティ823bは、ガス注入噴出孔の端部823の中心に設けられている。ガス注入噴出孔の端部823を通るガス流の全体的な流れに平行な向きから見た場合、キャビティ823fは例えば円形もしくは正方形の断面を有する。ガス注入噴出孔の端部803及び813とは異なり、本発明のある実施例では、ガス注入噴出孔の端部823のキャビティ823aは約6.35mm(0.25インチ)の一定の幅823aを有する。好ましくは、ガス注入噴出孔の端部823は、図24−A〜図24−Dに関してこれまで説明されたように、ベーンの実施例の1つを含むガス注入ヘッド821の実施例に用いられる。
図24−A及び図24−Bは各々、本発明のある実施例に基づくガス注入噴出孔821に用いられるベーン802の変形平面図及び側面図である。ベーン802は、溶接によってガス注入噴出孔の管805の内壁に一体形成されたベーンプレート802a及び802bを有する。図24−Bに表されているように、ベーンプレート802a及び802bは、互いにある角度をなして形成されている。ある実施例では、角度802eは約90°となっている。図24−Aは、ベーンプレート802a及び802bの形状をより明瞭に表現するために、互いにある角度をなして形成されたベーンプレート802a及び802bを同一の平面にあるように表現した「変形」平面図である。ベーンプレート802aと802bには各々、切り抜き部分802cと802dが形成されている。図24−Aに表されているように、切り抜き部分802c及び802dは概ね楕円形の形状を有するが、他の形状であってもよい。更に、図24−Aの実施例では、切り抜き部分802cと802dが互いに重なることのないように形成され、かつ、切り抜き部分802cまたは802dは各々、ベーンプレート802aまたは802bの中心より上側または下側の部分に形成されている。しかし、切り抜き部分は必ずしも上述されたように形成される必要はない。
図24−C及び図24−Dは各々、本発明の他の実施例に基づく、ガス注入噴出孔821に用いられるベーン812の変形平面図及び側面図であるベーン812は、一体形成されたベーンプレート812a及び812bを含む。図24−Dに表されているように、ベーンプレート812a及び812bは互いにある角度をなして形成されている。ある実施例では、角度812eは約45°となっている。図24−Cは、ベーンプレート812a及び812bの形状をより明瞭に表すために、互いにある角度をなして形成されたベーンプレート812a及び812bを同一平面にあるように表現した「変形」平面図である。開口部812c及び812dは各々、ベーンプレート812a及び812bに形成されている。図24−Cに表されているように、開口部812c及び812dは概ね円形であるが、他の形状であってもよい。
上述されたガス注入噴出孔821の実施例は、断面の幅の変化するキャビティを備えたガス注入噴出孔の端部と、ガス注入噴出孔の端部が取着されたガス注入噴出孔の管の端部部分に形成されたベーンとを有する。ガス注入噴出孔のこれらの実施例によって、ガス注入噴出孔から霧が噴出される。このような霧は、ウエハの表面に下降するガスの分布が、ウエハの表面に堆積される層の十分に均一な性質、例えば、抵抗率及び厚さを達成するために適切であることを確実にするために望ましいものである。一般的に、本発明に基づけば、上述されたように、ガス注入噴出孔821がガス注入噴出孔の端部及び/またはベーンと共に形成され、これによって所望の均一性を達成する霧が形成される。更に、本発明は、反応炉の反応チャンバ内に霧を形成する任意のガス注入システムを包含するものである。
ガス注入噴出孔421からのガスの流れが上壁401cの直下の点に向けられ、ガスの流れが衝突し、ウエハ511の上に下降するガスの流れが生み出され、均一な膜が堆積されるように、ガス注入噴出孔421が配置されている。代わりに、ガス流が上壁401cに向かって流れ、上壁401cの湾曲した部分と共働してウエハ511の上に下降する他のガス流が形成されるように、ガス注入噴出孔421が配置されてもよい。ガスはガス注入噴出孔421から上壁401cへそして上壁401cからサセプタ402へ移動するので、ウエハ511に到達するまでに十分に加熱される。ガスは、反応チャンバ403内を下向きに流れ、サセプタ402及び抵抗加熱器407を通過し、排気開口部509a及び509bを通して排気される。
反応炉400の動作中に、シャフト416と環状シャフト419との間の空隙、及び環状シャフト419と底壁401aとの間の空隙(図16及び図17)を通してガスが反応チャンバ403から漏洩する可能性がある。ガスの漏洩する可能性は、シャフト416と環状シャフト419との間の距離及び環状シャフト419と底壁401aとの間の距離をできるだけ短くすることによって最少にされる。この実施例では、シャフト416と環状シャフト419との間の最小の空間は約1.6mm(0.062インチ)となっている。環状シャフト419と底壁401との間の間隔は、0.8mm(0.031インチ)となっている。
更に、上述されたように、アメリカ合衆国フロリダ州オーモンドビーチのメタル・ファブリック社(Metal Fab. Corp.)から製品番号第SK−1601−6009として入手可能な従来のベロウアセンブリ420がシャフト416と、環状シャフト419と関連する機構を囲繞する領域427(図9及び図10を参照のこと)を密閉し、漏洩したガスを収容する。ベロウアセンブリ420は、2個のフランジ区分(上側のフランジ区分420aのみが図16及び図17に表されている)の間に溶接された蛇腹状の区分420b(図16及び図17)を有する。区分420bはステンレス鋼板から形成されており、サセプタ402が下降及び上昇するとき収縮及び伸張する。フランジ区分、例えば上側フランジ区分420aもまたステンレス鋼から形成されている。上側フランジ区分420aは底壁401aにボルト締めされている。(図示されていない)下側フランジ区分は、棚426(図10)に取着されている。
ベローパージ526は、領域427からガスをパージする。パージガスは、反応チャンバ403の圧力よりも高い圧力で、ベローパージ526を通して領域427内に供給される。その結果、反応チャンバ403から漏洩する可能性のあるガスが、反応チャンバ403内に保持される。パージガスもまた反応チャンバ403内に進入するが、パージガスは底壁403aを通して反応チャンバ403の底部に進入し、かつ反応チャンバ403内のガスの流れは排気ライン409a、409bに向かって下向きに流れているので、パージガスは、排気ライン409a、及び409bを通して急速に反応チャンバ403から排気される。領域427内のパージガスの残りの部分及び領域427に漏洩するプロセスガスは、排気管527を通して放出される。ある実施例では、ガス及び粒子を領域427から除去することを援助するために、領域427の圧力は排気管527を通して真空ポンプによって約10トルにされる。ウエハ511を反応炉400内で処理する間、パージガスの一部が反応チャンバ403内に進入するために、水素ガスがベローパージ526を通してパージガスとして用いられている。ウエハ511の処理が終了した後に、窒素ガスがパージガスとして用いられる。
図16及び図17に表されているように、サセプタ402はシャフト516によって支持されている。サセプタ402の下側面に取着された端部と反対側のシャフト516の端部は、円錐形の形状を有し、かつシャフト416の端部に形成された補完的な円錐形の凹部にピン(図示されていない)によって取着されている。円錐形の形状のシャフト516の端部を円錐形のシャフト416の凹部に嵌合させることによって、反応炉400の動作中にシャフト416が回転した場合に、サセプタ402が水平に保たれる(即ち、動揺しない)ことが確実になる。サセプタ402を水平に保つことは、反応炉400の動作中にウエハ511に堆積されるある材料からなる層がウエハ511の表面の上に平坦に堆積されることを確実にするために重要である。
代わりに、サセプタ402が回転するときに、サセプタ402の動揺が最小にされるならば、シャフト516の端部は円錐形ではなく、円筒形に形成され、かつシャフト416には円筒形の補完的な開口部が形成される。重要な点は、サセプタ402の回転中にサセプタ402が水平に保持されるように、シャフト416とシャフト516が接続されることである。
他の実施例では、シャフト416に挿入されるシャフト516の端部は、六角柱の形状を有する。シャフト416の端部には補完的な六角形の凹部が形成されている。サセプタ402の重量によって、シャフト516がシャフト416に形成された凹部の定位置に保持される。シャフト516の六角形の断面を有する端部と、シャフト416の六角形の断面を有する凹部とを嵌合することによって、(以下により詳しく説明される本発明のある実施例に基づき)サセプタ402の上にウエハ511を移動するために用いられるピンに対してサセプタ402が適切な方向に配置され、これらのピンがサセプタ402に形成された対応する開口部を貫通することが確実となる。代わりに、シャフト516の端部は、サセプタ402が適切な方向に保持されるような他の断面の形状、例えば四角形の断面の形状を有してもよい。サセプタの端部はまた、サセプタ402が回転する間にウエハ511を支持するサセプタの表面を水平に保持するべくサセプタ402の動揺を最小にする。
シャフト516は、反応チャンバ403内の動作環境(即ち、高温度のガス雰囲気中)に耐えることのできる任意のセラミック材料、石英ガラス、黒鉛から形成される。本発明のある実施例では、シャフト516は石英ガラスから形成されている。石英ガラスは黒鉛と比べ吸収する熱が少ないために、シャフト516の温度が上昇し、サセプタ402に載置されたウエハ511の温度が不均一となる可能性が少なくなる。シャフト416はステンレス鋼から形成されている。
図16及び図17に表された本発明の実施例では、この好適な実施例では、シャフト516がサセプタ402と一体形成されているので、サセプタ402の支持部が2つの区分、即ちシャフト416とシャフト516から形成されていることが好ましい。以下に説明されるように、異なる寸法のウエハ、例えばウエハ511を処理するために異なるサセプタ402を用いることが好ましい。従って、サセプタ支持部は、異なるサセプタ402と交換することが望まれるときに、シャフト516がサセプタ支持部の残りの部材から容易に分離されるように、2つのシャフト416及び516から形成されていなければならない。
図25−A及び図25−Bは、各々、本発明の他の実施例に基づく、サセプタ支持部916の平面図及び断面図である。サセプタ支持部916は、シャフト916aと、シャフト916aの一方の端部からシャフト916aから直角に延在する3個のアーム916b、916c、及び916dを有する。アーム916b、916c、及び916dは互いに等しい間隔を置いて配置されている。
スロット916eが、サセプタ支持部916のシャフトのもう一方の端部に形成されている。補完的な機械キーがシャフトに形成されており、この機械キーにサセプタ支持部916のシャフトが嵌合され、トルクを分布させ、かつサセプタ支持部916が破壊されることを防止する。
アーム116b、116c、及び116dの各々の端部には架台が形成されており、これらの架台は、サセプタの下側面に形成された対応する開口部に嵌合される。これらの架台は、サセプタを横方向の定位置に保持する。
以下により詳しく説明されるように、図29はサセプタ支持部916に取着されたサセプタ1102を表している。図29に表されているように、アーム916b、916c、及び916dの各々は、サセプタ1102の中心からサセプタ1102のエッジ部分に向けて中心からほぼ1/2の部分まで延在している。本発明のある実施例に基づけば、アーム916b、916c、及び916dは、サセプタ1102の中心からサセプタ1102のエッジ部分に向けて1/5から2/3の距離だけ延在している。
サセプタ支持部916は、アーム916b、916c、及び916dの端部に形成された架台のみでサセプタに接触している。これは、架台のみがサセプタの裏側面の熱の分布に影響を及ぼすために好ましいことである。図29に表されているように、架台は、ウエハ1101a、1101b、及び1101cの下にくることのないように配置されている。本発明に基づけば、サセプタ支持部916と等しいサセプタ支持部が形成され、架台はサセプタに載置されたウエハの下の位置でサセプタに接触することはない。
サセプタ支持部、例えばサセプタ支持部916は、図25−A及び図25−Bに関して説明されたように、サセプタのエッジまで延在するアームを備えたサセプタ支持部を上回る利点を有する。第1に、このサセプタを製造するためにより少ない材料が用いられるので、このサセプタはより低価格となる。第2に、アームが短い距離に亘って延在するので、アームは、十分な構造的な強度を保ちながらより少ない断面積で(即ちより少ない材料を用いて)形成することができる。
図26−A及び図26−Bは各々、本発明の他の実施例に基づくサセプタ支持部1016の上面図及び側面図である。サセプタ支持部1016は、支持シャフト1016aを含み、この支持シャフト1016aから支持アーム1016bが延出している。支持アーム1016bの端部には、サセプタマウント1016cが形成されている。
図27−Aは、図26−A及び図26−Bのサセプタ支持部1016に用いられるサセプタ1002の平面図である。図27−Bは、図27−Aの線10C−Cから見たサセプタの断面図である。(図示されていない)ウエハが、サセプタ1002に形成された凹部1002a内に載置されている。サセプタ支持スロット1002bがサセプタ1002を貫通して形成されている。支持アーム1016bは、サセプタ支持スロット1002b内に嵌合されている。サセプタ支持スロット1002bのある角度に曲げられた部分は、支持アーム1016bを支え、サセプタ1002を垂直方向の定位置に保持する。サセプタ支持スロット1002bのおり曲げられた部分及び側壁は、支持アーム1016bを支え、サセプタ1002を横方向の定位置に保持する。代わりに、支持アーム1016bをサセプタ1002と一体形成することもできる。
反応炉400内でウエハ511を処理する過程の一部として、処理過程を開始する前に反応チャンバ403内のサセプタ402にウエハ511を載置し、処理過程が終了した後に反応チャンバ403から処理されたウエハ511を取り出すことが必要である。ウエハ511を反応チャンバ403から取り出すとき、またはウエハ511を反応チャンバ403内へ挿入するとき、サセプタ402を(「ホーム」位置と呼ばれる)特定の位置まで回転させることによって、ウエハ511を取り除くことが可能となる。ウエハ511がサセプタ402の上に載置されたとき、もしくはサセプタ402から取り除かれたとき、サセプタ402は底壁401aの近くの位置へ下降させられる。
図16は、サセプタ402の上にウエハ511をロードするための下降位置にあるサセプタ402を表している。複数の取着ロッド、例えば取着ロッド512a、及び512bが、底壁401aに取着されている。取着ロッド、例えば取着ロッド512aは、ステンレス鋼もしくは黒鉛から形成されている。抵抗加熱器407、石英ガラス層508、及びサセプタ402には各々、取着ロッド512aに対応する開口部、例えば開口部531a、532a、及び533aが形成されている。取着ロッドの端部、例えばウエハ支持ピン513a、及び513bに対する取着ロッド512a及び512bの端部には各々、円筒形の凹部が形成されており、これらの円筒形の凹部にはウエハ支持ピン、例えばウエハ支持ピン513a、及び513bが取着されている。(これ以降、特定の取着ロッド、ウエハ支持ピン、または開口部、例えば取着ロッド512aについて言及する場合以外は、取着ロッド、ウエハ支持ピン、及び開口部は、その符号が図示されていない場合でも、取着ロッド512、ウエハ支持ピン513、及び開口部531、532及び533について言及しているものとする)。サセプタ402が図16に表された位置にあるとき、取着ロッド512は開口部531、532、及び533を貫通しウエハ支持ピン513と係合し、ウエハ支持ピン513はウエハ511を載置するサセプタ402の表面の上に上昇する。
(図16及び図17には表されていない)ドア413が、容器401の一方の側面に形成されており、このドアを通してウエハ511が反応チャンバ403内へ挿入され、または反応チャンバ403から取り出される。ウエハ511は、ロボット装置によってまたは手動操作の機械装置によってサセプタ402の上に載置されまたはサセプタ402から取り除かれる。ロボット装置が用いられる場合、ロボットアームが適切な距離に亘って延出し、ウエハ511をサセプタ402から持ち上げるかまたはウエハ511をサセプタ402の所定の位置に正確に配置するようにロボット装置がプログラムされている。手動操作の装置が用いられる場合、アームがストップに当たり、アームが適切に配置されてウエハ511をサセプタ402から持ち上げるかまたはウエハ511をサセプタ402に載置するように、ウエハ取扱いアームの動きを制限するべく、機械的なストップが配置されている。このように、何れの装置を用いる場合でも、ウエハ511をサセプタ402の上に載置するための良好な制御が行われる。
一旦、ウエハ511がウエハ支持ピン513の上に載置されると、ウエハ取扱いアームは反応チャンバ403から除去され、ドア413が閉鎖される。サセプタ402は、ウエハ511を処理する間サセプタ402が保持される位置へ上昇させられる(図17)。サセプタ402が上昇したとき、取着ロッド512は開口部531、532、及び533を通して後退する。ウエハ支持ピン513は開口部533を通して後退する。実際には、ウエハ支持ピン513は、ウエハ支持ピン513の先細りとなった端部が開口部533の先細りとなった区分内に配置されるように後退する。この時点で、ウエハ支持ピン513は、ウエハ511が載置されるようなサセプタ402の表面と同一平面にあり、ウエハ511がサセプタ402の上に載置された状態となる。
図28−Aは、サセプタ402の開口部533の先細りとなった区分内に配置されたウエハ支持ピン513の詳細断面図であり、この時点で取着ロッド512は、ウエハ支持ピン513が開口部533の先細りとなった区分内に配置されるように十分に後退している。
図28−Bは、本発明の他の実施例に基づくウエハ支持ピン1113の詳細断面図であり、この時点で取着ロッド1114はウエハ支持ピン1113が配置されるように十分後退している。ウエハ支持ピン1113は円筒形のヘッドを備えており、この円筒形のヘッドはサセプタ1103に形成された円筒形の凹部1133a内に配置されている。ウエハ支持ピン1113もまた、サセプタ1103に形成された開口部1133bを貫通する円筒形のシャフトを有する。円筒形の凹部が、円筒形のシャフトに形成されており、このシャフト内には取着ロッド1114が延出している。代わりに、円筒形のシャフトはより小さい直径を有するように形成されかつ凹部を備えず、取着ロッド1114の代わりとなる対応するシャフト内に形成された凹部内に延出してもよい。取着ロッド1114及びウエハ支持ピン1113は、ウエハ支持ピン513及び取着ロッド512について上述されたように動作する。
図28−Cは、本発明の他の実施例に基づくウエハ支持ピン1123の詳細断面図であり、この時点で取着ロッド1122はウエハ支持ピン1123が配置されるように十分後退している。ウエハ支持ピン1123は円筒形であり、かつ円錐形の頭部に形成された窪みを有する。ウエハ支持ピン1123はサセプタ1104に形成された円錐形の凹部1143aに配置されている。取着ロッド1122は、サセプタ1104を貫通して形成された開口部1143b内に延在し、かつウエハ支持ピン1123に形成された窪みに嵌合している。取着ロッド1122及びウエハ支持ピン1123は、ウエハ支持ピン513及び取着ロッド512についてこれまで説明されたように動作する。
ウエハ支持ピン513、1113、及び1123は、石英ガラス、シリコンカーバイド、または黒鉛から形成される。好ましくは、ウエハ支持ピン513、1113及び1123はウエハ支持ピン513、1113、及び1123の熱伝達特性がサセプタ402、1103、及び1104の熱伝達特性と等しくなるように、対応するサセプタ402、1103、及び1104(または少なくともウエハ支持ピン513、1113、及び1123を囲繞するサセプタ402、1103、及び1104の領域)と等しい材料から形成される。ウエハ支持ピン513、1113、及び1123は各々、サセプタ402、1103、及び1104の開口部の凹部内に密着して配置されなければならず、これによって反応ガスが開口部内に流れ込むことが防止される。
以下により詳しく説明されるように、ウエハの寸法が異なる場合、各ウエハの寸法に対してウエハがサセプタ402の異なる位置に配置されるので、異なるサセプタ402が必要となる。更に、取着ロッド512、ウエハ支持ピン513、及び開口部513、532、及び533の個数及び位置が、使用される特定のサセプタ402に応じて変化する。従って、異なる寸法のウエハを上昇及び下降させるために、異なる取着ロッド512が用いられる。
寸法の異なる各ウエハに対する取着ロッド512の位置が図15に表されている。125mm(5インチ)、150mm(6インチ)及び200mm(8インチ)のウエハに対して、取着ロッド512b、512d、及び512eが用いられている。所望に応じて取着ロッド512a、512b、512e、及び512dが200mm(8インチ)のウエハに用いられる。250mm(10インチ)のウエハに対して、取着ロッド512a、512c、512f、及び512gが用いられている。300mm(12インチ)のウエハに対して、取着ロッド512f、512g、512h、及び512iが用いられている。
以下により詳しく説明されるように、本発明の他の実施例では、ウエハ囲繞リングがサセプタに形成されたポケット内に配置され、ポケット内に配置された各ウエハ囲繞リングが、少なくともウエハの一部の下に配置される。これらの実施例では、ウエハ支持ピンは上述されたウエハ支持ピンと同様に形成され、サセプタが下降したとき、ウエハ囲繞リングと接触しかつウエハ囲繞リングを持ち上げる。次に、ウエハがウエハ囲繞リング内に載置され、サセプタが上昇し、ウエハがウエハ囲繞リングと密着する。ウエハを処理した後に、サセプタは再び下降し、ウエハ支持ピンがウエハ囲繞リングをサセプタの上に持ち上げる。ウエハ囲繞リングは少なくともウエハの一部分の下に配置されているので、ウエハもまたサセプタの上に上昇し、ウエハを反応チャンバから容易に取り除くことができる。
図29は、3枚のウエハを保持するための本発明に基づくサセプタ1102の平面図である。以下により詳しく説明されるウエハ囲繞リング1101a、1101b、及び1101cは、サセプタ1102の対応するポケット内に配置されている。サセプタ1102はサセプタ支持部916の上に載置されている。
図30は、サセプタ支持ピン1112a、1112b、1112c、及び1112dによってサセプタ1102の上に持ち上げられたウエハ囲繞リング1101aの概略断面図である。サセプタ支持部916は図を明瞭にするために図30では省略されている。ウエハ支持ピン1112a、1112b、1112c、及び1112dは、支持ピンプレート1115と一体形成されている。代わりに、ウエハ支持ピン1112a、1112b、1112c、及び1112dはウエハ支持ピンプレート1115に溶接されるかまたは支持ピンプレート1115に形成された開口部に螺合されてもよい。支持ピンプレート1115は、ナット及びボルトによって容器401の底壁401aに固着されるか、または底壁401aにピンを用いて留められる。
ある実施例では、各ウエハ囲繞リング、例えばウエハ囲繞リング1101aの裏側面には、サセプタ1102が下降したときに対応するウエハ支持ピン、例えばウエハ支持ピン1112a、1112b、1112e、及び1112dが取着される窪みが形成されており、ウエハ支持ピン1112a、1112b、1112c、及び1112dがウエハ囲繞リング1101aと接触する。これに対応して、各ウエハ支持ピン、例えばウエハ支持ピン1112a、1112b、1112c、及び1112dの各々は、ウエハ囲繞リング1101aに形成された対応する窪みに嵌合する円錐形の頭部を備えている。ウエハ支持ピン1112a、1112b、1112c、及び1112dは、石英ガラス、黒鉛、セラミック、またはステンレス鋼から形成されている。図には4個のウエハ支持ピンが表されているが、3個または5個もしくはそれ以上の個数のウエハ支持ピンを用いることもできる。
図16及び図17に表されているように、サセプタ支持構造の概ね全ての部分が反応チャンバ403内に配置されることはない。シャフト516のわずかな部分及び環状シャフト419のある部分(サセプタ402の位置によって変わる)が、反応チャンバ403内に配置される。シャフト516の中間部分は、石英ガラス被覆507によって囲繞されており、この中間部分はまた、シャフト416及びシャフト516の底部部分を反応チャンバ403に対して密閉している。抵抗加熱器407はサセプタ407と共に上昇及び下降するので、サセプタ402が図16の下降位置にあるとき、または図17の上昇位置にあるときに、サセプタ支持構造の概ね大部分が反応チャンバ403内に配置されることはない。
重要なことであるが、電動機415及び417(図9及び図10)が反応チャンバ403の外側に配置されている。サセプタ402を支持し、かつ移動させるための構造の構成要素の大部分が、反応チャンバ403の外側に配置されているので、従来の反応炉と比べ、望まれないプロセスガスの堆積が形成される表面が少なくなる。従って、反応炉400を連続して用いる間に、ウエハ511に堆積されたある材料からなる層に悪影響を及ぼし、または反応炉400の加熱特性を変える、汚染が少なくなる。
これまで説明されたように、サセプタ402を回転させることができる。サセプタ402は時計方向もしくは反時計方向に回転させることができる。サセプタ402が回転することによって、(サセプタ402の回転の軸と一致する点を除く)ウエハ511の表面の各点の位置が反応炉400の動作中に、ウエハ511を通過するガス流の平均的な向きに対して連続的に変化する。従って、ウエハ511の断層及びずればかりでなく、ウエハ511に堆積された膜の不均一性を発生させる熱またはガスの分布の不均一性の影響が概ね除去される。ウエハを特定の位置に配置した場合に比べ、サセプタを回転させることによって、熱の不均一性を除去し若しくはガスをウエハ511(図17)の上側面511aに亘って分布させることができる。典型的には、サセプタ402は0.5〜30rpmの速度で回転する。正確な速度は、反応炉400が特定の用途のために設計された後に、「回転」反応炉400の過程の一部として実験的に決定される。
図16及び図17に表されているように、抵抗加熱器407は環状シャフト419に取着されており、抵抗加熱器407はサセプタ402の下の近傍に配置されている。サセプタ402が回転することによってサセプタ402と抵抗加熱器407との間に摩擦が生じ、この摩擦によって望まれない粒子が発生し、かつサセプタ402若しくは抵抗加熱器407が損傷される可能性があるために、抵抗加熱器407とサセプタ402は接触させることができないが、理想的には、抵抗加熱器407とサセプタ402との間は最小の間隔を置いて配置されていることが好ましい。ある実施例では、抵抗加熱器407は、サセプタ402の下に1.3cm(0.5インチ)離れて配置されている。抵抗加熱器407は、反応チャンバ403内でサセプタ402が上または下に移動するときに、サセプタ402と共に上または下に移動するので、抵抗加熱器407は、反応チャンバ403内のサセプタ402の位置とは無関係に、所定の電力レベルに対して、ウエハ511に等しい熱量を提供する。
反応チャンバ400内でのウエハの処理を開始する時点で、ウエハ511の温度をウエハの過度の応力を発生させることなしに、できるだけ迅速かつ均一に上昇させるべくランプ505及び抵抗加熱器407が熱を供給する。異なる熱量が、ランプ505及び抵抗加熱器407の各々によって供給される。ランプ505及び抵抗加熱器407によって供給される熱量は、先行する温度校正に基づいて予め決定されている。反応炉400内の温度が、センサ、例えば熱電対525によって測定された反応炉の動作温度の範囲内に達したときに、ランプ505の集合及び抵抗加熱器407は別個に、反応炉400内の測定された温度に基づいて制御され、ウエハ511がプロセス温度にさらされたときに、ウエハ511全体の温度を概ね均一に保つべく必要な様々な熱量を供給する。
複数のSCR(silicon controlled rectifiers)が、両方の熱源に供給される電流を制御し、各熱源からの熱量を制御する。図9、図10、第12図、第13図、図14、図15、図16、及び図17に表された本発明の実施例では、7個のSCRが用いられている。SCR1及びSCR2は、抵抗加熱器407を制御している。抵抗加熱器407が発生する熱量は、抵抗加熱器407の発熱要素に印加される電圧及び発熱要素に流れる電流の大きさに比例するので、SCR1及びSCR2は、抵抗加熱器の発熱要素を流れる電流を変化させ、抵抗加熱器407によって供給される熱量を減少もしくは増加させる。SCR3〜SCR7は各々、ランプ505の集合を制御する。各ランプ505から放射される放射エネルギーは、ランプ505に印加された電圧及びランプ505を流れる電流に比例する。従って、各SCR3〜7SCRは、関連するランプ505の電流を制御し、これらのランプ505によって供給される熱量を調節する。
図31は、本発明のある実施例に基づくランプ505のランプアレイ1200の概略平面図である。既に明らかなように、16個のランプ505、即ち、505a、505b、505c、505d、505e、505f、505g、505h、505i、505j、505k、505l、505m、505n、505o、及び505pが存在する。16個のランプ505によって5つの集合が形成されている。SCR3は2個の両側のランプ505a及び505bに電力を供給している。SCR4は、ランプ505の中央の行の4個の外側のランプ505c、505d、505m、及び505pに電力を供給している。SCR5は、ランプ505の中央の行の中心部分のランプ505e及び505fに電力を供給している。SCR6は、ランプ505g、505i、505jに電力を供給し、SCR7は、ランプ505k、505l、505n、及び505oに電力を供給している。
本発明に基づけば、ランプ505は、並列接続若しくは直列接続と並列接続の組合せで接続される。本発明の好適な実施例では、全てのランプ505は並列接続され、480Vの電源から電力を供給される。即ち、2個のランプを直列接続してすべてのランプを接続する場合、ランプ505を点灯させるためには960Vの電源を使用することが必要となる。
反応炉400の動作中に、ランプ505と抵抗加熱器407によって供給される熱量を調節するべく、ランプ505と抵抗加熱器407はコンピュータによって制御される。動作の開始時点で、反応炉400が加熱されるとき、コンピュータは、コンピュータ内に記憶されたパラメトリックな情報及び反応炉400に対して前もって実施された温度校正に基づいてランプ505の各集合及び抵抗加熱器を自動的に制御する。校正から得られたパラメトリックな情報は、SCR及び抵抗加熱器の電流を変化させるためにコンピュータによって使用され、ウエハ511を始めに加熱する間にウエハ511全体のほぼ均一な温度を保持するために必要な適切な各時刻の空間的な熱の分布を形成する。
コンピュータによる制御によって、ウエハ511を始めに加熱する間の、様々な電力の上昇率が達成される。本発明のある実施例では、計算機を適切に予めプログラムすることによって、ウエハ511を始めに加熱する間に30種類までの電力の上昇率が達成される。使用される電力の上昇率は、反応炉400の複数の試験運転から実験的に決定され、ウエハ511の温度をほぼ均一に保ち、処理過程に対して適切な場合、ウエハのずれが最小となる。
反応チャンバ403内の温度が、使用されている温度センサが正確に動作する温度のレベルに達したとき(例えば、温度センサとして熱電対525が用いられる場合800〜1100℃)、コンピュータは上述された自動制御からフィードバック制御に制御を切り替える。検出された温度はコンピュータによってモニタされ、かつランプ505及び抵抗加熱器407に関する記憶されたパラメトリックな情報に基づいて、ランプ505及び抵抗加熱器407が発生する熱を適切に制御するべくSCR及び抵抗加熱器407を適切に調節するために用いられ、ウエハ511全体の温度分布を予め決められた範囲内に保持する。全てのランプ505の電力は同時に増加若しくは減少させられるが、ランプ間の電力の比は固定されており、概ね1以外の値に固定されており、ランプ505の電力を増加させることによって、個々のランプの集合の電力は、ランプの集合の(校正時に)予め決められた電力の比に基づいてそれぞれ異なる量だけ増加する。
図31のランプ505の中央の列の側面図が、第12図に表されている。列の中心近く(及びサセプタ402の中心部分の上)のランプ505、例えばランプ505e及び505fは、列の両端のランプ505、例えばランプ505c及びランプ505dよりも、サセプタ402の表面、従ってウエハ511の表面511a(図17)から離れて配置されている。従って、ウエハのエッジ511cでの熱の損失を補い、かつウエハ51全体の温度を均一に保つべく、より多くの熱がエッジ511c(図17)に供給されるように、ランプ505c及び505dがランプ505e及び505fよりも多くの熱を供給するように動作することが予測されるにも関わらず、ランプ505e及び505fからの熱は、ウエハ511の表面に吸収される前に、ランプ505e及び505dから放射された熱にくらべより長い距離を移動しなければならないので、ランプ505c及び505dがより多くの熱を発生させる必要はない。
抵抗加熱器407を用いず、かつ受動熱分配要素727(図21)を含む反応炉400の実施例では、ウエハ511を始めに加熱する間、ランプ505a、505b、505c及び505d(第12図及び第13図)は、ウエハ511のエッジ511cに向けられ、ウエハ511の中心付近の領域に向けられたランプ505e及び505fよりも、およそ20〜30%大きいエネルギーを放射するように制御されている。反応チャンバ403がプロセス温度に近づいたとき、ランプ505a、505b、505c及び505dは、ランプ505e及び505fのおよそ2倍のエネルギーを放射するように制御される。他のランプ505は、ランプ505a、505b、505c、及び505dと、ランプ505e及び505fとのエネルギーレベルの間にあるエネルギー量を放射するように制御されている。他のランプ505によって放射されるエネルギーの正確な量は、ウエハのずれを最小にし、かつ受容可能な均一な抵抗率を生み出すように実験的に決定されている。ランプの各集合から放射されるエネルギーの量の上述された関係は、ウエハ511が加熱されているとき、ウエハ511全体(または複数枚のウエハが処理されている場合には各ウエハの全体)の温度が概ね均一となるような関係となっている。
受動熱分配要素727の代わりに、抵抗加熱器407(図9、図10、図16及び図17)を含む本発明の他の実施例では、特定のランプ505の放射エネルギーの間には同様の関係が存在する。適切な電力の比が、いくつかの校正を実施することによって実験的に決定される。抵抗加熱器407が存在しないかまたは動作していない実施例と比べ、中心のランプ505e、505fは、外側のランプ505a、505b、505c及び505dよりも多くのエネルギーを放射することが予測される。
図31に表されたランプアレイ1200が、抵抗加熱器407を備えた実施例若しくは備えていない実施例の何れにも設けられていることが注目される。ランプアレイ1200が何れの実施例でも等しく配置され、ウエハ511全体に亘って概ね均一な温度が保持されるように、ランプ505の各集合の適切な電力比を確定するための温度校正を実施することのみが必要である。
更に、反応炉400よりも大きい本発明に基づく反応炉は、ランプアレイ1200を使用し、ウエハの概ね均一な温度を達成するべくランプの適切な電力比を決定するために温度校正を実施することのみが必要である。そのような大型の反応炉は、反応炉400が処理することのできるウエハよりもより大型なウエハまたは同時に所定の寸法の複数枚のウエハを処理するために用いられる。
代わりに、反応炉400よりも十分に大きい反応炉では、ランプアレイ1200に更にランプを加えることができる。例えば、1個または複数のランプが、ランプアレイ1200の両側、即ちランプ505a、505b、505c及び505dに隣接して配置される。更に、反応炉400よりも大型の反応炉では、、ランプアレイ1200の両端に順番にランプが加えられたとき、隅に発生する「ギャップ」にランプを配置するべく、対角線上に配置されたランプが、ランプアレイ1200の隅に加えられる。上述された全てのランプアレイに対して、ウエハ511の処理が実施される間、ウエハ511の概ね均一な温度を達成するためのランプ505に対する適切な制御を決定するために、校正が実施される。
図32は、本発明の他の実施例に基づくランプアレイ1250の概略平面図である。ランプ1250a〜1250rは、ウエハが載置されているサセプタ1202の表面と直交する方向から眺めたとき、サセプタ1202の手前に延在する行に配置されている。ランプ1250s及び1250tは、ランプ1250a〜1250rの行の一方の側面に、行と直角に配置されており、ランプ1250u及び1250vは、ランプ1250a〜1250rの行のもう一方の側面に行と直行して配置されている。1250w〜1250zの各ランプは、ランプアレイ1250の各隅に存在する「ギャップ」に対角線上に配置されている。
本発明の他の実施例では、サセプタ402の下に配置された抵抗加熱器407を用いる代わりに、誘導コイルを含むラジオ周波数(RF)熱源がサセプタ402の下に配置されている。図33−A及び図33−Bは各々、本発明の実施例に基づくサセプタ402の下に配置された誘導コイル1311の側面図及び平面図である。コイル1311は、サセプタ402の面と概ね平行な面内に配置されている。図33−Aに表されているように、コイル1311の巻線は、サセプタ402から様々な距離に配置されている。サセプタ402のエッジ部分では、コイル1311の巻線はサセプタ402の近傍に配置されている。サセプタ402の中心に向かうに従って、コイル1311の巻線はサセプタ402から遠ざけられて配置されている。サセプタ402の中心付近では、コイル1311の巻線は再びサセプタ402の近傍に配置されている。
コイル1311に電流が流れるとき、コイル1311の近傍に電磁界が誘導される。一方、この電磁界によってサセプタ402に電流が誘導される。この電流によってサセプタ402が加熱される。一般によく知られているように、サセプタ402に分布する電流(及び熱の分布)は、コイル1311の巻線間の距離と、コイル1311の巻線とサセプタ402との間の距離と、コイル1311を流れる電流の周波数との関数となっている。従って、これらの変数は、サセプタ402の所望の温度分布を達成するように設定されている。
RF熱源が用いられる場合、サセプタ402は、コイル1313を流れる交流電流によって誘導される電磁界からのエネルギーを吸収するべく(石英ガラスではなく)黒鉛から形成されなければならない。黒鉛によって形成されたサセプタ402は、サセプタ402の上に載置されたウエハ511を加熱するエネルギーを吸収するので、抵抗加熱器407と石英ガラス製のサセプタ402の組合せが用いられている場合よりも、所望の温度レベルを達成するためにより長い時間が必要となる。
反応炉400は、1枚のウエハまたは複数枚のウエハを処理するために用いられる。処理される1枚のウエハ若しくは複数枚のウエハがサセプタの凹部に載置されるので、異なる寸法のウエハに対して、凹部の個数及び寸法の異なるサセプタ、例えばサセプタ402を用いることが必要である。更に、サセプタ402の上に異なる寸法のウエハを上昇させるために異なる個数のウエハ支持ピン513(図16及び図17)が用いられるので、異なるサセプタ402が必要となる。典型的には、異なるサセプタを用いることによって、ウエハの高いスループットを得ることは制限されない。その理由は、特定のウエハの寸法に対するバッチは、通常順番に処理され、必要となるサセプタを交換する回数が最少となっているためである。各サセプタ、例えばサセプタ402は、その直径が35.6cm(14インチ)であり、その厚さは(ウエハを収容するための凹部以外では)約0.95〜1.27cm(0.375〜0.5インチ)である。
サセプタ402は石英ガラスから形成されてもよい。サセプタ402が、石英ガラスから形成されている場合、ランプ505に面したサセプタ402の表面は、保温性を増加させるためにビードブラストされている。抵抗加熱器407または受動熱分配要素727に面したサセプタ402の表面は、炎研磨(flame polishing)または機械研磨によって洗浄され、ウエハ511への熱がサセプタ402を通してより多く伝達されることになる。
サセプタ402の下に配置された熱源が抵抗加熱器407からなる本発明の前記実施例では、サセプタ402は、抵抗加熱器407からの熱をほとんど吸収することのない石英ガラスから形成されていることが好ましい。大部分の熱が、石英ガラスを通してウエハ511に伝達されるために、1枚のウエハまたは複数枚のウエハが比較的迅速に(15〜30秒で)加熱される。
RF熱源がサセプタ402の下に配置されている本発明の実施例では、サセプタ402は、RFエネルギーを吸収し、かつウエハ511に伝達される熱を発生する黒鉛によって形成されていなければならない。サセプタ402が黒鉛から形成されている場合、サセプタ402はウエハ511がサセプタ402の上に配置されたとき炭素によってウエハ511が汚染されることを防止するべく、サセプタ402はシリコンカーバイドの薄い膜によって被覆されている。
これまでの説明からも明らかなように、ウエハ511の全体に亘って均一な温度を保持することは、ウエハ511を正確に処理するために重要である。特に、ウエハ511の端部511cでは、反応チャンバ403内の周囲温度の雰囲気へのウエハ511の熱の放散は、エッジ511cでの大きい熱の変化を形成し、エピタキシャル層の「ずれ」と呼ばれる好ましくない現象を生み出す。従って、ウエハ511のエッジ511cの温度を調節する手段が特に必要となる。
図34−A及び図34−Bは各々、本発明のある実施例に基づく、ポケット1403内にウエハ囲繞リング1401及びウエハ1404が配置されるサセプタ402の平面図及び側面図である。ウエハ囲繞リング1401の中心には開口部が形成されている。棚が開口部に隣接して形成され、かつウエハエッジ接触面1401fとウエハ背面接触面1401eとによって画定されている。ウエハ囲繞リング1401は、ウエハ囲繞リング1401のウエハ背面接触面1401eがスピンドル1402のウエハ背面接触面1402aとほぼ同一平面となるように、スピンドル1402の周りに配置されている。
スピンドル1402は、サセプタ402と一体形成されるかまたはポケット1403内に配置される別個の部品として形成されてもよい。以後、本発明の以下の説明では、「スピンドル」は、サセプタのポケットの中心に配置され、かつサセプタと一体的に形成されたまたは別個に形成された構成要素を表している。「サセプタインサート」は、サセプタのポケットの中心に配置され、かつサセプタとは別個に形成された構成要素を表している。更に、本明細書で典型的に用いられているように、「スピンドル」は、「サセプタインサート」よりも大きな厚さを有する構成要素を表し、しかし、これはある場合では必ずしも必要なことではなく、スピンドル及びサセプタインサートが等しい厚さを有してもよい。一般的に、「スピンドル」と「サセプタインサート」は、概ね等しい構成要素を表し、「スピンドル」若しくは「サセプタインサート」を用いることによって、任意の厚さを備え、かつサセプタと別個に形成された構成要素若しくはサセプタと一体形成された構成要素を表している。
ウエハ1404は、ウエハ囲繞リング1401のウエハ背面接触面1401e及びスピンドル1402のウエハ背面接触面1402aの上に載置されており、ウエハ1404の上側面1404aはウエハ囲繞リング1401の環状の上側面1401dよりわずかに低い位置に配置されている。
ウエハ囲繞リング1401は、アメリカ合衆国ミシガン州ミッドランドのミッドランド・マテリアルズ・リサーチ(Midland Materials Research)から入手することができる。ウエハ囲繞リングは、黒鉛またはシリコンカーバイドのような比較的低い熱伝導率を有する材料から形成されている。ウエハ囲繞リングが黒鉛から形成されている場合、ウエハ囲繞リング1401はシリコンカーバイドによって被覆されている。シリコンカーバイドは、黒鉛が剥離することによるウエハ表面への炭素の混入を防止するので、シリコンカーバイドによって、粒子による汚染が最小となる。シリコンカーバイドの被膜は、黒鉛から炭素が剥離することによるウエハ1404の汚染を防ぐために十分な厚さを有するように形成されている。シリコンカーバイドの被膜の正確な厚さは、ミッドランド・マテリアルズ・リサーチの専有の情報である。
本発明の更に他のいくつかの実施例では、様々な構成要素、例えばウエハ囲繞リング、スピンドル、及びサセプタインサートが黒鉛から形成されている。これらの構成要素の内の1つが黒鉛から形成されている場合、その構成要素を上述された理由から、上述されたようにシリコンカーバイドで被覆することが好ましい。
例えば、200mm(8インチ)のウエハを保持するために十分な大きさを有するポケット1403を備えた直径356mm(14インチ)のサセプタ1402では、ウエハ囲繞リング1401の環状の上側面1401dの幅1401aは、3.18mm(0.125インチ)であり、ウエハ1404の下のウエハ囲繞リング1401の厚さ1401bは2.54mm(0.10インチ)であり、ウエハ囲繞リング1401の外側エッジ面1401gと内側エッジ面1401hとの間の幅1401cは、15.2mm(0.60インチ)である。幅1401a、厚さ1401b及び幅1401cはその他の値であってもよい。
スピンドル1402は、黒鉛、シリコンカーバイドまたは石英ガラスから形成される。黒鉛及びシリコンカーバイドは、ウエハ1404の裏側面1404bへの熱の伝達をより大きくし、かつウエハ1404の裏側面1404bからの熱の伝達を防止するために望ましいものである。石英ガラスは、ウエハ1404の裏側面1404bへ熱をほとんど伝達せず、かつウエハ1404の裏側面1404bからの熱の伝達を防止する。しかし、熱の損失は、主にウエハ1404のエッジ部分1404cで問題となるので、スピンドル1402、即ちウエハ1404の中心部分の下に石英ガラスを用い、かつウエハ囲繞リング1401、即ちウエハ1404のエッジ部分1404cの下及び周囲に、黒鉛若しくはシリコンカーバイドを用いることによって、ウエハ1404の好ましい温度の均一性が提供される。
ウエハ囲繞リング1401(及び以下に説明される本発明の他の実施例に基づくウエハ囲繞リング)は、ウエハ1404をサセプタ402のポケット1403内の定位置に保持する。特に、ウエハ1404のエッジ部分1404cの周囲にウエハ囲繞リング1401を配置することによって、ウエハ1404のエッジ部分1404c付近の温度を、ウエハ1404の他の部分の温度と概ね等しくすることができる。
図35−Aは、本発明の他の実施例に基づく、ポケット1403内にウエハ囲繞リング1401、サセプタインサート1417、スピンドル1412及びウエハ1404が配置されたサセプタ402の断面図である。ウエハ囲繞リング1401がポケット1403の定位置に配置された後に、サセプタインサート1417は、ウエハ囲繞リング1401の中心にウエハ囲繞リング1401を貫通して形成された開口部内のポケット1403内の定位置に配置される。サセプタインサート1417の厚さの3倍の厚さを備えたスピンドル1412が、ウエハ囲繞リング1401の開口部内でサセプタインサート1417の上に配置され、スピンドル1412のウエハ接触面1412aが、ウエハ囲繞リング1401のウエハ接触面1401eと概ね同一平面に配置される。ウエハ1404は、ウエハ囲繞リング1401のウエハ接触面1401eとスピンドル1412のウエハ接触面1412aとの上のウエハ囲繞リング1401内に載置されている。
スピンドル1412及びサセプタインサート1417は、石英ガラス、シリコンカーバイドまたは黒鉛から形成される。典型的には、スピンドル1412とサセプタインサート1417の一方が石英ガラスから形成され、もう一方が黒鉛またはシリコンカーバイドから形成される。
サセプタインサート1417は、硬質のプレートまたは柔軟な布から形成されてもよい。本発明のある実施例では、サセプタインサート1417はシリコンカーバイドで被覆された板状のプレートからなり、全体の厚さは約3.18mm(0.125インチ)である。
本発明の他の実施例では、サセプタインサート1417は、厚さ0.0025mm(0.0001インチ)から3.18mm(0.125インチ)の板状のシリコンカーバイドから形成されている。シリコンカーバイド製のプレートは、上述された黒鉛製のプレートよりも薄いものであってよく、この理由はプレートが、本発明に基づく反応炉を用いる製造過程の高温度にさらされたとき、シリコンカーバイド製のプレートが黒鉛製のプレートよりも湾曲に対する耐性が高いためである。薄いシリコンカーバイド製のプレートによって、ウエハ1404をより急速に加熱及び冷却することが可能となる。しかし、黒鉛製のプレートの製造コストはシリコンカーバイド製のプレートの製造コストよりも低い。
本発明の更に他の実施例では、サセプタインサート1417はシリコンカーバイド製の布からなる。シリコンカーバイド製の布はシリコンカーバイド製のプレートよりもその製造コストが低いが、しかしプレートと比べより多くの粒子による汚染を生み出す。
図35−Bは、本発明の他の実施例に基づくサセプタ402のポケット1403に配置されたウエハ囲繞リング1421と、スピンドル1422と、ウエハ1404の断面図である。ウエハ囲繞リング1421は、サセプタ402のポケット1403のスピンドル1422の周囲に配置されている。ウエハ囲繞リング1401(図34−A〜図35−A)とは異なり、ウエハ囲繞リング1412はその中心に形成された自己整合開口部を備えていない。しかし、ウエハ囲繞リング1421の内側のエッジ面1421c及び外側のエッジ面1421dは、ウエハ囲繞リング1421の上側面1420aから下側面1421aへ各々延在している。ウエハ1404は、スピンドル1422のウエハ背面接触面1422aの上に載置されており、かつウエハ囲繞リング1421の内側エッジ面1421cと、ウエハ1404のエッジ1404cとが接触することによって横方向の定位置に保持されている。
スピンドル1422はサセプタ402と一体形成されるかまたは別個の部品として形成され、ポケット1403内に配置される。スピンドル1422は、黒鉛、シリコンカーバイドまたは石英ガラスから形成されている。スピンドル1422がサセプタ402と一体形成されている場合、スピンドル1422はサセプタ402と等しい材料から形成されている。ウエハ囲繞リング1421は、シリコンカーバイドまたは黒鉛から形成されている。
図35−Cは、本発明の他の実施例に基づく、サセプタ402のポケット1403内に配置されたウエハ囲繞リング1421、サセプタインサート1437、スピンドル1432及びウエハ1404の断面図である。ウエハ囲繞リング1421はポケット1403内に配置されている。サセプタインサート1437は、ウエハ囲繞リング1421を貫通して形成された開口部内に配置されている。スピンドル1432は、ウエハ囲繞リング1421の中心に形成された開口部内のサセプタインサート1437の上に配置されている。ウエハ1404は、スピンドル1432のウエハ背面接触面1432aの上に載置され、かつウエハ囲繞リング1421の内側エッジ面1421cとウエハ1404のエッジ1404cとが接触することによって横方向に保持されている。
ウエハ囲繞リング1421、サセプタインサート1437及びスピンドル1432は、ウエハ囲繞リング1401、サセプタインサート1417及びスピンドル1412(図35−A)と等しい材料から形成されている。更に、サセプタインサート1417と同様に、サセプタインサート1437は柔軟な布若しくは硬質のプレートの何れか一方によって形成されている。
図36−Aは、本発明の他の実施例に基づく、サセプタ402のポケット1403内に配置されたウエハ囲繞リング1441とウエハ1404の断面図である。ウエハ囲繞リング1441はポケット1403内に配置されている。ウエハ背面接触面1441aとウエハエッジ接触面1441bとによって、ウエハ囲繞リング1441に凹部が画定されている。ウエハ1404は、ウエハ1404の上側面1404aが、ウエハ囲繞リング1441の上側面1441cよりもわずかに低くなるようにウエハ囲繞リング1441の凹部内に配置されている。ウエハ囲繞リング1441は、シリコンカーバイド若しくは黒鉛から形成されている。
図36−Bは、本発明の他の実施例に基づく、サセプタ402のポケット1403内に配置されたサセプタインサート1457、ウエハ囲繞リング1451及びウエハ1404の断面図である。サセプタインサート1457は、ポケット1403内に配置され、ポケット1403の底面全体を覆っている。ウエハ囲繞リング1451は、ポケット1403内のサセプタインサート1457の上に配置されている。ウエハ背面接触面1451aとウエハエッジ接触面1451bとによって、ウエハ囲繞リング1451内に凹部が画定されている。ウエハ1404は、ウエハ1404の上側面1404aが、ウエハ囲繞リング1441の上側面1451cよりもわずかに低くなるようにウエハ囲繞リング1451の凹部内に配置されている。
ウエハ囲繞リング1451は、ウエハ囲繞リング1441(図36−A)と等しい材料から形成される。サセプタインサート1457は、黒鉛から形成される。上述されたように、サセプタインサート1457は、柔軟な布若しくは硬質のプレートから形成されている。
図37−Aは、サセプタ402(図34−A〜図36−B)のポケット1403内に配置される、本発明の他の実施例に基づくウエハ囲繞リング1401、スピンドル1433、サセプタインサート1417及びウエハ1404の断面図である。図34−Bに関して既に説明されたように、スピンドル1433は別個の部品として形成されるか、若しくはサセプタ402と一体形成される。図35−Aのスピンドル1412とサセプタインサート1417の位置が、図37−Aのスピンドル1433とサセプタインサート1417の位置と逆になっていること以外は、図37−Aの構成は、図35−Aの構成と等しい。図35−Aに関してなされたこれまでの説明は、図37−Aに関しても適用される。これまで説明されたように、スピンドル1433及びサセプタインサート1417は、任意の所望の厚さを有してよく、ある実施例では等しい厚さを有する。
図37−Bは、サセプタ402(図34−A〜図36−B)のポケット1403内に配置される、本発明の他の実施例に基づくウエハ囲繞リング1406及びウエハ1404の断面図である。ウエハ囲繞リング1461は、キャビティ1461aがその裏側面1461bに形成されている以外は、ウエハ囲繞リング1441(図36−A)と等しい。
キャビティ1461aは、ウエハ1404が載置されるウエハ囲繞リング1461の上側面1461dに形成された凹部1461cと対称的に形成されていることが好ましい。キャビティ1461aはその全体に亘って均一な深さを有し、図37−Aの面と直交する方向から眺めた場合、キャビティ1461aは長方形の断面を有する。ある実施例では、サセプタ402のシャフト516(図16及び図17)と平行な方向から眺めた場合、キャビティ1461aが円筒形の形状を有するようにキャビティ1461aは円形の断面を有するが、しかし他の形状の断面、例えば正方形を有してもよい。
図37−Cは、サセプタ402(図34−A〜図36−B)のポケット1403内に配置される、本発明の他の実施例に基づくウエハ囲繞リング1471及びウエハ1404の断面図である。ウエハ囲繞リング1471は、キャビティ1471aがキャビティ1461aとは異なり、その全体に亘って等しい深さを備えていないこと以外はウエハ囲繞リング1461と等しい。キャビティ1471aの深さは、キャビティ1471aのエッジ部分からキャビティ1471aの中心に向けて段階的に増加しているので、図37−Cと直交する方向から眺めた場合、キャビティ1471aは三角形の形状を有する。ある実施例では、サセプタ402のシャフト516(図16及び図17)と直交する方向から眺めた場合、キャビティ1461aが円錐形であるようにキャビティ1471aは円形の断面を有するが、他の断面の形状、例えば正方形を有してもよい。
本発明に基づく他のウエハ囲繞リングには、ウエハ囲繞リング1461及び1471に関して例示された形状以外のキャビティを形成することもできる。一般的に、キャビティは、ウエハ1404の裏側面1404b(図34−B)の温度が均一に保持される任意の形状に形成される。
図38及び図39は各々、サセプタ402(図34−A〜図36−B)のポケット1403内に配置される、本発明の他の実施例に基づくウエハ囲繞リング1481、スピンドル1402及びウエハ1404の断面図及び平面図である。ウエハ1404は、ウエハ囲繞リング1481を明瞭に表すために図39では省略されている。既に説明されたように、スピンドル1402は、サセプタ402のポケット1403内に配置される別個の部品として形成されるかまたはサセプタ402と一体形成される。ウエハ囲繞リング1481及びスピンドル1402は、上述されたように、ウエハ囲繞リング1401及びスピンドル1402(図34−A及び図34−B)を形成する材料から形成されている。
ウエハ囲繞リング1408の全体的な形状は、ウエハ囲繞リング1401と等しい。しかし、ウエハ囲繞リング1408のウエハ背面接触面1481bに溝1481aが形成されている。溝1481aは、スピンドル1402が配置されるウエハ囲繞リング1481の中心に形成された開口部と同中心に前記開口部の周辺に円形の形状を有して形成されている。
図40は、サセプタ402(図34−A〜図36−B)のポケット1403に配置される、本発明の他の実施例に基づくウエハ囲繞リング1482及びスピンドル1402の平面図である。ウエハ囲繞リング1482を明瞭に図示するために、ウエハ1404は図40では省略されている。ウエハ囲繞リング1482は、溝1482aが、ウエハ囲繞リング1481の溝1481aのように円形の形状を有するのではなく、ウエハ囲繞リング1481の中心に形成された開口部から半径方向に延在して形成されている以外はウエハ囲繞リング1481と等しい。
ウエハ囲繞リング1481及び1482に各々溝1480a及び1482aを形成することによって、ウエハ1404のエッジ部分1404cで、ウエハ1404の裏側面1404b(図38)に向かい合うウエハ囲繞リング1481または1482の表面積が大きくなる。従って、溝が形成されない場合と比べ、ウエハ1404と、ウエハ1404のエッジ部分1404c付近のウエハ囲繞リング1481若しくは1482との間のより良好な熱の伝達が達成され、上述されたウエハ1404のエッジ部分1404cでの熱の損失の問題を解決することが援助され、かつウエハ1404全体に亘る均一な温度を保持することが可能となる。
溝1481aおよび1482aは、フライス削りによって形成される。例えば、溝1481a及び1482aは0.76mm(0.030インチ)の厚さ及び0.15mm(0.020インチ)の幅を有する。より一般的には、溝1481a及び1482aは、ウエハ1404のエッジ部分1404c付近の温度の均一性を保持することのできる任意の幅及び深さを有するように形成することができる。
図39には2個の溝1481aが、図40には39個の溝1482aが各々例示されているが、図39及び図40に例示された何れの実施例でも任意の個数の溝を形成することができる。更に、図38に例示された溝1481aは正方形の断面を有するが、溝1481a及び溝1482aの何れも、他の形状の断面、例えば長方形若しくはV型の形状の断面を有してもよい。更に、溝1481aはウエハ囲繞リング1481の中心に形成された開口部の周囲に連続的な円形の形状を有するように形成されているが、連続的な形状を有することは必ずしも必要ではない。溝1482aは、図40に示されているように、ウエハ1404を保持する凹部の外側端部1482bからウエハ囲繞リング1482の内側エッジ1482cに形成されたものでなくてもよい。
図41−Aは、サセプタ402(図34−A〜図36−B)のポケット1403内に配置される、本発明の他の実施例に基づくウエハ囲繞リング1491及びウエハ1404の断面図である。ウエハ囲繞リング1491では、ウエハ1404が載置される凹部は、上述された実施例の平坦な棚ではなく、傾斜した棚1491aを有する。
図41−Bは、処理中に加熱されたウエハ1404が湾曲した状態を例示した、ウエハ囲繞リング1491及びウエハ1404の断面図である。傾斜した棚1491aを形成することによって、ウエハ1404が湾曲したときに、ウエハ1404のエッジ部分1404c付近の領域を、湾曲した棚1491aと接触した状態に保持することが可能となる。従って、ウエハ囲繞リングの棚が平坦な場合と比べ、ウエハ1404のエッジ部分1404cの領域をより均一な温度に保持することができる。傾斜した棚1491aの角度は、加熱中に湾曲したウエハ1404のエッジ部分の近傍の角度と概ね一致するように選択されていることが好ましい。例えば、この角度は、150mm(6インチ)のウエハに用いられるウエハ囲繞リングでは約4.7°であり、200mm(8インチ)のウエハに用いられるウエハ囲繞リングでは約4.1°である。
図41−A及び図41−Bには表されていないが、スピンドルは、ウエハ囲繞リング1491の中心に形成された開口部内のウエハ1404の下に配置される。更に、スピンドルは、ウエハ1404が加熱されて湾曲したときに、ウエハ1404の予測される曲率に一致するような形状を備えたウエハ1404に隣接する表面を有する。
図41−Cは、本発明の他の実施例に基づく、ウエハ囲繞リングの断面図である。ウエハ囲繞リング1492は、ウエハ1404が配置される傾斜した棚1492aを備えている。更に、傾斜した棚1492aには円形の溝1492bが形成されている。溝1492bは、溝1481a(図38及び図39)に関して説明された効果と等しい効果を提供する。溝1492bはまた、図40に例示されたように傾斜した棚1492aに放射状に形成されてもよい。溝1492bは、溝1481a及び1482bに関して説明された方法と等しい方法を用いて形成される。溝1492bの寸法は、溝1481a及び1482aに関して説明されたように決定される。
図34−A〜図41−Cの上述された実施例では、ウエハ囲繞リング、スピンドル及びサセプタインサートの前記特定の寸法は、ずれを最小にし、かつウエハ1404のほぼ均一な温度を保持するべく、実験的に決定されている。更に、シリコンカーバイド若しくは黒鉛の代わりに石英ガラスが用いられている場合、そのような材料は、それらの材料の好ましくない熱慣性(thermal inertia)と黒鉛若しくはシリコンカーバイドの好ましい熱保持力(thermal retantion)とを比較することによって決定される。更に、スピンドル若しくはウエハ囲繞リングが石英ガラスから形成されている場合、石英ガラスの表面はビードブラスト若しくは洗浄される。石英ガラスの表面をビードブラストすることによって、熱保持力が増加する。更に、図34−A〜図41−Cでは、1枚のウエハがサセプタの上に載置された本発明の実施例が例示されているが、上述された構造の1つをウエハが載置される各ポケット内に配置することによって、上述された構造を1枚以上のウエハが載置されるサセプタに用いることもできる。
図42−A及び図42−Bは、本発明のある実施例に基づく、ウエハ囲繞リングのC型区分1495の平面図及び側断面図である。本発明に基づくウエハ囲繞リングは、C型区分1495と、前記C型区分1495と嵌合して円形のウエハ囲繞リングを形成する(図示されていない)補完的な区分の2つの部分から形成されている。ウエハは、ウエハ囲繞リングの上側面1495gよりも低い位置にあるウエハ背面接触面1495fの上に載置される。窪み1495a、1495b、1495c、及び1495dが、C型区分1495のサセプタ接触面1495eに形成されている。
図28−A及び図28−Bに関して既に説明されたように、サセプタが下降したとき、ウエハ支持ピン1112a、1112b、1112c、及び1112dが窪み1495a、1495b、1495c及び1495dと接触し、C型区分1495をサセプタから離れるように持ち上げる。ウエハ背面接触面1495fの上に載置されたウエハは、C型区分1495と共に持ち上げられる。しかし、C型区分1495が持ち上げられたとき、補完的な区分はサセプタ内に留まる。こうして、補完的な区分が嵌合されていた場所に開いた領域が形成され、この開いた領域を通してロボットアーム若しくはウエハ取扱いシステムがC型区分1495からウエハを持ち上げかつ移動させる。
サセプタ接触面1495eが、ウエハ背面接触面1495fと上側面1495gとからなる部分の幅よりも短い幅を有するように、C型区分1495の内側エッジ面1495hと外側エッジ面1495iは各々傾斜して形成されている。サセプタのポケットの側面は、C型区分1495の内側エッジ面1495hと外側エッジ面1495iに一致するように傾斜して形成されている。C型区分1495とサセプタとの間の境界面を傾斜させることによって、C型区分1495がサセプタの上に配置されたとき、C型区分1495が好適に密閉され、ガスがC型区分1495とサセプタとの間から漏洩しかつウエハの裏側面を汚染することが防止される。境界面を傾斜させることによって、サセプタへのウエハ囲繞リングの配置及びサセプタからのウエハ囲繞リングの除去がより容易となる。補完的な区分もまた等しい傾斜した表面を有する。
C型区分1495の開いた端部のエッジ1495j及び1495kも、ウエハ背面接触面1495f及び上側面1495gからサセプタ接触面1495eへ向けて内側に傾斜して形成されている。ウエハ囲繞リングの補完的な区分には、対応する傾斜したエッジが形成されている。C型区分1495と補完的な区分との間の境界面を傾斜させることによって、C型区分の補完的な区分に対する良好な密閉が形成される。ウエハをローディングまたはアンローディングするためにサセプタが降下したとき、C型区分1495が補完的な区分を持ち上げることのないように、エッジ1495j及び1495kは内側に傾斜していなければならない。補完的な区分は、補完的な区分及びサセプタに形成された対応する開口部内に嵌合するピンによってサセプタの上の横方向の定位置に保持されている。
他の実施例では、C型区分1495、サセプタのポケットの側壁、及び補完的な区分には、傾斜したエッジではなく垂直なエッジが形成されている。
図43は、C型区分1498及び補完的な区分1499を含む、本発明の他の実施例に基づくウエハ囲繞リング1497の平面図である。C型区分1498はリム1498a及びフロア1498bを含む。同様に、補完的な区分1499はリム1499a及びフロア1498bを含む。ウエハ囲繞リング1497は、フロア1498b及び1499bが、図42−A及び図43のウエハ囲繞リングのようにウエハの一部分の下に配置されているのではなく、ウエハの下全体に延在していること以外は図42−A及び図42−Bに関して説明されたウエハ囲繞リングと等しい。
反応炉400では、処理中のウエハ全体の温度を概ね均一に保とうとする場合、処理中のウエハをその外側に載置することのできない、概ね温度の均一な領域が反応チャンバ403の中心部分に存在する。しかし、この温度の概ね均一な領域内では、ウエハはサセプタ402の上の任意の位置に載置されてよい。図44−A、図44−B、及び図44−Cは、ウエハを載置するための3通りの可能な方法を例示した、反応炉400に用いるための3個のサセプタ1502、1522及び1542の平面図である。
図44−Aでは、ウエハ1511の中心1511aがサセプタ1502の中心1502aと一致しないようにウエハ1511が載置されている。ある実施例では、中心1511aは中心1502aから5.08cm(2インチ)離れている。反応炉400内に形成された温度の均一な広い領域によって、ウエハ1511がサセプタ402の中心に配置されていない場合、即ちウエハ1511が反応チャンバ1403の中心に配置されていない場合でも、ウエハ1511全体の温度が概ね均一に保持される。このようにウエハを中心から離して配置することは、サセプタ1502を適切な位置で回転させた場合、ウエハ1511をローディング及びアンローディングするためにウエハローディングアームが移動する距離を最小とし、様々な問題(例えばサセプタ1502とウエハ1511の不整合)がウエハ取扱い過程で発生する確率を減少させる。
図44−Bでは、ウエハ1531は、その中心1531aがサセプタ1522の中心1522aと一致するように載置され、従って、ウエハ1531は反応チャンバ403の概ね均一な温度の領域の中心に載置されている。このようにウエハをサセプタの中心に載置することによって、サセプタ1522の上に載置されているウエハ1531を、サセプタ1502の上に載置されているウエハ1511よりも大型にすることができる。
図44−Cでは、ウエハ1551、1552及び1553は、サセプタ1542の上に対称的に載置されている。ある実施例では、ウエハ1551、1552、及び1553の中心1551a、1552a及び1553aは、各々、サセプタ1542の中心1542aから9.609cm(3.783インチ)離れて配置されている。ウエハ1551、1552、及び1553の中心1551a、1552a及び1553aは各々、サセプタ1542の周方向に互いに120°の角度αをなして載置されている。同時に複数枚のウエハが処理されるので、ウエハ1551、1552及び1553を反応チャンバ403の概ね均一な温度の領域内に保持するために、ウエハ1551、1552、及び1553の最大の寸法は、図44−Bのウエハ1531の最大の寸法より小さくなっている。
図44−A、図44−B及び図44−Cには、1枚または3枚のウエハが載置されたサセプタが例示されているが、本発明に基づく反応炉では、4枚以上のウエハが載置されるサセプタを用いることもできる。しかし、同時に処理することのできるウエハの枚数は、処理されるウエハの寸法によって限定される。
図45及び図46は、各々、3枚の150mm(6インチ)のウエハ1571a、1571b及び1571cと、1枚の200mm(8インチ)のウエハ1591が載置された、反応炉400で用いられるサセプタ1562と1582の平面図である。図45では、開口部1563a、1563b、1563c、1563d、1563e、1563f、1563g、1563h、及び1563iがサセプタ1562を貫通して形成されており、これらの開口部を通してウエハ支持ピン513が延出し、ウエハ1571a、1571b及び1571cをサセプタ1562の上に上昇させる。各ウエハ1571a、1571b、及び1571cはサセプタ1562を回転させることによって上昇し、ウエハ1571a、1571bまたは1571cが、取着ロッド512b、512c、及び512d(図15)の上の定位置に配置される。図46では、開口部1583a、1583b、1583c、1583d及び1583eがサセプタ1582を貫通して形成されており、これらの開口部を通してサセプタ支持ピン513が延出し、ウエハ1591をサセプタ1582の上に上昇させる。ウエハ1591は、サセプタ1582を回転させることによって上昇し、ウエハ1591が取着ロッド512a、512b、512c、512d、及び512eの上の定位置に配置される。取着ロッド512a、512b、512c、及び512d若しくは取着ロッド512b、512c、及び512eをウエハ1591を上昇させるために用いてもよい。
上述されたように、ガスパネルからの反応ガスは、ガス入口管408aを通り、かつガス注入ヘッド、例えばガス注入ヘッド414若しくはガス注入噴出孔421を通って反応チャンバ403内に供給され、かつ排気ライン409a、409b、及び409cを通って反応炉400から、ガスを大気中に排気する前に浄化するスクラバへ排気される。上述された反応炉では、ガス供給システムとスクラバを制御するために2つのコンピュータが用いられている。
図47は、1台のコンピュータ1610がガスパネル1601及びスクラバ1606を制御するために用いられている、本発明に基づく反応炉1600の概略図である。反応ガスは、ガス入口1602を通してガスパネル1601から反応チャンバ1603に供給されている。ガスは反応チャンバ1603内を流れウエハ1604に達し、ガス排気孔1605を通してスクラバ1606へ排気されている。スクラバ1606はガスを浄化し、かつスクラバ排気孔1607を通してガスを大気中に排気する。
コンピュータ1610は、所望の過程に対するコンピュータ1610に記憶されたオペレータによって指定されたデータに基づいて、ガスパネル1601から供給されるガス流の形式及び速度をガス供給制御ライン1608を通して制御する。同様に、コンピュータ1610は、使用されるプロセスガスに適したコンピュータ1610に記憶されたデータに基づき、スクラバ1606の浄化動作をスクラバ制御ライン1609を通して制御する。従って反応炉1600では上述された反応炉とは異なり、ガス供給動作及びガス浄化動作のためのデータは1つの装置内に記憶されかつ1つの装置によって操作されるので、互いに関連したガス供給動作及びガス浄化動作のコンピュータによる制御が容易に行われる。
本発明のある実施例では、上述されたプロセスコンピュータが、温度制御、電力制御などと同様に、反応炉の動作で用いられるインターロックを制御する。本発明の反応炉は多くの新規の特徴を有するが、プロセスコンピュータの動作は、これまで説明された新規な特徴を考慮した場合、他の反応炉のプロセスコンピュータの動作と等しい。
他の実施例では、反応炉のプロセス制御を実施するばかりでなく、プロセスコンピュータは、実施される処理過程のための反応炉の構造及び、実施される処理過程のための統計的なデータのデータベースを記憶している。重要な統計的解析のための十分なデータがデータベースに含まれている場合、プロセスコンピュータは処理サイクルを完全に制御することができる。反応炉の操作員は、バッチの寸法、所望の処理過程、及び必要なウエハの均一性に関する情報のみを入力すればよい。プロセスコンピュータはこれらの情報を入力し、データベースを解析することによって、処理過程を実施するための適切なプロセスパラメータ、プロセス変数を決定する。プロセスコンピュータは次に、反応炉の操作員によって指定された結果を得るために、自動的に反応炉を構成しかつ自動的に処理過程を実施する。
更に、反応炉を制御するためのコンピュータと、ガスキャビネットを制御するためのコンピュータと、スクラバを制御するためのコンピュータとを備えた従来技術のシステムとは異なり、本発明のプロセスコンピュータは、これら全ての動作を行うものである。従って、一つの制御盤から、反応炉の操作員は、特定の制御過程に対する特定の順序でガスを供給するためのガスパネルを構成し、かつ所望に応じて排気されたガスを処理するスクラバを構成することができる。これらの動作を一台のコンピュータによって集中して行うことにより、ハードウェアのコストを低減させ、更にシステム全体を構成するために必要な時間を短縮することができ、バッチサイクルに要する時間を増加させることができる。
図48は、本発明に基づく反応炉を用いた処理過程1650のブロック図である。過程1650は、例えば、エピタキシャル層もしくはポリシリコン層を堆積するための気相成長法を用いた過程からなる。本発明に基づく反応炉は、以下により詳しく説明される過程を含む過程1650以外の過程にも用いることができる。
過程1651では、これまで詳しく説明されたように1枚のウエハもしくは複数枚のウエハが反応炉の反応チャンバ内にローディングされる。過程1652では、これまで説明されたように、窒素ガスを用いたパージングが実施され、次に水素ガスを用いたパージングが実施される。過程1654では、1枚のウエハもしくは複数枚のウエハが900〜1200℃に加熱される。過程1655では、適切な流速を形成するべくHClガスが排気され、更に水素ガスを用いたパージングが実施される。過程1656では、1枚のウエハもしくは複数枚のウエハが処理されるべきウエハの表面に形成されている酸化膜を除去するべくHClガスによってエッチングされる。過程1657では、更に水素ガスを用いたパージングが実施され、反応炉内の温度がプロセス温度まで上昇し、ガスの適切な流量を達成するべくプロセスガスが排気される。過程1658では、これまでに説明されたように、プロセスガスが反応チャンバ内に供給され、1枚のウエハもしくは複数枚のウエハの表面に堆積される。過程1659では、これまでに詳しく説明されたように、1枚のウエハもしくは複数枚のウエハが冷却され、水素ガスを用いてプロセスガスが反応チャンバからパージされる。過程1660では、上述されたように、水素ガスが反応チャンバからパージされる。最後に過程1661では、上述されたように、1枚のウエハもしくは複数枚のウエハが反応チャンバから取り除かれる。
上述されたように、本発明に基づく反応炉は、様々な半導体処理過程に用いることができるので、半導体処理過程の連続した集合を実施するための反応炉の集合を組み立てることが可能である。図49は、特定の半導体処理過程(例えば堆積過程、アニール過程など)を実施するために用いられている本発明に基づく反応炉1710、1720、1730及び1740の集合の平面図である。反応炉1710、1720、1730及び1740は、その内部にロボット1704が配置された密閉されたチャンバ1705の周りに配置されている。積み重ねられた複数枚のウエハを収容する複数のウエハカセット1702a、1702b、及び1702cが、クリーンルーム1701に隣接するカセットルーム1703内に配置されている。
始めに、ウエハカセット1702a、1702b、及び1702cがクリーンルーム1701からカセットルーム1703へ転送される。コンピュータ制御システムはロボット1704に命令を与え、ロボット1407がカセットルーム1703からウエハカセット、例えばウエハカセット1702aの適切なウエハを、取り出し、反応炉、例えば反応炉1740の適切な反応チャンバ、例えば反応チャンバ1740aにウエハをロードする。ロボット1704はまた、反応チャンバ、例えば反応チャンバ1740aから他の反応チャンバ、例えば反応チャンバ1720aへウエハを転送するように制御されている。このように、半導体処理過程は、ロボット1704及び本発明に基づく反応炉の集合、例えば反応炉1710、1720、1730、及び1740を用いることによって自動化されかつ迅速に実施される。図49には4個の反応炉1710、1720、1730、及び1740が例示されているが、2個、3個もしくは5個以上の本発明に基づく反応炉を同様に配置することもできる。
図9及び図10の反応炉400に関して説明されたように、反応炉400の保守が行われるときに、反応炉400のシェル452を容器401から遠ざかるように回動できることが好ましい。空間的な制限から、シェル452を反応炉400のある側面もしくは他の側面に向けて回動させることが好ましい場合がある。本発明に基づけば、シェル452は反応炉400の何れの側面に向けても容易に回動させることができる。図49では、反応炉1720は反応炉1720の第1の側面に向けて回動可能なシェル1720bを備えており、反応炉1740は、反応炉1740の第2の側面に向けて回動可能なシェル1740bを備えている。
これまでに、本発明の様々な実施例について説明されてきた。これらの説明は例示を意図するものであり、本発明の限定を意図するものではない。従って、以下の請求の範囲によって限定される本発明の技術的な視点を逸脱することなしに、これまで説明された本発明に種々の変更を施すことが可能なことは当業者には明らかである。
従来技術の水平反応炉の概略断面図である。 従来技術のバレル反応炉の概略斜視図である。 従来技術のパンケーキ反応炉の概略斜視図である。 本発明のある実施例に基づく、複数枚のウエハを処理するための高速熱処理反応炉の概略断面図である。 本発明の他の実施例に基づく、複数枚のウエハを処理するための高速熱処理反応炉の概略断面図である。 本発明の他の実施例に基づく、1枚の大型なウエハを処理するための高速熱処理反応炉の概略断面図である。 ウエハが単一の熱源によって加熱され、プロセスガスが反応チャンバの側壁から注入される、本発明に基づく反応炉の概略断面図である。 ウエハが2つの熱源によって加熱され、プロセスガスが反応チャンバの側壁から注入される、本発明に基づく反応炉の概略断面図である。 ウエハが単一の熱源によって加熱され、プロセスガスが反応チャンバの中央から注入される本発明に基づく反応炉の概略断面図である。 ウエハが2つの熱源によって加熱され、プロセスガスが反応チャンバの中心から注入される本発明に基づく反応炉の概略断面図である。 湾曲した形状またはベル型の上壁を備えた容器の概略断面図である。 ウエハをサセプタに取り付ける他の手段を例示した、本発明の他の実施例に基づくサセプタの側面図である。 ウエハをサセプタに取り付ける他の手段を例示した、本発明の他の実施例に基づくサセプタの平面図である。 本発明に基づく反応炉に用いるための容器の上部の壁の断面図である。 図10の線4B−4Bから見た本発明の実施例に基づく反応炉の断面図である。 図9の線4A−4Aから見た図9の反応炉の断面図である。 図9の反応炉の概略上面図である。 図9の一部の詳細図である。 図10の一部の詳細図である。 シェルの内側部分を表す、図9〜図11の反応炉のベルジャを覆うシェルの底面図である。 反応チャンバ及び周囲のテーブルを表す、図9〜図11の反応炉の一部の上面図である。 下降した状態のサセプタを表す図10の一部の詳細図である。 上昇した状態のサセプタを表す図10の一部の詳細図である。 図9、図10、及び図11の反応炉の2個のランプバンクの斜視図である。 本発明に基づく反応炉に用いられる、抵抗加熱器の断面図である。 図19の抵抗加熱器の一部の平面図である。 図20−Aに示された部分の一部切欠き側面図である。 図20−Aに示された部分の一部の詳細図である。 単一の熱源が用いられた図9、図10及び図11の反応炉の実施例に用いられる受動熱分配要素を表す断面図である。 反応路の容器の底壁に取り付けられた反射器を含む本発明の他の実施例に基づく反応炉の概略断面図である。 本発明の他の実施例に基づくガス注入ジェットの一部の斜視図である。 本発明のその他の実施例に基づく図23−Aのガス注入ジェットに用いるためのガスジェットの端部部分の断面図である。 本発明のその他の実施例に基づく図23−Aのガス注入ジェットに用いるためのガスジェットの端部部分の断面図である。 本発明のその他の実施例に基づく図23−Aのガス注入ジェットに用いるためのガスジェットの端部部分の断面図である。 本発明の他の実施例に基づく図23−Aのガス注入ジェットに用いるベーンの変形平面図である。 本発明の他の実施例に基づく図23−Aのガス注入ジェットに用いるベーンの側面図である。 本発明の他の実施例に基づく図23−Aのガス注入ジェットに用いるベーンの変形平面図である。 本発明の他の実施例に基づく図23−Aのガス注入ジェットに用いるベーンの側面図である。 本発明の他の実施例に基づくサセプタ支持部の上面図である。 本発明の他の実施例に基づくサセプタ支持部の断面図である。 本発明の他の実施例に基づくサセプタ支持部の側面図である。 本発明の他の実施例に基づくサセプタ支持部の上面図である。 図26−A及び図26−Bのサセプタ支持部に用いるサセプタの上面図である。 図27−Aの線10C−10Cから見たサセプタの断面図である。 本発明の他の実施例に基づくサセプタの開口部のテーパ部分内に配置されたウエハ支持ピンの詳細断面図である。 本発明の他の実施例に基づくサセプタの開口部のテーパ部分内に配置されたウエハ支持ピンの詳細断面図である。 本発明の他の実施例に基づくサセプタの開口部のテーパ部分内に配置されたウエハ支持ピンの詳細断面図である。 ウエハ囲繞リングが配置された、ウエハを保持するための3個のポケットを備えたサセプタの上面図である。 本発明の実施例に基づくウエハ支持ピンによって、図29のサセプタの上に持ち上げられた図29に示されたウエハ囲繞リングの概略断面図である。 本発明のある実施例に基づくランプのアレイの概略平面図である。 本発明の他の実施例に基づくランプのアレイの概略平面図である。 本発明のある実施例に基づくサセプタの下に配置された誘導コイルの側面図である。 本発明のある実施例に基づくサセプタの下に配置された誘導コイルの平面図である。 本発明のある実施例に基づくサセプタのポケット内に配置されたウエハ囲繞リング、スピンドル及びウエハの平面図である。 本発明のある実施例に基づくサセプタのポケット内に配置されたウエハ囲繞リング、スピンドル及びウエハの側面図である。 本発明の他の実施例に基づくサセプタのポケット内に配置されたウエハ囲繞リング、サセプタインサート、スピンドル及びウエハの断面図である。 本発明の他の実施例に基づくサセプタのポケット内に配置されたウエハ囲繞リング、スピンドル及びウエハの断面図である。 本発明の他の実施例に基づくサセプタのポケット内に配置されたウエハ囲繞リング、サセプタインサート、スピンドル及びウエハの断面図である。 本発明の他の実施例に基づくサセプタのポケット内に配置されたウエハ囲繞リング及びウエハの断面図である。 本発明の他の実施例に基づくサセプタのポケット内に配置されたサセプタインサート、ウエハ囲繞リング及びウエハの断面図である。 サセプタのポケット内に配置することのできる本発明の他の実施例に基づくウエハ囲繞リング、スピンドル、サセプタインサート及びウエハの断面図である。 サセプタのポケット内に配置することのできる本発明の他の実施例に基づくウエハ囲繞リング及びウエハの断面図である。 サセプタのポケット内に配置することのできる本発明の他の実施例に基づくウエハ囲繞リング及びウエハの断面図である。 サセプタのポケット内に配置することのできる本発明の他の実施例に基づくウエハ囲繞リング、スピンドル及びウエハの断面図である。 図38のウエハ囲繞リング及びスピンドルの平面図である。 サセプタのポケット内に配置することのできる本発明の他の実施例に基づくウエハ囲繞リング及びスピンドルの平面図である。 サセプタのポケット内に配置することのできる本発明の他の実施例に基づくウエハ囲繞リング及びウエハの断面図である。 処理中に加熱され湾曲したウエハの状態を例示した図41−Aのウエハ及びウエハ囲繞リングの断面図である。 本発明の他の実施例に基づくウエハ囲繞リングの断面図である。 本発明のある実施例に基づくウエハ囲繞リングのC型部分の平面図である。 本発明のある実施例に基づくウエハ囲繞リングのC型部分の一部切欠き側面図である。 C型部分及び補完部分を含む、本発明の他の実施例に基づくウエハ囲繞リングの平面図である。 1枚のウエハをサセプタの上に配置するための実施可能な方法を例示した本発明に基づく反応炉に用いるサセプタの上面図である。 1枚のウエハをサセプタの上に配置するための実施可能な方法を例示した本発明に基づく反応炉に用いるサセプタの上面図である。 複数枚のウエハをサセプタの上に配置するための実施可能な方法を例示した本発明に基づく反応炉に用いるサセプタの上面図である。 複数枚のウエハをサセプタの上に配置するための実施可能な方法を例示した本発明に基づく反応炉に用いるサセプタの上面図である。 1枚のウエハをサセプタの上に配置するための実施可能な方法を例示した本発明に基づく反応炉に用いるサセプタの上面図である。 ガスパネル及びスクラバの両方を制御するために1台のコンピュータを用いた本発明に基づく反応炉の概略図である。 本発明に基づく反応炉が用いられる処理方法の過程を表すブロック図である。 各々が、特定の半導体処理を実施するために用いられ、かつカセットルームと反応炉との間または2つの反応炉の間でウエハを運搬するロボットを含む密閉されたチャンバの周囲に配置された、本発明に基づく反応炉の集合の上面図である。

Claims (3)

  1. 半導体基板を処理するための反応炉内の構造であって、
    その上に半導体基板を取り付けるべく適合された第1の表面と、第2の表面と、前記第1の表面から前記第2の表面へ貫通して形成された複数の開口部とを備えたサセプタと、
    複数の半導体基板支持ピンとを有し、
    第1の位置では、前記半導体基板支持ピンは前記サセプタ内に収容され、第2の位置では、前記半導体基板支持ピンは前記第1の表面の上に前記半導体基板を保持するべく、前記各半導体基板支持ピンは前記開口部の各々に移動可能に取り付けられていることを特徴とする構造。
  2. 各々が前記各半導体基板支持ピンに対応する、前記反応炉内に取り付けられた複数の支持部を更に有し、
    前記サセプタが第3の位置にあるとき、前記複数の支持部が前記複数の半導体基板支持ピンに係合し、かつ前記半導体基板支持ピンを前記第2の位置に保持することを特徴とする請求項2に記載の構造。
  3. 前記サセプタが第4の位置にあるとき、前記半導体基板支持ピンが前記第1の位置にあることを特徴とする請求項2に記載の構造。



JP2004154897A 1993-01-21 2004-05-25 半導体基板を処理するための高速熱処理反応炉 Expired - Fee Related JP3859226B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/007,981 US5444217A (en) 1993-01-21 1993-01-21 Rapid thermal processing apparatus for processing semiconductor wafers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP51709694A Division JP4084412B2 (ja) 1993-01-21 1994-01-21 半導体基板を処理するための高速熱処理反応炉

Publications (2)

Publication Number Publication Date
JP2005045213A true JP2005045213A (ja) 2005-02-17
JP3859226B2 JP3859226B2 (ja) 2006-12-20

Family

ID=21729164

Family Applications (3)

Application Number Title Priority Date Filing Date
JP51709694A Expired - Fee Related JP4084412B2 (ja) 1993-01-21 1994-01-21 半導体基板を処理するための高速熱処理反応炉
JP2004154897A Expired - Fee Related JP3859226B2 (ja) 1993-01-21 2004-05-25 半導体基板を処理するための高速熱処理反応炉
JP2006334765A Pending JP2007180533A (ja) 1993-01-21 2006-12-12 半導体基板を処理するための高速熱処理反応炉

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP51709694A Expired - Fee Related JP4084412B2 (ja) 1993-01-21 1994-01-21 半導体基板を処理するための高速熱処理反応炉

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006334765A Pending JP2007180533A (ja) 1993-01-21 2006-12-12 半導体基板を処理するための高速熱処理反応炉

Country Status (5)

Country Link
US (5) US5444217A (ja)
EP (2) EP1154039B1 (ja)
JP (3) JP4084412B2 (ja)
DE (2) DE69434773T2 (ja)
WO (1) WO1994017353A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009135228A (ja) * 2007-11-29 2009-06-18 Nuflare Technology Inc 気相成長装置および気相成長方法
KR101091369B1 (ko) 2009-02-17 2011-12-07 엘지이노텍 주식회사 반도체 제조장치
WO2013099063A1 (ja) * 2011-12-27 2013-07-04 キヤノンアネルバ株式会社 基板熱処理装置
WO2015112969A1 (en) * 2014-01-27 2015-07-30 Veeco Instruments. Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems

Families Citing this family (626)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
KR0135840B1 (ko) * 1994-07-26 1998-04-29 김광호 개구부 매몰(filling)장치와 이를 이용한 반도체소자 제조방법
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3094816B2 (ja) * 1994-10-25 2000-10-03 信越半導体株式会社 薄膜の成長方法
JP3011866B2 (ja) * 1994-11-30 2000-02-21 信越石英株式会社 枚葉式ウエーハ熱処理装置
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5982986A (en) * 1995-02-03 1999-11-09 Applied Materials, Inc. Apparatus and method for rotationally aligning and degassing semiconductor substrate within single vacuum chamber
JPH08316154A (ja) * 1995-02-23 1996-11-29 Applied Materials Inc 疑似ホットウォール反応チャンバ
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
WO1997003225A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US6053982A (en) * 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5651827A (en) * 1996-01-11 1997-07-29 Heraeus Quarzglas Gmbh Single-wafer heat-treatment apparatus and method of manufacturing reactor vessel used for same
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5751896A (en) * 1996-02-22 1998-05-12 Micron Technology, Inc. Method and apparatus to compensate for non-uniform film growth during chemical vapor deposition
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
JPH09260364A (ja) * 1996-03-26 1997-10-03 Tokyo Electron Ltd 熱処理方法および熱処理装置
US6031211A (en) * 1997-07-11 2000-02-29 Concept Systems Design, Inc. Zone heating system with feedback control
EP0823492A3 (en) * 1996-08-07 1999-01-20 Concept Systems Design Inc. Zone heating system with feedback control
US6066836A (en) * 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
KR100239405B1 (ko) * 1996-10-24 2000-01-15 김영환 반도체 제조장치
US5889258A (en) * 1996-12-12 1999-03-30 Lubomirski; Dimitri High temperature heating apparatus
US5789309A (en) * 1996-12-30 1998-08-04 Memc Electronic Materials, Inc. Method and system for monocrystalline epitaxial deposition
US6110289A (en) * 1997-02-25 2000-08-29 Moore Epitaxial, Inc. Rapid thermal processing barrel reactor for processing substrates
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
US5986329A (en) * 1997-03-07 1999-11-16 Advanced Micro Devices, Inc. Deposition of super thin PECVD SiO2 in multiple deposition station system
US6217662B1 (en) * 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
US6051512A (en) * 1997-04-11 2000-04-18 Steag Rtp Systems Apparatus and method for rapid thermal processing (RTP) of a plurality of semiconductor wafers
WO1998051127A1 (en) 1997-05-06 1998-11-12 Thermoceramix, L.L.C. Deposited resistive coatings
US5911896A (en) * 1997-06-25 1999-06-15 Brooks Automation, Inc. Substrate heating apparatus with glass-ceramic panels and thin film ribbon heater element
US5840124A (en) * 1997-06-30 1998-11-24 Emcore Corporation Wafer carrier with flexible wafer flat holder
US5926615A (en) * 1997-07-08 1999-07-20 National Science Council Temperature compensation method for semiconductor wafers in rapid thermal processor using separated heat conducting rings as susceptors
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5870526A (en) * 1997-07-17 1999-02-09 Steag-Ast Inflatable elastomeric element for rapid thermal processing (RTP) system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JPH1197446A (ja) * 1997-09-18 1999-04-09 Tokyo Electron Ltd 縦型熱処理装置
KR100660416B1 (ko) 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US6005226A (en) * 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
JP2002504744A (ja) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
JP2928210B1 (ja) * 1998-01-30 1999-08-03 九州日本電気株式会社 半導体基板の不純物拡散処理方法および半導体製造装置
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6592661B1 (en) 1998-02-25 2003-07-15 Micron Technology, Inc. Method for processing wafers in a semiconductor fabrication system
JP3374743B2 (ja) * 1998-03-05 2003-02-10 日本電気株式会社 基板熱処理装置及び同装置からの基板の分離方法
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
TW463028B (en) * 1998-04-21 2001-11-11 Hitachi Shipbuilding Eng Co Working robot for heat exchangers and operating method thereof
US6188044B1 (en) 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
DE19821007A1 (de) * 1998-05-11 1999-11-25 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6034357A (en) * 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6169271B1 (en) 1998-07-13 2001-01-02 Mattson Technology, Inc. Model based method for wafer temperature control in a thermal processing system for semiconductor manufacturing
US6406543B1 (en) * 1998-07-23 2002-06-18 Applied Materials, Inc. Infra-red transparent thermal reactor cover member
IL125690A0 (en) * 1998-08-06 1999-04-11 Reiser Raphael Joshua Furnace for processing semiconductor wafers
US6462310B1 (en) 1998-08-12 2002-10-08 Asml Us, Inc Hot wall rapid thermal processor
US6300600B1 (en) 1998-08-12 2001-10-09 Silicon Valley Group, Inc. Hot wall rapid thermal processor
US6900413B2 (en) 1998-08-12 2005-05-31 Aviza Technology, Inc. Hot wall rapid thermal processor
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6957690B1 (en) * 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
JP3516596B2 (ja) * 1998-10-19 2004-04-05 松下電器産業株式会社 半導体装置の製造方法
JP3671418B2 (ja) * 1998-10-29 2005-07-13 信越半導体株式会社 半導体ウェーハの製造方法
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6091889A (en) * 1999-01-08 2000-07-18 National Science Council Rapid thermal processor for heating a substrate
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
US6105274A (en) * 1999-03-18 2000-08-22 International Business Machines Corporation Cryogenic/phase change cooling for rapid thermal process systems
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6169244B1 (en) 1999-05-21 2001-01-02 Moore Epitaxial, Inc. Thermocouple sheath cover
TW466576B (en) 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
TW425635B (en) 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
US6799603B1 (en) 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2001127143A (ja) * 1999-10-27 2001-05-11 Applied Materials Inc 基板支持装置
DE19951991C2 (de) * 1999-10-28 2001-10-25 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Beladen eines Suszeptors
DE19952705A1 (de) * 1999-11-02 2001-05-10 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit einer epitaktischen Schicht
US6345150B1 (en) 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6303906B1 (en) 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
US6246031B1 (en) 1999-11-30 2001-06-12 Wafermasters, Inc. Mini batch furnace
US6436796B1 (en) * 2000-01-31 2002-08-20 Mattson Technology, Inc. Systems and methods for epitaxial processing of a semiconductor substrate
US6328221B1 (en) 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
EP1123992A3 (en) * 2000-02-09 2003-09-17 Moore Epitaxial, Inc. Semiconductor processing apparatus and method
US6347749B1 (en) 2000-02-09 2002-02-19 Moore Epitaxial, Inc. Semiconductor processing reactor controllable gas jet assembly
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6544339B1 (en) * 2000-03-22 2003-04-08 Micro C Technologies, Inc. Rectilinear wedge geometry for optimal process control in chemical vapor deposition and rapid thermal processing
US6399926B2 (en) * 2000-04-03 2002-06-04 Sigmameltec Ltd. Heat-treating apparatus capable of high temperature uniformity
WO2001082342A1 (en) * 2000-04-26 2001-11-01 Wafermasters Incorporated Gas assisted rapid thermal annealing
US6464412B1 (en) 2000-05-15 2002-10-15 Eastman Kodak Company Apparatus and method for radiant thermal film development
US6545369B1 (en) 2000-06-05 2003-04-08 Micron Technology, Inc. Overlay error reduction by minimization of unpatterned wafer area
US6417076B1 (en) 2000-06-05 2002-07-09 Micron Technology, Inc. Automated combi deposition apparatus and method
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
JP2001351871A (ja) * 2000-06-09 2001-12-21 Asm Japan Kk 半導体製造装置
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US6599818B2 (en) * 2000-10-10 2003-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
KR100436941B1 (ko) * 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
JP2002164423A (ja) * 2000-11-28 2002-06-07 Tokyo Seimitsu Co Ltd ウェーハリフト装置を備えたウェーハ保持装置
CA2429983A1 (en) 2000-11-29 2002-08-01 Thermoceramix, Inc. Resistive heaters and uses thereof
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP2002176000A (ja) * 2000-12-05 2002-06-21 Semiconductor Energy Lab Co Ltd 熱処理装置及び半導体装置の製造方法
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6770146B2 (en) 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
US7118780B2 (en) 2001-03-16 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Heat treatment method
JP3975321B2 (ja) * 2001-04-20 2007-09-12 信越化学工業株式会社 フォトマスク用シリカガラス系基板及びフォトマスク用シリカガラス系基板の平坦化方法
KR100422199B1 (ko) * 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
US6344631B1 (en) 2001-05-11 2002-02-05 Applied Materials, Inc. Substrate support assembly and processing apparatus
WO2002095795A2 (de) * 2001-05-18 2002-11-28 Mattson Thermal Products Gmbh Vorrichtung zur aufnahme von scheibenförmigen objekten
US6645344B2 (en) 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
KR100876927B1 (ko) * 2001-06-01 2009-01-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 열처리장치 및 열처리방법
JP4703891B2 (ja) * 2001-06-07 2011-06-15 ルネサスエレクトロニクス株式会社 薄膜製造方法
US20030010775A1 (en) * 2001-06-21 2003-01-16 Hyoung June Kim Methods and apparatuses for heat treatment of semiconductor films upon thermally susceptible non-conducting substrates
DE10131673A1 (de) * 2001-06-29 2003-01-30 Infineon Technologies Ag Tragevorrichtung für einen Wafer
EP1274121A1 (en) * 2001-06-29 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Wafer chuck for supporting a semiconductor wafer
KR20030006245A (ko) * 2001-07-12 2003-01-23 삼성전자 주식회사 웨이퍼 건조장치
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
TW559905B (en) * 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003086522A (ja) * 2001-09-13 2003-03-20 Sumitomo Chem Co Ltd 半導体製造装置
JP3715228B2 (ja) * 2001-10-29 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
JP3798674B2 (ja) * 2001-10-29 2006-07-19 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
EP1452626B9 (en) * 2001-12-03 2012-01-18 Ulvac, Inc. Mixer, and device and method for manufacturing thin film
AU2002350358A1 (en) * 2001-12-26 2003-07-30 Vortek Indusries Ltd. Temperature measurement and heat-treating methods and systems
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6868302B2 (en) * 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6687456B1 (en) * 2002-07-15 2004-02-03 Taiwan Semiconductor Manufacturing Co., Ltd In-line fluid heater
JP4024799B2 (ja) * 2002-07-25 2007-12-19 東京エレクトロン株式会社 基板処理容器
US6727194B2 (en) * 2002-08-02 2004-04-27 Wafermasters, Inc. Wafer batch processing system and method
US7166168B1 (en) 2002-10-18 2007-01-23 Carl Zeiss Smt Ag Substrate-coating system and an associated substrate-heating method
JP4640938B2 (ja) * 2002-11-22 2011-03-02 アプライド マテリアルズ インコーポレイテッド 裏側加熱チャンバ
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
WO2004053946A2 (en) * 2002-12-09 2004-06-24 Koninklijke Philips Electronics N.V. System and method for suppression of wafer temperature drift in cold-wall cvd system
JP4988202B2 (ja) 2002-12-20 2012-08-01 マトソン テクノロジー カナダ インコーポレイテッド 工作物の支持及び熱処理の方法とシステム
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
WO2004068541A2 (en) * 2003-01-17 2004-08-12 General Electric Company Wafer handling apparatus
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US6991003B2 (en) * 2003-07-28 2006-01-31 M.Braun, Inc. System and method for automatically purifying solvents
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
US7190889B2 (en) * 2004-05-17 2007-03-13 Neocera, Llc Non-contact heater and method for non-contact heating of a substrate for material deposition
DE102004025150B4 (de) * 2004-05-21 2019-05-09 Mattson Technology, Inc. Lagebestimmung eines Halbleitersubstrats auf einer Rotationsvorrichtung
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
ATE516390T1 (de) * 2004-08-20 2011-07-15 Jds Uniphase Inc Magnetverschluss für eine dampfabscheidungsvorrichtung
US7785456B2 (en) * 2004-10-19 2010-08-31 Jds Uniphase Corporation Magnetic latch for a vapour deposition system
US7332195B2 (en) * 2004-08-26 2008-02-19 Honeywell International Inc. Chemical vapor deposition method
JP2006190795A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および急速熱処理装置
EP1866465A2 (en) * 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
EP1688534A1 (de) * 2005-02-02 2006-08-09 Wolff Cellulosics GmbH & Co.KG Verwendung von Arabinoxylanen in der Papierherstellung
US7598477B2 (en) * 2005-02-07 2009-10-06 Guy Smith Vacuum muffle quench furnace
US7402778B2 (en) * 2005-04-29 2008-07-22 Asm Assembly Automation Ltd. Oven for controlled heating of compounds at varying temperatures
JP2008546203A (ja) * 2005-06-01 2008-12-18 マットソン テクノロジー インコーポレイテッド パルス化された加熱処理の間に熱収支を最適化する方法
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
EP1739213B1 (de) * 2005-07-01 2011-04-13 Freiberger Compound Materials GmbH Vorrichtung und Verfahren zum Tempern von III-V-Wafern sowie getemperte III-V-Halbleitereinkristallwafer
DE602006021108D1 (de) 2005-09-05 2011-05-19 Japan Pionics Vorrichtung zur chemischen Dampfabscheidung
US7905109B2 (en) * 2005-09-14 2011-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rapid cooling system for RTP chamber
JP5017950B2 (ja) * 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US20070125303A1 (en) 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
JP5105396B2 (ja) * 2006-04-12 2012-12-26 東京応化工業株式会社 加熱処理装置
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US8571396B2 (en) * 2006-06-26 2013-10-29 Tp Solar, Inc. Rapid thermal firing IR conveyor furnace having high intensity heating section
US9301340B2 (en) 2006-06-26 2016-03-29 Tp Solar, Inc. IR conveyor furnace having single belt with multiple independently controlled processing lanes
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
JP2010511304A (ja) * 2006-11-27 2010-04-08 モメンティブ パフォーマンス マテリアルズ インコーポレイテッド 石英で密閉されたヒータアセンブリ
KR100790729B1 (ko) * 2006-12-11 2008-01-02 삼성전기주식회사 화학 기상 증착 장치
JP2008182180A (ja) * 2006-12-26 2008-08-07 Epicrew Inc 加熱装置及び半導体製造装置
EP2109517B8 (en) * 2007-02-01 2012-03-21 Conex Universal Limited Insertion and release tool for pipe fitting arrangement and method using such tool
US8610033B1 (en) * 2007-03-29 2013-12-17 Moore Epitaxial, Inc. Rapid thermal process reactor utilizing a low profile dome
JP4436893B2 (ja) * 2007-05-16 2010-03-24 キヤノンアネルバ株式会社 加熱処理装置
JP4288309B2 (ja) * 2007-09-03 2009-07-01 キヤノンアネルバ株式会社 基板熱処理装置及び基板の熱処理方法
US8375758B1 (en) 2007-09-13 2013-02-19 The Boeing Company Induction forming of metal components with slotted susceptors
US8865050B2 (en) 2010-03-16 2014-10-21 The Boeing Company Method for curing a composite part layup
US8372327B2 (en) 2007-09-13 2013-02-12 The Boeing Company Method for resin transfer molding composite parts
US8017059B2 (en) 2007-09-13 2011-09-13 The Boeing Company Composite fabrication apparatus and method
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
JP5444607B2 (ja) * 2007-10-31 2014-03-19 株式会社Sumco エピタキシャル膜形成装置用のサセプタ、エピタキシャル膜形成装置、エピタキシャルウェーハの製造方法
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
KR100906341B1 (ko) 2007-11-22 2009-07-06 에이피시스템 주식회사 급속열처리용 기판회전요동장치
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8404049B2 (en) 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
US20090214843A1 (en) * 2008-02-26 2009-08-27 Siltronic Corporation Controlled edge resistivity in a silicon wafer
KR101354140B1 (ko) * 2008-02-27 2014-01-22 소이텍 Cvd 반응기 내에서 가스 전구체들의 열화
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090269939A1 (en) * 2008-04-25 2009-10-29 Asm International, N.V. Cyclical oxidation process
US20090272728A1 (en) * 2008-05-01 2009-11-05 Thermoceramix Inc. Cooking appliances using heater coatings
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
CN101308981A (zh) * 2008-07-11 2008-11-19 永泰电子(东莞)有限公司 一种运用红外线加热的焊接工艺及焊接装置
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
WO2010038674A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 基板の異常載置状態の検知方法、基板処理方法、コンピュータ読み取り可能な記憶媒体および基板処理装置
US20100095890A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gas supply system, pumping system, coating system, gas supply method, and pumping method
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8110435B2 (en) * 2008-12-18 2012-02-07 Jusung Engineering Co., Ltd. Method and apparatus for manufacturing semiconductor device
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
DE112010000737T5 (de) 2009-02-11 2013-01-17 Applied Materials, Inc. Nichtkontakt-Bearbeitung von Substraten
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
JP5438992B2 (ja) * 2009-02-20 2014-03-12 昭和電工株式会社 炭化珪素半導体装置の製造方法
US8298629B2 (en) * 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
US8673081B2 (en) * 2009-02-25 2014-03-18 Crystal Solar, Inc. High throughput multi-wafer epitaxial reactor
JP2010205922A (ja) * 2009-03-03 2010-09-16 Canon Anelva Corp 基板熱処理装置及び基板の製造方法
US20100240224A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufactruing Co., Ltd. Multi-zone semiconductor furnace
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101536257B1 (ko) * 2009-07-22 2015-07-13 한국에이에스엠지니텍 주식회사 수평 흐름 증착 장치 및 이를 이용한 증착 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
WO2011028597A1 (en) * 2009-08-26 2011-03-10 Veeco Instruments, Inc. System for fabricating a pattern on magnetic recording media
JP5357689B2 (ja) * 2009-10-02 2013-12-04 三洋電機株式会社 触媒cvd装置、膜の形成方法、太陽電池の製造方法及び基材の保持体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
US8242033B2 (en) * 2009-12-08 2012-08-14 Corning Incorporated High throughput recrystallization of semiconducting materials
JP2011171450A (ja) * 2010-02-17 2011-09-01 Nuflare Technology Inc 成膜装置および成膜方法
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
EP2368860A1 (de) * 2010-03-01 2011-09-28 Saint-Gobain Glass France Vorrichtung und Verfahren zur Substratprozessierung
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
TWI398545B (zh) * 2010-04-29 2013-06-11 Chi Mei Lighting Tech Corp 有機金屬化學氣相沉積機台
FR2959757B1 (fr) * 2010-05-04 2012-08-03 Global Technologies Reacteur pyrolytique a chauffage bilateral
WO2012009636A1 (en) * 2010-07-15 2012-01-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
WO2012012376A1 (en) * 2010-07-22 2012-01-26 First Solar, Inc Deposition system
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
TWI489075B (zh) * 2010-09-28 2015-06-21 Tp太陽能公司 具有高反射率加熱區段的快速點火ir輸送帶爐
CH703545B1 (de) * 2010-10-04 2012-02-15 Rene Meier Verfahren zur Herstellung von elektroaktiviertem Wasser.
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
DE102010054919A1 (de) * 2010-12-17 2012-06-21 Centrotherm Photovoltaics Ag Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9915475B2 (en) * 2011-04-12 2018-03-13 Jiaxiong Wang Assembled reactor for fabrications of thin film solar cell absorbers through roll-to-roll processes
JP6097742B2 (ja) 2011-05-27 2017-03-15 クリスタル・ソーラー・インコーポレーテッド エピタキシャル堆積によるシリコンウェハ
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US20130196053A1 (en) * 2012-01-10 2013-08-01 State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon Stat Flow cell design for uniform residence time fluid flow
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
USD726133S1 (en) 2012-03-20 2015-04-07 Veeco Instruments Inc. Keyed spindle
USD712852S1 (en) 2012-03-20 2014-09-09 Veeco Instruments Inc. Spindle key
US9401271B2 (en) 2012-04-19 2016-07-26 Sunedison Semiconductor Limited (Uen201334164H) Susceptor assemblies for supporting wafers in a reactor apparatus
EP2660574A1 (en) * 2012-05-04 2013-11-06 LayTec AG Flat light emitting plate for simulating thermal radiation, method for calibrating a pyrometer and method for determining the temperature of a semiconducting wafer
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
CN104704624B (zh) * 2012-10-09 2017-06-09 应用材料公司 具索引的串联基板处理工具
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
JP5904101B2 (ja) * 2012-11-22 2016-04-13 豊田合成株式会社 化合物半導体の製造装置およびウェハ保持体
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770442A3 (en) * 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6027929B2 (ja) * 2013-03-29 2016-11-16 大陽日酸株式会社 気相成長装置の調整方法
US20160115623A1 (en) * 2013-06-06 2016-04-28 Ibiden Co., Ltd. Wafer carrier and epitaxial growth device using same
US9580806B2 (en) * 2013-08-29 2017-02-28 Applied Materials, Inc. Method of processing a substrate support assembly
CN105493231B (zh) * 2013-09-06 2019-04-02 应用材料公司 圆形灯阵列
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015076943A1 (en) * 2013-11-22 2015-05-28 Applied Materials, Inc. Easy access lamphead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6303592B2 (ja) * 2014-02-25 2018-04-04 東京エレクトロン株式会社 基板処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015179387A1 (en) * 2014-05-21 2015-11-26 Brewer Science Inc. Multi-size adaptable spin chuck system
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6094605B2 (ja) * 2015-01-20 2017-03-15 トヨタ自動車株式会社 単結晶製造装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9982364B2 (en) * 2015-04-07 2018-05-29 Applied Materials, Inc. Process gas preheating systems and methods for double-sided multi-substrate batch processing
US10597779B2 (en) * 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI692047B (zh) * 2015-10-09 2020-04-21 美商應用材料股份有限公司 用於epi製程之晶圓加熱的二極體雷射
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102015220924B4 (de) * 2015-10-27 2018-09-27 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe mit Orientierungskerbe, Verfahren zum Abscheiden einer Schicht auf einer Halbleiterscheibe und Halbleiterscheibe
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
JP6539578B2 (ja) 2015-12-22 2019-07-03 株式会社Screenホールディングス 熱処理装置および熱処理方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
EP3423685B1 (en) 2016-03-02 2020-11-18 Watlow Electric Manufacturing Company Dual-purpose heater and fluid flow measurement system
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN116200821A (zh) 2016-03-28 2023-06-02 应用材料公司 基座支撑件
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6836965B2 (ja) * 2017-06-23 2021-03-03 昭和電工株式会社 成膜装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7443250B2 (ja) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110211710B (zh) * 2019-06-12 2022-03-25 中国核动力研究设计院 一种多辐照目标材料辐照考验堆芯结构及布置和运行方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7236985B2 (ja) * 2019-11-15 2023-03-10 東京エレクトロン株式会社 温度計測システム、温度計測方法及び基板処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023210656A1 (ja) * 2022-04-27 2023-11-02 ローム株式会社 加熱処理装置、及びその動作方法

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3279946A (en) * 1962-08-14 1966-10-18 Merck & Co Inc Hydrogen chloride treatment of semiconductor coating chamber
DE1771305C3 (de) * 1968-05-03 1974-07-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Reinigen eines für die Halbleiterherstellung dienenden Behandlungsgefäßes aus Quarz
US3835751A (en) * 1971-10-06 1974-09-17 Leesona Corp Fluid operated system
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3783822A (en) * 1972-05-10 1974-01-08 J Wollam Apparatus for use in deposition of films from a vapor phase
US3836751A (en) * 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US3916822A (en) * 1974-04-26 1975-11-04 Bell Telephone Labor Inc Chemical vapor deposition reactor
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
SE7710800L (sv) * 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4407496A (en) 1981-12-14 1983-10-04 Johnson David E Limb exercise device
US4497683A (en) * 1982-05-03 1985-02-05 At&T Bell Laboratories Process for producing dielectrically isolated silicon devices
JPS58223320A (ja) * 1982-06-22 1983-12-24 Ushio Inc 不純物拡散方法
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
GB2136937A (en) * 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4511688A (en) * 1984-02-29 1985-04-16 The Dow Chemical Company Flame retardant for use in rigid polyurethane foams
US4560420A (en) * 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPS61289624A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相成長装置
US4680451A (en) * 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
EP0255454A3 (en) * 1986-07-26 1991-11-21 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4823735A (en) * 1987-05-12 1989-04-25 Gemini Research, Inc. Reflector apparatus for chemical vapor deposition reactors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5034199A (en) * 1987-11-13 1991-07-23 Kopin Corporation Zone melt recrystallization apparatus
US4851358A (en) * 1988-02-11 1989-07-25 Dns Electronic Materials, Inc. Semiconductor wafer fabrication with improved control of internal gettering sites using rapid thermal annealing
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5053247A (en) * 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5169684A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5104276A (en) * 1989-05-19 1992-04-14 Applied Materials, Inc. Robotically loaded epitaxial deposition apparatus
US4986838A (en) * 1989-06-14 1991-01-22 Airgard, Inc. Inlet system for gas scrubber
JPH04713A (ja) * 1989-12-26 1992-01-06 Sumitomo Metal Ind Ltd 基板の加熱装置
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5098198A (en) * 1990-04-19 1992-03-24 Applied Materials, Inc. Wafer heating and monitor module and method of operation
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5034100A (en) * 1990-11-28 1991-07-23 Wilbanks International Stationary drainage device with pressure roll
JPH04202091A (ja) * 1990-11-30 1992-07-22 Furukawa Electric Co Ltd:The 化合物半導体の気相成長装置
US5106200A (en) * 1990-12-20 1992-04-21 Applied Materials, Inc. Apparatus for measuring temperature of wafer
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JP2532401Y2 (ja) * 1991-04-16 1997-04-16 ソニー株式会社 バイアスecrプラズマcvd装置
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5152842A (en) * 1991-12-05 1992-10-06 Rohm Co., Ltd. Reactor for epitaxial growth
DE4140387C2 (de) * 1991-12-07 1998-10-15 Inst Halbleiterphysik Gmbh Vorrichtung und Verfahren zur verformungsfreien Bearbeitung von Halbleitermaterialscheiben in schnellen thermischen Prozessen
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5431737A (en) * 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009135228A (ja) * 2007-11-29 2009-06-18 Nuflare Technology Inc 気相成長装置および気相成長方法
KR101091369B1 (ko) 2009-02-17 2011-12-07 엘지이노텍 주식회사 반도체 제조장치
WO2013099063A1 (ja) * 2011-12-27 2013-07-04 キヤノンアネルバ株式会社 基板熱処理装置
JPWO2013099063A1 (ja) * 2011-12-27 2015-04-30 キヤノンアネルバ株式会社 基板熱処理装置
US9603195B2 (en) 2011-12-27 2017-03-21 Canon Anelva Corporation Substrate heat treatment apparatus
WO2015112969A1 (en) * 2014-01-27 2015-07-30 Veeco Instruments. Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
CN106030761A (zh) * 2014-01-27 2016-10-12 美国维易科精密仪器有限公司 用于化学气相沉积系统的具有复合半径的晶片保持凹穴的晶片载体
US10145013B2 (en) 2014-01-27 2018-12-04 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor desposition systems
US11248295B2 (en) 2014-01-27 2022-02-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems

Also Published As

Publication number Publication date
JP3859226B2 (ja) 2006-12-20
DE69429218D1 (de) 2002-01-10
EP0633997A4 (en) 1997-05-21
JP2007180533A (ja) 2007-07-12
EP1154039B1 (en) 2006-06-21
US5683518A (en) 1997-11-04
DE69434773D1 (de) 2006-08-03
US6151447A (en) 2000-11-21
JP4084412B2 (ja) 2008-04-30
DE69434773T2 (de) 2007-06-28
DE69429218T2 (de) 2002-08-29
EP0633997A1 (en) 1995-01-18
US6310327B1 (en) 2001-10-30
WO1994017353A1 (en) 1994-08-04
US5710407A (en) 1998-01-20
US5444217A (en) 1995-08-22
EP1154039A1 (en) 2001-11-14
EP0633997B1 (en) 2001-11-28
JPH07505261A (ja) 1995-06-08

Similar Documents

Publication Publication Date Title
JP3859226B2 (ja) 半導体基板を処理するための高速熱処理反応炉
US6198074B1 (en) System and method for rapid thermal processing with transitional heater
US6737613B2 (en) Heat treatment apparatus and method for processing substrates
US8183502B2 (en) Mounting table structure and heat treatment apparatus
US8148271B2 (en) Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US5494494A (en) Integrated module multi-chamber CVD processing system and its method for processing substrates
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
KR100744860B1 (ko) 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치
WO2004008491A2 (en) Thermal processing system and configurable vertical chamber
US20030019585A1 (en) Substrate processing apparatus and method for fabricating semiconductor device
US6727194B2 (en) Wafer batch processing system and method
US8055125B2 (en) Substrate stage mechanism and substrate processing apparatus
JP2001512789A (ja) ミニ・バッチ式プロセス・チャンバ
JP2003515950A (ja) 抵抗加熱型単一ウエハ炉
US5431737A (en) Interchangeable CVD chuck surface
JP2004055880A (ja) 基板処理装置
TW202246569A (zh) 用於腔室內電阻加熱元件的腔室主體饋通
KR20230158610A (ko) 고온 증착 시퀀스에서 동작하는 저온 페데스탈의 전도성 냉각

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040526

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050405

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050708

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060509

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060918

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees