TW200944963A - Stage drive method and stage unit, exposure apparatus, and device manufacturing method - Google Patents

Stage drive method and stage unit, exposure apparatus, and device manufacturing method Download PDF

Info

Publication number
TW200944963A
TW200944963A TW098120060A TW98120060A TW200944963A TW 200944963 A TW200944963 A TW 200944963A TW 098120060 A TW098120060 A TW 098120060A TW 98120060 A TW98120060 A TW 98120060A TW 200944963 A TW200944963 A TW 200944963A
Authority
TW
Taiwan
Prior art keywords
stage
wafer
liquid
exposure
axis
Prior art date
Application number
TW098120060A
Other languages
English (en)
Other versions
TWI437376B (zh
Inventor
Yuichi Shibazaki
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Publication of TW200944963A publication Critical patent/TW200944963A/zh
Application granted granted Critical
Publication of TWI437376B publication Critical patent/TWI437376B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70758Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Liquid Crystal (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Eyeglasses (AREA)
  • Prostheses (AREA)

Description

200944963 六、發明說明: 【發明所屬之技術領域】 本發明’係關於載台驅動方法及載台裝置、曝光裝置、 及元件製造方法’更詳言之,係關於將能在包含有液體局 部供應之2維面内之第1區域之區域移動之2個載台驅動 之載台驅動方法及適於實施該載台驅動方法之載台裝置, 在投影光學系統與基板之間供應液體且透過投影光學系統 與該液體使基板曝光之曝光裝置,以及使用該曝光裝置之 元件製造方法。 【先前技術】 習知’在供製造半導體元件(積體電路等)、液晶顯示元 件等電子元件之微影步驟,主要使用步進重複(step and repeat)方式之縮小投影曝光裝置(所謂步進機),或步進掃描 (step and scan)方式之投影曝光裝置(所謂掃描步進機(亦稱 為掃描機))’將光罩或標線片(以下,統稱為「標線片」)之 圖案像透過投影光學系統,轉印於塗布有光阻(感光劑)之晶 ®或玻璃板等之感光性基板(以下,稱為「基板」或「晶圓」) 上之複數個各照射區域。 投影曝光裝置所具備之投影光學系統之解析度R,能以 下式(1)之瑞利(Rayleigh)式表示 R=K,x λ /ΝΑ (1) 在此,λ係曝光波長,ΝΑ係投影光學系統之數值孔 徑’心係處理係數。由於此式(1) ’所使用之曝光波長(曝光 200944963
用光之波長)越短,且投影光學系統之數值孔徑(ΝΑ)越大, 解析度R則越高。因此,隨著積體電路之微細化,使用於 投影曝光裝置之曝光波長則年年越短波長化,目前以比KrF 鴿 . 準分子雷射光(波長248nm)短波長之ArF準分子雷射光(波 長193nm)為光源之曝光裝置亦實用化。又,投影光學系統 之數值孔徑亦逐漸增大。 進行曝光時,與解析度同樣,焦點深度(DOF)亦重要。 焦點深度<5,能以下式(2)表示。 ® δ =Κ2χ λ /ΝΑ2 (2) 在此’ I係處理係數。依據式(1)、式(2),為了要提高 解析度R ’若使曝光波長縮短,使數值孔徑ΝΑ變大(大NA 化),則得知焦點深度6會變小。在投影曝光裝置,係將晶 圓之表面配合投影光學系統之像面來進行曝光,因此,較 佳者為焦點深度(5應具某程度大。 然而,藉由上述曝光用光之短波長化及投影光學系統 ❾ 之大NA化,焦點深度<5係越來越變小。又,曝光波長將來 會變成更短波長化已確定’假如保持此趨勢,焦點深度占 則會變過小,而產生曝光動作時之焦點裕度不足之虞。 因此’當作實質上能使曝光波長縮短,且比空氣中使 焦點深度變大(寬廣)之方法,最近利用液浸法之曝光裝置則 引起注目。利用該液浸法之曝光裝置,已知悉:在投影光 學系統之下面與晶圓表面之間,以局部填滿水或有機溶劑 等之液體之狀態,進行曝光者(例如,參照下述專利文獻j)。 此專利文獻1所記載之曝光裝置,係利用在液體中之曝光 5 200944963 用光之波長’會成為空氣中之l/n倍(η係液體之折射率, 通常1.2〜1·6程度)’來提高解析度,並且比起不使用液浸 法能獲得與該解析度相同解析度之投影光學系統(假設此種 投影光學系統之製造係可能),能使焦點深度擴大為η倍, 即此空氣中能使焦點深度實質上擴大η倍。 然而’專利文獻1所記載之曝光裝置,於晶圓交換時, 在晶圓載台從投影光學系統正下方離開前之階段,需要將 液體暫時回收,使投影光學系統之下面與晶圓表面之間, 從濕狀態變成乾狀態《但是,如此,若每於晶圓交換時, 需要進行液體之回收與供應,可確定液體之回收與供應所 需之時間會變成曝光裝置之產能降低之要因。 又如上述,將投影光學系統之像面側之光路空間從 濕狀態變成乾狀態時,若持續乾狀態,則在構成投影光學 系統最下端之光學構件(所謂前球,透鏡或玻璃板等;以下, 稱為「前端透鏡」)之表面,會有產生水紋(水痕)之虞。又, 在該前端透鏡附近若配置自動對焦機構之構成構件之光學 構件(例如稜鏡)之情形,在該自動對焦機構之構成構件之光 學構件表面,會有產生水紋(水痕)之虞。此水紋之產生則 會成為投影光學系統之透過率降低或閃光(flare)之要因,進 而或會成為使投影光學系統之其他結像性能惡化之要因。 又,若在上述稜鏡等產生水痕之情形,以自動對焦方式使 晶圓表面與投影光學㈣之像面對準時之面對準精度則有 虞。又’水痕之產生若嚴重時,需要前端透鏡或光 之更換’但其更換所需之時間會成為使曝光裝置之 200944963 運轉率降低之要因。 又,在本說明書,使用水以外之液體時,將形成於前 端透鏡等之花紋亦稱為水紋(水痕)。 , (專利文獻1)國際公開第99/49504號小冊子 【發明内容】
本發明’有鑒於上述情況,依第i觀點,一種載台驅 動方法,係在包含有液體局部供應之2維面内之第〖區域、 與位於該第1區域之第丨軸方向一側之第2區域之既定範 圍區域内,獨立驅動第丨載台與第2载台,其特徵在於: 當從該第卜第2載台中之一載台位於該第】區域之第 1狀態,遷移至另-載台位於該第i區域之第2狀態時,使 該第1載台與第2载台,維持於與該第Μ方向交又之第2 軸方向上呈近接狀態及接觸狀態之任一狀態並將該第i、 第2載台朝該第2軸方向同時驅動。 隹此,所謂 戰台與第2載台呈近接狀您」,你 指以從第1載台與第 、 裁σ之間不洩漏液體,或液體之洩 漏少之程度,使第丨載台 〇與第2載台呈近接狀態而言。但 疋,第1載台與第2載a 取〇之間隔之容許值,係因該兩載台 之材質或液體之種類算而 t土 Γ 等而不同0本說明書,係站在此種觀 ',使用帛1載台與第2載台呈近接狀態」之表現。 彡。含將液體局部供應之2維面内之第^區域、 與位於該第1區域之第 袖方向一側之第2區域之既定範 圍區域内,使第丨載台與 丹第2載台獨立驅動時,在從一载 7 200944963 台位於該第i區域之第丨狀態遷移至另一載台位於該第】 區域之第2狀態之情形,第1、第2載台,維持與第丨軸方 向交又之第2轴方向彼此呈近接狀態或接觸狀態,而朝第2 轴方向同時驅動。藉此,以在第1、第2載台中 台上形成液浸區域之狀態,邊防止或抑制從第丨、第2栽a (兩載台)之間隙洩漏液體,邊能從第1狀態遷移至第2 ^ 態。即,從一載台上保持液體之狀態,經過在雙方之載么 上保持液體之狀態,至另一載台上保持液體之狀態,不必 經過液體之全回收、再度供應之步驟’能使其遷移。因此, 將從第1狀態至第2狀態之遷移能以短時間進行。 本發明,依第2觀點,一種載台驅動方法,係在包含 有液體局部供應之2維面内之第丨區域、與位於該第 域之第1轴方向一側之第2區域之既定範圍之區域内,驅 動第1載台;在包含該第1區域、與位於該第丨區域之該 第1轴方向之另一側之第3區域之既定範圍之區域内,驅 動第2載台,其特徵在於: 當從該第卜第2載台中之一載台位於該第丨區域之第 1狀態,遷移至另一載台位於該第丨區域之第2狀態時,使 該第1載台與第2載台,維持與該第丨轴方向呈近接狀態 及接觸狀態之任一狀態,並將該第丨、第2載台朝該第 方向同時驅動。 依此,在包含將液趙局部供應之2維面内之第丨區域、 與位於該第1區域之第1軸方向一側之第2區域之既定範 圍區域内,驅動第1載台,在包含該第丨區域與位於該 200944963 第1區域之該第!轴方向之另^ 區域内,驅動第2載么時,,…幻 域之既疋範圍 狀態遷移至另台位於帛1區域之第1 么與第2❹ 域之第2狀態時,第1載 ❹ 之任一狀能。’維持與第1軸方向呈近接狀態或接觸狀態 蜜狀態,而朝第1轴方向同時驅動。藉此,以在第卜 載口中之至少一載台上形成液浸區域之狀態,邊防止 厂抑制從第卜第2載台之間耗漏液趙,邊能從第i狀態 遷移至第2狀態。#,從—載台上保持液體之狀態,經過 在雙方之載台上保持液髏之狀態,至另—載台上保持液體 之狀態’不必經過液體之全回收、再度供應之步驟,能使 其遷移。因此,將從第U態至第2狀態之遷移能以短時 間進行。 本發明,依第3觀點,第!載台裝置,具備: 第1、第2載台,能在包含有液體局部供應之2維面内 之第1區域、與位於該第丨區域之第丨軸方向一側之第2 φ 區域之既定範圍區域内獨立驅動;及 控制裝置,當從該第卜第2載台中之一載台位於該第 1區域之第1狀態,遷移至另一載台位於該第1區域之第2 狀態時,該第1載台與該第2載台,維持與該第1軸方向 交又之第2軸方向呈近接狀態及接觸狀態之任一狀態,以 使該第1、第2載台朝該第2轴方向同時移動的方式,來控 制該第1、第2载台。 依此’從第1、第2載台中之一載台位於有液體局部供 應之2維面内之第1區域之第1狀態,遷移至另一載台位 9 200944963 於該第U域之第2狀態時,藉由控制裝置,控制第卜第 2載台’使第1、第2載台維持與第!軸方向交又 方向彼此呈近接狀態或接觸狀態, 叩朝第2軸方向同時移 動。藉此,以在第i、第2載台中之至少一載台上形成液浸 區域之狀態,邊防止或抑制從第i、帛2載台(兩載台)之間 隙茂漏液鱧’邊能從第i狀態遷移至帛2狀態。即,從一 載台上保持液體之狀態,經過在雙方之載台上保持液體之 狀態,至另一載台上保持液體之狀態,不必經過液體之全 回收、再度供應之步驟,能使其遷移。因此,將從第〗狀 態至第2狀態之遷移能以短時間進行。 本發明,依第4觀點,第2载台裝置,具僙: 第1載台,能在包含有液體局部供應之2維面内之第t 區域、與位於該第1區域之第丨軸方向一側之第2區域之 既定範圍之區域内移動; 第2載台’此在包含該第1區域、與位於該第1區域 之該第1軸方向之另一側之第3區域之既定範圍區域内移 動;及 控制裝置’使從該第1、第2載台中之一載台位於該第 1區域之第1狀態,遷移至另一載台位於該第丨區域之第2 狀態時,將該第1、第2載台控制成,維持與該第1轴方向 呈近接狀態及接觸狀態之任一狀態,以使該第1、第2載台 朝該第1軸方向同時移動。 依此’從第1、第2載台中之一載台位於有液體局部供 應之2維面内之第1區域之第1狀態’遷移至另一載台位 200944963 於該第1區域之第2狀皞睥, 台與第2載台,使第b;2葡!由控制裝置’控制第^ 狀態及接觸狀態之任__ °轉與第1轴方向呈近接 銥…务妨 狀態,而朝第1轴方向同時移動。 藉此,以在第1、第2載台 ^ ^ * 之至〉、一載台上形成液浸區域 之狀態,邊防止或抑制從第 ^ ,“…松 促第1、第2載台之間隙洩漏液體, 邊at*從第1狀態遷移至第2 砂王弟2狀態。即,從一載台 體之狀態,經過在雙方之# & ^ 饰符液 你雙万之载台上保持液體之狀態,至另一
載台上保持液體之狀鲅,X
〜 '、、、1過液體之全回收、再度供 應之步驟,能使其遷移。因y_ ⑽ ,、 秒因此,將從第1狀態至第2狀離 之遷移能以短時間進行。 〜、 本發明,依第5觀點,笛,H # ^规點第1曝光裝置,係在投影光學 系統與基板之間供應液體,读 狀瓶還過該投影光學系統與該液 體,以能量光束使該基板曝光,具備: 第1載台,能在包含待供應該液體之該投影光學系統 正下方之帛1區域、與位於該投影光學系統之第i轴方向 一側之第2區域之既定範圍區域内移動; 第2載〇,flb在包含該第丨區域與位於該投影光學 系統之第1軸方向之另一侧之第3區域之區域内移動; 載台驅動系統,使該第1、第2載台驅動,並且使從一 載台位於該第1區域之第丨狀態遷移至另一載台位於該第1 區域之第2狀態時,使該第1載台與第2載台,維持與該 第1軸方向呈近接狀態及接觸狀態之任一狀態,並將該第 i、第2載台朝該第1轴方向同時驅動; 第1標記檢測系統,配置於該第2區域上方,供檢測 200944963 - , 存在於該第1載台上之標記;及 第2標記檢測系統,配置於該第3區域上方,供檢測 存在於該第2載台上之標記。 依此,使從一載台位於待供應液體之投影光學系統正 下方之第1區域之第1狀態遷移至另一載台位於第1區域 之第2狀態時,藉由載台驅動系統,維持第1、第2载台與 第1轴方向呈近接狀態及接觸狀態,使該第1、第2載台朝 第1轴方向同時驅動。因此,以在投影光學系統與其正下 方之至少一載台上保持著液體之狀態,邊防止或抑制從第 〇 1、第2載台之間隙洩漏液體,邊能從第1狀態遷移至第2 狀態。即’從使用一載台進行透過投影光學系統與液體之 基板之曝光動作後,至使用另一載台開始透過投影光學系 統與液體之基板之曝光動作為止期間,從一載台與投影光 學系統之間保持液體之狀態,經過在雙方之載台與投影光 學系統之間保持液體之狀態,至另一載台與投影光學系統 之間保持液體之狀態,不必經過液體之全回收、再度供應 之步驟,能使其遷移。因此,將使用一載台之曝光動作結◎ 束後之使用另一載台之曝光動作,能以短時間開始。又, 在投影光學系統之像面側,因持續存在液體,故能有效地 防止在投影光學系統之像面側之光學構件產生前述之水紋 (水痕)。又’因能分別一起進行對第i載台上之基板之曝光 動作與以第2之標記檢測系統之第2載台上之基板之標記 檢測動作(對準動作)’及對第2載台上之基板之曝光動作與 以第1之標記檢測系統之第i載台上之基板之標記檢測動 12
200944963 V 作(對準動作),故相較於使用1個載台將基板交換、標記檢 測(對準)及曝光動作逐次進行之情形,能期待產能之提高。 本發明’依第6觀點,第2曝光裝置,係在投影光學 系統與基板之間供應液體’透過該投影光學系統與液體, 以能量光束使該基板曝光,具備·· 第1載台,能在包含供應該液體之該投影光學系統正 下方之第1區域、與位於該第1區域之第1軸方向—側之 第2區域之既定範圍區域内移動,且能載置該基板; 第2载台’能在包含該第1區域、與位於該第1區域 之第1軸方向之另一側之第3區域之區域内移動,且用於 既定之測量;及 載台驅動系統’使該第1、第2載台驅動,並且使從一 載台位於該第1區域之第1狀態遷移至另一載台位於該第i 區域之第2狀態時,使該第丨載台與該第2載台,維持與 該第1軸方向呈近接狀態及接觸狀態之任一狀態,並將該 第1載台與第2載台朝該第1軸方向同時驅動。 依此,從一載台位於待供應液體之投影光學系統正下 方之第1區域之第1狀態遷移至另一載台位於第丨區域之 第2狀態時,藉由載台驅動系統,第丨、第2載台,維持與 第1轴方向呈近接狀態及接觸狀態之任一狀態,使第丨、第 2載台向第1轴方向同時驅動。因此,以在投影光學系統與 位於其正下方之至少一載台之間保持著液體之狀態邊防 止或抑制從第i載台與第2載台之間隙洩漏液體,邊能從 第1狀態遷移至第2狀態。即,對第丨載台上之基板透過 13 200944963 投影光學系統與液體進行基板之曝光動作後,至使用第2 載台在投影光學系統正下方開始測董為止期間,從第丨載 台與投影光學系統之間保持液鱧之狀態,經過在雙方之載 台與投影光學系統之間保持液體之狀態,至第2載台與投 影光學系統之間保持液體之狀態,不必經過液體之全回 收、再度供應之步驟,能使其遷移。又,對第2載台結束 測量後,至對第1載台開始曝光為止,亦同樣。因此,將 使用第1載台之曝光動作結束後之使用第2載台之測量動 作’及使用第2載台之測量動作結束後之使用第1載台之 曝光動作,能以短時間開始,能獲得產能之提高。又,在 投影光學系統之像面側,因持續存在液體,故能有效地防 止在投影光學系統之像面側之光學構件產生前述之水紋(水 痕)。又,將使用第1載台之基板之曝光動作與使用第2載 台之基板之檢測動作,依測量動作能一起進行。 本發明,依第7觀點,第3曝光裝置,係在投影光學 系統與基板之間供應液體,透過該投影光學系統與液體, 使該基板曝光,具備: 第1載台,能在包含供應該液體之該投影光學系統正 下方之第1區域、與位於該第丨區域之第丨轴方向一侧之 第2區域之既定範圍區域内移動; 第2載台,能在包含該第丨區域與該第2區域之區域 内,與該第1載台獨立移動;及 載台驅動系統,使該第1、第2載台驅動,並且使從一 載台位於該第1區域之第丨狀態遷移至另一載台位於該第1 200944963 區域之第2狀態時,使該第1載台與該第2載台,維持於 與該第1軸方向交叉之第2軸方向上呈近接狀態及接觸狀 態之任一狀態,並將該第1、第2載台朝該第2軸方向同時 媒動。 依此’從一載台位於待供應液體之投影光學系統正下 方之第1區域之第1狀態遷移至另一載台位於第1區域之 第2狀態時,藉由載台驅動系統,第1、第2載台維持與 第2軸方向(與第1區域與第2區域所排列之第1方向的方 ® 向交又)呈近接狀態及接觸狀態之任一狀態,使第丨、第2 載台向第2軸方向同時驅動。因此,以在投影光學系統與 位於其正下方之至少一載台之間保持著液體之狀態,邊防 止或抑制從第1載台與第2載台之間隙洩漏液體,邊能從 第1狀態遷移至第2狀態。即,在一載台側透過投影光學 系統與液體進行基板之曝光動作後,至在另一載台側透過 投影光學系統與液體開始基板之曝光動作為止期間從一 載台與投影光學系統之間保持液體之狀態,經過在雙方之 載台與投影光學系統之間保持液體之狀態,至另_載台與 投影光學系統之間保持液體之狀態’不必經過液體之全回 收、再度供應之步驟,能使其遷移。因此,將使用一載台 之曝光動作結束後之使用第2載台之測量動作,及使用第2 載台之測量動作結束後之使用另一載台之曝光動作,能以 短時間開始,能獲得產能之提高。又,在投影光學系統之 像面側,因持續存在液體,故能有效地防止在投影光學系 統之像面側之光學構件產生前述之水紋(水痕)。 15 200944963 本發明,依第8觀點,第4曝光裝置,係在投影光學 系統與基板之間供應液體,透過該投影光學系統與該液 體,使該基板曝光,具備: 第1載台,能在包含待供應該液體之該投影光學系統 正下方之第1區域、及與該第1區域不同區域之區域内移 動; 第2載台’能在包含該第1區域、及與該第2區域不 同區域之區域内,與該第1載台獨立移動; 載台驅動系統’使該第1、第2載台驅動,並且使從一❹ 載台位於該第1區域之第1狀態遷移至另一載台位於該第1 區域之第2狀態時,使該第1載台與該第2載台,維持與 既定方向呈近接狀態,並將該第卜第2載台朝該既定方向 同時驅動;及 抑制構件,設置於該第1載台及該第2載台之至少一 方,從該第1狀態遷移至該第2狀態時其位於該兩載台之 間隙’藉此來防止該液體從該間隙洩漏。
依此,從能在包含投影光學系統正下方之第丨區域 及與該第1區域不同區域之區域内移動之第丨、第2載台 一載台,位於第1區域之第1狀態,遷移至另—載台位 第1區域之第2狀態時,藉由使第1載台與第2載台與第 軸方向呈近接狀態,並且使抑制構件(設置於第丨、第2 台之至少一方,用以抑制液艎之洩漏)以位於兩載台之間 之狀態朝該既定方向同時驅動,從第1狀態遷移至第2狀 態時’故能極力防止液體從兩載台之間汽漏。 16 200944963 w 又,在微影步驟’使用本發明之各第1〜第4曝光裝置, 以該能量光束來使基板曝光,藉此’能將元件圖案精度良 好地轉印在基板上,結果能提高高積體度之微元件之生產 性。因此’本發明,進一步從另一觀點來看,亦可稱元件 製造方法’其包含使用本發明之第1〜第4曝光裝置之任一 種,以該能量光束使基板曝光之微影步驟。 【實施方式】 © 《第1實施形態》 以下,依圖1〜圖10說明本發明之第1實施形態。 在圖1,表示第1實施形態之曝光裝置10〇之概略構 成此曝光裝置1〇〇,係步進掃描(step and scan)方式之投 影曝光裝置,即所謂掃描步進機(亦稱為掃描機八此曝光裝 置1〇〇,具備:照明系統1〇 ;標線片載台rST,用以保持 當作光罩使用之標線片R;投影單元Pu;晶圓載台裝置5〇 , ❷ 具有當作第i、第2載台使用之晶圓載台WST1、WST2 ; 偏軸對準(off axis alignment)系統ALG卜ALG2,當作第1、 第2標記檢測系統;及此等構件之控制系統。在晶圓載台 WST1、WST2上,載置作為基板之晶圓。在圖1,在晶圓 載台WST1上載置晶圓w卜在晶圓載台WST2上載置晶圓 W2 〇 前述照明系統10,例如日本特開2〇〇 1-313250號公報 及對應於此之美國專利申請公開第2〇〇3/〇〇2589〇號說明書 所揭示’包含:光源、照度均勻化光學系統(含有光學積分 17 200944963 器)、分束器、中繼透鏡、可變ND渡光片、標線片遮簾等(均 未圖示)。此照明系統10,將標線片遮簾所限制之標線片r 上之狹縫狀照明區域,藉由照明用光(曝光用光)il(作為能 量光束)以大致均勻之照度照明。在此,照明用光IL,作為 例,使用ArF準分子雷射光(波長193nm卜又光學積分 器能使用複眼透鏡、桿式積分器(内面反射型積分器)或繞 射光學元件等。其他’照明系統1〇,亦可採用例如曰本特 開平6-349701號公報及對應於此之美國專利冑5 534 97〇 號等所揭示之構成。在本案所指^之指㈣(或所選擇之選〇 擇國)之國内法令所允許之_ ’援用上述各公報及對應於 此之美國專利申請公開說明書或美國專利之揭示,作為本 說明書之記載之一部分。 在前述標線片載台RST上,將形成電路圖案等於其圖 案面(在圖1係下面)之標線片R,例如藉由真空吸附固定。 標線片載台RST,例如藉由包含線性馬達等之標線片載台 驅動部η(在@ i未圖示,參照圖5),能在垂直於照明系統 之光轴(一致於後述之投影光學系統PL之光轴Αχ)之χγ 〇 平面内微驅動,並且能朝既定之掃描方向(在此,設為與圖 1紙面正交方向之γ軸方向)以所指定之掃描速度驅動。 標線片載台RST之載台移動面内之位置,係藉由標線 片雷射干涉計(以下,稱為「標線片干涉計」)116,透過移 動鏡15,例如以0.5〜lnm程度之分解能持續檢測。在此, 實際上,雖在標線片載台RST上設置具有正交於γ轴方向 之反射面之Y移動鏡與具有正交於X軸方向之反射面之χ 18 200944963 移動鏡’對應此等移動鏡設置標線片γ干涉計與標線片χ 干涉計,但在圖1以移動鏡15、標線片干涉計116為代表 此等元件來表示。又,亦可例如將標線片載台RST之端面 鏡面加工來形成反射面(相當於上述之X移動鏡、γ移動鏡 之反射面)。又’替代朝χ軸方向延伸之反射面(使用於標線 片載台RST之掃描方向(在本實施形態係γ軸方向)之位置 檢測)’亦可使用至少1個直角反射鏡(corner eube mirror)(例如retrorefiector)。在此,標線片γ干涉計與標線 © 片X干涉計之一方,例如標線片Υ干涉計,係具有2軸測 長轴之2軸干涉計,根據此標線片γ干涉計之測量值,除 了標線片載台RST之Υ位置外,亦能測量ζ軸周圍之旋轉 方向(0ζ方向)之旋轉。 標線片干涉計116之測量值,送至主控制裝置2〇(在圖 1未圖示,參照圖5),主控制裝置2〇,根據此標線片干涉 計116之測量值算出標線片載台rsT之Χ'γ、0Ζ方向之 位置,並且根據此算出結果控制標線片載台驅動部η,藉 © 此來控制標線片載台RST之位置(及速度)。 在標線片R之上方,將使用曝光波長之光之 TTR(Thr〇Ugh The Reticle)對準系統所構成之一對標線片對 準檢測系統RAa、RAb沿X軸方向隔既定距離設置,用以 透過投影光學系統PL將標線片R上之標線片標記與所對應 之基準標3己板上之基準標記同時觀察。此等標線片對準檢 測系統RAa、RAb,使用例如與日本特開平7 176468號公 報及對應於此之美國專利第5,646,413號等所揭示者同樣之 200944963 構成。在本案所指定之指定國(或所選擇之選擇國)之國内法 令所允許之範圍,援用上述公報及對應於此之美國專利之 揭示,作為本說明書之記載之一部分。 投影單元PU,配置於圖1之標線片載台RST之下方。 投影單元PU ’包含:鏡筒40 ;及投影光學系統PL,由在 該鏡筒40内以既定之位置關係保持之複數個光學元件組 成。投影光學系統PL,例如使用由具有z軸方向之共同光 轴AX之複數個透鏡(透鏡元件)所構成之折射光學系統。此 投影光學系統PL,例如在兩側遠心具有既定之投影倍率(例 如1/4倍、1/5倍或1/8倍)。因此,若以來自照明系統1〇 之照明用光IL使標線片R之照明區域照明,藉由通過此標 線片R之照明用光IL ’透過投影單元pu(投影光學系統 PL) ’將該照明區域内之標線片r之電路圖案之縮小像(電 路圖案之一部分之縮小像)形成於在表面塗布有光阻(感光 劑)之晶圓上。 又’在本實施形態之曝光裝置100,如後述由於係進行 適用液浸法之曝光,故伴隨數值孔徑NA實質上增大,標線 片側之孔徑亦變大。因此,在僅以透鏡構成之折射光學系 統,要滿足珀茲伐(Petzval)條件變成困難,而有使投影光學 系統大型化之趨勢。為了要避免此種投影光學系統之大型 化,亦可使用包含反射鏡與透鏡所構成之反射折射系統 (catadiootric 系、統)。 又’本實施形態’在構成投影光學系統PL之最像面側 (晶圓側)之透鏡(以下,稱為「前端透鏡」)91與晶圓載台 200944963 wsT1或WST2上之晶圓之間(或前端透鏡9ι與晶圓載么 體!或WST2之間),設置用以局部供應液體之液體供: 系統32。在圖1,表示構成此液體供排單元之嘴來代表 液體供排系統32。又,對液體供排系統32之構成等將予 後述》 前述晶圓載台裝置50,具備··基盤12;晶圓載台WST卜 WST2’配置於該基盤12上面之上方;干涉計系統"8(參 照圖5),係包含用來測量此等晶圓載台WST1、wst2之位 © 置之干涉計之位置測量裝置;及晶圓載台驅動部124(參照 圖5) ’用以驅動晶圓載台WST1、WST2。 在晶圓載台WST1、WST2之底面,將未圖示之非接觸 軸承,例如真空預壓型空氣靜壓軸承(以下,稱為「氣塾」) 設置於複數個位置,藉由從該等氣墊朝基盤12上面所喷出 之加壓空氣之靜壓,在基盤12上面之上方將圓載台WST卜 WST2透過數度之間隙非接觸地浮起支撐。又,晶圓 載台WST1、WST2 ’藉由晶圓載台驅動部124,獨立於χ ® 轴方向(圖1紙面内之左右方向)及Y轴方向(與圖1紙面正 交之方向)能沿2維方向驅動。 在基盤12上,如圖2之俯視圖所示,將一對x軸線性 導件(朝X軸方向延伸之X固定件)86、87沿Y軸方向隔既 定間隔配置。此等X軸線性導件86、87,例如藉由内設永 久磁鐵群組(沿X軸方向以既定間隔且交替配置之N極磁鐵 與S極磁鐵之複數組所構成)之磁極單元來構成。在此等X 軸線性導件86、87上方,設置各2個滑件82、84及83、 21 200944963 Μ,形成將所對應之χ軸線性導件86、87從上方包圍之狀 態且非接觸。即’合計4個滑件82、84、…Μ,具有截 面倒u字形之形狀,形成將x軸線性導件86 87從上方及 側方包圍,對所對應之X轴線性導件86、87分別透過未圖 示之氣墊例如以數程度之間隙浮起支撐。各滑件82、 84' 83、85,例如藉由分別内設沿χ軸方向以既定間隔配 置之電梅線圈之電樞單元來構成。即,在本實施形態藉 由電樞單元所構成之滑件82、84與磁極單元所構成之χ轴 線性導件86,分別構成動圈型之χ軸線性馬達。同樣地,◎ 藉由滑件83、85與又軸線性導件87,分別構成動圏(111(^丨1^ coil)型之χ軸線性馬達。以下,對上述4個各χ軸線性馬 達’使用與構成各可動件之滑件82、84、83、85相同之符 號,稱為X軸線性馬達82、X軸線性馬達84、X軸線性馬 達83、X軸線性馬達85。 上述4個X轴線性馬達中’構成2個χ軸線性馬達82、 83之滑件,分別固定於γ軸線性導件8〇(當作朝γ軸方向 延伸之Υ固定件)之長邊方向之一端與另一端。又,構成另 〇 外之2個χ軸線性馬達84、85之滑件,固定於Υ軸線性導 件81 (當作朝γ軸方向延伸之γ固定件)之一端與另一端。 因此,Υ轴線性導件80、81,藉由各一對之χ轴線性馬達 82、83、84、85,使其沿χ軸分別驅動。 前述各Υ軸線性導件8 〇、81,例如藉由分別内設沿Υ 轴方向以既定間隔配置之電樞線圈之電樞單元來構成。 一 Υ軸線性導件81,以插入狀態設置於形成在晶圓栽 22 200944963
Ο 台WST1之開口。在此晶圓載台WST1之上述開口之内部, 設置具有永久磁鐵群組(例如沿γ軸方向以既Μ隔且交替 配置之Ν極磁鐵與S極磁鐵之複數組所構成)之磁極單元。 藉由此磁極單元與Υ軸線性導件81,構成將㈣載台暫i 沿Y軸方向驅動之動磁型之γ軸線性馬達。同樣地,另一 Υ轴線性導件8G,以插人狀態設置於形成在晶_台WST2 之開口。在此晶圓載台WST2之上述開口之内部設置與 晶圓載台WST1側同樣之磁極單元。藉由此磁極單元與γ 抽線性導件80,構成將晶圓載台體2沿γ軸方向驅動之 動磁(moving magnet)型之γ軸線性馬達。在以下對此等 γ軸線性馬達’使用與構成各固定件之線性導件8ι、8〇相 同之符號’稱為Υ軸線性馬達81、γ軸線性馬達8〇。 在本實施形態,包含X轴線性馬達82〜85及γ軸線性 馬達80、8卜來構成圖5所示之晶圓載台驅動部124。構 成此晶圓載台驅動苦"24之上述各線性馬達,則藉由圖5 所示之主控制裝置20控制。 又,藉由使一對X軸線性馬達84、85(或82、83)分別 所產生之推力稍微不同’能控制晶圓載台WSTi(或wst2) 之偏搖(yawing)。 在本實施形態,各晶圓載台WST1、WST2,雖以單一 之載台圖示’但實際上,具備:载台本體,藉由γ轴線性 馬達81、80分別驅動;晶圓台’透過ζ·調平驅動機構(例 如音圈馬達)載置於該載台本趙之上部,對載台本體相對地 沿ζ軸方向及X軸周圍之旋轉方向(θχ方向)γ轴周圍之 23 200944963 旋轉方向(0y方向)微驅動。
在前述晶圓載台WST1上(晶圓台上),如圖1所示,設 置晶圓保持具H1 ’藉由真空吸附等保持晶圓wi。晶圓保 持具H1’如圖3之立體圖所示,具備:本體部7〇,俯視(從 上方觀察)大致呈正方形;4片輔助板72a〜72d,以從上方重 疊於本體部70之方式配置於晶圓贾丨之載置區域周圍。此 等輔助板72a〜72d之表面’形成與晶圓wi之表面大致相同 之高度。又,輔助板72a〜72d,亦可由一個構件構成。又, 若能在投影光學系統PL之像面側保持液體Lq,亦可在晶 圓表面與輔助板表面之間有段差。
在晶圓載台WST1之上面,將X移動鏡17χ(在X軸方 向之一端(+Χ側端)具有與χ軸正交之反射面)朝γ軸方向 延設,將Υ移動鏡17Υ (在γ軸方向之一端(+γ侧端)具有 與Υ軸正交之反射面)朝χ轴方向延設。對此等移動鏡 17Χ、17Υ之各反射面,如圖2所示,將來自構成後述之干 涉計系統118(參照圖5)的干涉計之干涉計光束(測長光束) 投射,藉由以各干涉計接收該反射光,缝各移動鏡反射 面之基準位置(一般係在投影單元ρυ側面或對準系統 ALCH之側面配置固定反射鏡,以此為基準面)之位移測 量藉此,測量晶圓載台WST1之2維位置。較佳者為移 動鏡17X' ΐ7γ之上面亦設為與晶s w[大致相同之高度。 在此,如圖3所不,在各輔助板72a〜72d與晶圓W1 之間,雖存在間隙D,伸間陆η > 〇丄 Μ 1一間隙D之尺寸,係以成為0.1〜lmm 之方式設定。又,在曰圃^ 在日日圓W1,雖在其一部分存在缺口 24 200944963 字形之缺口)’但因此缺口之尺寸亦係僅lmm程度故省略 圖示。 又,辅助板72a,在其一部分形成圓形開口,在其開口 内,嵌入基準標記板FM1 〇基準標記板FM1,係使其表面 與辅助板72a為大致同一面。在基準標記板FM1之表面, 形成至少一對標線片對準用之第丨基準標記,及如後述以 對準系統ALG1所檢測之第2基準標記(均未圖示)等。 在前述晶圓載台WST2上(晶圓台上),如囷丄所示,設 © 置晶圓保持具H2,藉由真空吸附等保持晶圓W2。此晶圓 保持具H2,係與前述之晶圓保持具H1構成同樣。因此, 在形成於構成此晶圓保持具H2i丨個輔助板之一部分之圓 形開口内,嵌入基準標記板FM2(在圖丨未圖示,參照圖2)。 又’在晶圓載台WST2之上面,將X移動鏡! 17χ(在χ 轴方向之一端(-Χ侧端)具有與X軸正交之反射面)朝Υ軸方 向延設,將Υ移動鏡117Υ (在γ軸方向之一端(+γ側端) ©具有與Υ軸正交之反射面)朝X軸方向延設。對此等移動 鏡117Χ、11 7Υ之各反射面,如圖2所示,將來自構成後述 之干涉計系統11 8之干涉計之干涉計光束(測長光束)投 射’藉由以各干涉計接收該反射光,將來自各移動鏡反射 面之基準位置之位移測量,藉此,測量晶圓載台WsΤ2之2 維位置。 又,例如,可將晶圓載台WST1、WST2之端面作鏡面 加工來形成移動鏡(相當於前述之移動鏡17χ、17γ、η7χ、 117Υ之反射面)。 25 200944963 力^在晶圓栽台WST1、WST2彼此對向側之面 在晶圓載台"WST1+V j如 之-X側面,於其全面,如圖10所示, 附密封構件93。此密封媸放 密封構件93,例如使用由含氟橡膠等所 構成之彈性密封構件。 又,替代晶圓載台WST1之-χ側面,亦可將密封 93貼附於晶圓載台WST2之+χ側面亦可將密封構件93 貼附於日日圓載台WST1之·χ側面與晶圓載台WST2之+乂側 回到圖卜在分別隔相同距離於投影單元PU之+χ側、❹ •「X側之位置,分別配置前述之偏軸對準系統(以下,略述為 「對準系統」)ALG1、ALG2。此等對準系統ALG卜ALG2, 實際上,係裝設於用以保持投影單元PU之保持構件。此等 對準系統ALG卜ALG2,例如使用影像處理方式之FIA(FieM Image Alignment)系統之感測器,該影像處理方式係將對 象標記之像(將不使晶圓上之光阻感光之寬頻(br〇ad band) 之檢測光束照射於對象標記,藉由來自該對象標記之反射 光結像於受光面)與未圖示之指標(設置於對準系統 〇 ALG1、ALG2内之指標板上之指標圖案)之像使用攝影元件 (CCD等)來攝影後,將此等攝影信號輸出。又,對準系統 ALG1、ALG2,不限於FIA系統,將相干(coherent)之檢測 用光照射於對象標記’檢測從該對象標記所產生之散射光 或繞射光,或使從該對象標記所產生之2個繞射光(例如同 次數之繞射光,或繞射於同方向之繞射光)干涉來檢測之對 準感測器,單獨或適當組合使用當然可能。 26 200944963 « 在本實施形態,對準系統ALG1,係使用於形成在晶圓 載台WST1上之晶圓W1之對準標記及形成在基準標記板 FMi上之基準標記之位置測量等。又,對準系統alg2,係 使用於形成在晶圓載台WST2上之晶圓W2之對準標記及形 成在基準標記板FM2上之基準標記之位置測量等。 來自此等對準系統ALG卜ALG2之資料,如圖5所示, 供應至主控制裝置20。 其次,參照圖2說明干涉計系統丨丨8之構成等。如圖2 〇 所示’干涉計系統118,具有:3個Y軸干涉計46、48、 料,分別具有測軸ΒΙ2Υ、ΒΙ3γ、Βηγ,平行於通過投影光 學系統PL之投影中心(光軸AX)、對準系統ALG1、ALG2 之各檢測中心之Y軸;及2個χ軸干涉計16、18,分別具 有測軸ΒΙ1Χ、ΒΙ2Χ,平行於連結投影光學系統pL之投影 中心(光軸AX)及對準系統ALG1、ALG2之檢測中心之χ 轴。 在此’當晶圓載台WST1位於投影光學系統PL之光轴 ® 正下方之位置附近之區域(第1區域),對該晶圓載台WST1 上之晶圓進行曝光時,藉由X軸干涉計18、γ轴干涉計46 來管理晶圓載台WST1之位置。以下,將藉由此χ軸干涉 計18、Υ軸干涉計46各測長轴所規定之座標系統稱為第1 曝光座標系統。 又,晶圓載台WST2當投影光學系統pL位於第1區域, 對該晶圓載台WST2上之晶圓進行曝光時,藉由χ轴干涉 計16、Υ軸干涉計46來管理晶圓載台WST2之位置。以下, 27 200944963 將藉由此x轴干涉計16、γ軸干涉計46各別之測長軸所規 定之座標系統稱為第2曝光座標系統。 又,當晶圓載台WST卜位於對準系統ALG12檢測中 心正下方之位置附近之區域(第2區域),要進行形成於其晶 圓載台WST1上之晶圓之對準標記之檢測時,例如要進行 後述之晶圓對準時,藉由X轴干涉計18、丫軸干涉計48 來管理晶圓載台WST1之位置。以下,將藉由此χ軸干涉 計18、Y軸干涉計48各測長軸所規定之座標系統稱為第1 對準座標系統。 又,當晶圓載台WST2,位於對準系統ALG2之檢測中 心正下方之位置附近之區域(第3區域),要進行形成於其晶 圓載台WST2上之晶圓之對準標記之檢測時,例如要進行 後述之晶圓對準時,藉由χ軸干涉計16、γ轴干涉計44 來管理晶圓載台WST2之位置。以下,將藉由此χ轴干涉 計16、Y轴干涉計44各別之測長軸所規定之座標系統稱為 第2對準座標系統。 從上述之說明得知,在本實施形態,來自χ轴干涉計〇 18、16之干涉s十光束’在晶圓載台WST1、wst2之移動範 圍之全域持續分別照射於晶圓載台WST1、爾2之移動鏡 7X 117X因此’對χ轴方向,使用投影光學系統孔曝 光時,即使使用對準系統ALG1、ALG2時等任何情形晶 圓載台WST1、WST2之位置,則藉由χ轴干涉計18、16 來管理。此等X轴干涉計18、16,係具有相對於γ轴方向 及Ζ軸方向離開之至少3支光轴之多轴干涉計,各光轴之 28 200944963 輸出值能獨立測量。因此’此等χ軸干涉計18、16,除了 晶圓載台WST1、WST2之X轴方向之位置測量以外,亦能 測量Y軸周圍之旋轉量(横搖量(rolling))及Z軸周圍之旋轉 量(偏搖量)。 ❹
又’上述Y軸干涉計46、48、44,例如係具有相對於 Z轴方向離開之各2支光轴之2軸干涉計,各光軸之輸出值 能獨立測量。因此,此等γ軸干涉計46、48、44,除了晶 圓載台WST1、WST2之Y軸方向之位置測量以外,亦能測 量X軸周圍之旋轉量(俯仰量(pitching>)。 又,上述多軸干涉計,亦可傾斜45。而設置於晶圓載台 WST卜WST2之反射面,對設置於將投影光學系統PL載置 之架台(未圖示)之反射面照射雷射光束,來檢測相對於投影 光學系統PL之光軸方向(z轴方向)之相對位置資^ 其次,依圖4說明前述液體供排系統32。此液體供排 系統32,具備.液體供應裝置5;液體回收裝置供應管 21、22、27、28,連接於液體供應裝置5 ;及回收管& 24、29、30,連接於液體回收裝置^ 前述液體供應裝置5,包 ^ ^ . 饮瓶之糟,加壓泵;溫度 控制裝置,及複數個閥’用以控制對 28之液體之供應、停止 ^ f,, M ^ ^ 各閥,例如較佳者為使用流量 控制閥,不僅液體之供應 Μ ^ ^ 亭止 亦能進行流量調整。 月J退皿度控制裝置,係 為與收納有例如投影單-p 槽内之液體溫度,調整 室(未圏示)内之^相:U等所構成之曝光裝置本體之 之/皿度相同程度的溫度。 29 200944963 前述供應管21’將其一端連接於液體供應裝置5,將 其他端分支為3個,在各分支端分別形成(或設置)由尖細嘴 所構成之供應嘴21a、21b、21c。此等供應嘴21a、21b、21c 之前端,位於前述之前端透鏡91 (參照圖丨)附近,沿χ軸方 向隔既定間隔且近接於曝光區域IA(與前述槽上之照明區 域共同作用之像面上之區域)之+γ來配置。以供應嘴21a 為中心,將供應嘴21b、21c配置於大致左右對稱。 前述供應管22’將其一端連接於液體供應裝置5,將 其他端分支為3個’在各分支端分別形成(或設置)由尖細嘴 〇 構成之供應嘴22a、22b、22c。此等供應嘴22a、22b、22c 之前端’位於前端透鏡91附近,沿χ軸方向隔既定間隔且 近接於曝光區域IA之-Y來配置。在此情形,供應嘴22a、 22b、22c’隔著曝光區域ία對向於供應嘴21a、21b、21c 來配置。 前述供應管27,將其一端連接於液體供應裝置5,將 其他端形成(或設置)為由尖細嘴所構成之供應嘴27a。此供 應嘴27a之前端,位於前端透鏡91附近,近接於曝光區域 〇 【A之-X側來配置。 前述供應管28’將其一端連接於液體供應裝置5,將 其他端形成(或設置)為由尖細嘴所構成之供應嘴28a。此供 應嘴28a之前端,位於前端透鏡91附近,近接於曝光區域 IA之+χ側’且隔著曝光區域ία對向於供應嘴27a來配置。 又,不需要將用以供應液體之槽、加壓泵、溫度控制 裝置、閥等全部設於曝光裝置1〇〇,至少將一部分能由設置 30 200944963 曝光裝置100之工廠等之設備來替代。 前述液體回收裝置6,包括:液體之槽;吸引泵;及複 數個閥’用以控制分別透過各回收管23、24、29、3〇之液 體之回收、停止等。各閥’較佳者為對應前述之液體供應 裝置5側之閥’使用流量控制閥。 前述回收管23,將其一端連接於液鱧回收裝置6,將 其他端分支為二股,在各分支端分別形成(或設置)由尾寬嘴 所構成之回收嘴23a、23b。在此情形,回收嘴23a、23b, © 係交替配置於供應嘴22a〜22c之間。各回收嘴23a、23b之 刖端及各供應嘴22a、22b、22c之前端,大致沿著平行於X 轴之同一直線上來配置。 前述回收管24’將其一端連接於液體回收裝置6,將 其他端分支為二股’在各分支端分別形成(或設置)由尾寬嘴 所構成之回收嘴24a、24b。在此情形,回收嘴24a、24b, 係在供應嘴2 la〜21c之間’交替且隔著曝光區域IA分別對 向於回收嘴23&、2313來配置。各回收嘴233、231)之前端及 各供應嘴21a、21b、21c之前端,大致沿著平行於x軸之 同一直線上來配置。 前述回收管29’將其一端連接於液體回收裝置6,將 其他端分支為二股,在各分支端分別形成(或設置)由尾寬嘴 所構成之回收嘴29a、29b。此等回收嘴29a、29b,係隔著 供應嘴28a配置。各回收嘴29a、29b&供應嘴28a之前端, 大致沿著平行於γ軸之同一直線上來配置。 月'J述回收管30’將其一端連接於液體回收裝置6,將 31 200944963 其他端分支為二股’在各分支端分別形成(或設置)由尾寬嘴 所構成之回收嘴3〇a、30b。此等回收嘴3〇a、3〇b ,係隔著 供應嘴27a,且隔著曝光區域IA分別對向於回收嘴' 29b來配置。各回收嘴3〇a、3〇b及供應嘴na之前端,大 致沿著平行於γ轴之同一直線上來配置。 又,不需要將用以回收液體之槽、吸引泵、閥等全部 設於曝光裝置1〇〇,至少將一部分能由設置曝光裝置1〇〇之 工廠等之設備來替代。 在本實施形態,上述液體,係使用能透過ArF準分子 ◎ 雷射光(波長193nm)之超純水(以下,除了特別需要時,簡 單稱為「水」)。超純水,能在半導體製造工廠容易大量獲 得,並且具有對塗布於晶圓上之光阻(感光劑)或光學透鏡等 無不良影響之優點。又,超純水對環境無不良影響,並且 因雜質之含有量極低,故亦能期待對晶圓之表面及前端透 鏡91之表面之洗淨作用。 對ArF準分子雷射光之水之折射率n,係大致144。在 此水中,照明用光IL之波長,則使其短波長化為193〇爪X ◎ 1/n =約 I34nm。 月’J述液體供應裝置5及液體回收裝置6,分別具備控制 器,各控制器,藉由主控制裝置2〇來控制(參照圖5)。例 如,沿囷4中之實線箭頭a所示之方向卜γ方向)使晶圓 W1 (或W2)移動時,液體供應裝置5之控制器,依照主控制 裝置20之指示,以既定開度打開連接於供應管21之閥, 使其他閥為全閉,透過設置於供應管21之供應嘴21a〜21c 32 200944963 朝-Y方向將水供應至前端透鏡91與晶圓W1(或W2)之間。 又’此時’液體回收裝置6之控制器,依照主控制裝置20 之指示,以既定開度打開連接於回收管23之閥,使其他閥 為全閉’透過回收嘴23a、23b從前端透鏡91與晶圃W1 (或 W2)之間將水回收至液體回收裝置6之内部。此時,主控制 裝置20’對液體供應裝置5、液體回收裝置6發出指令, 使從供應嘴21a〜21c朝-Y方向供應至前端透鏡91與晶圓 W1 (或W2)之間之水量,與透過回收嘴23a、23b回收之水 © 量相等。因此’在前端透鏡91與晶圓W1(或W2)之間,保 持一定量之水Lq(參照圖1)。在此情形,保持於前端透鏡 91與晶圓W1(或W2)之間之水Lq持續替換。 又’沿圖4中之虛線箭頭A’所示之方向(+γ方向)使晶 圓W1(或W2)移動時,液體供應裝置5之控制器,依照主 控制裝置20之指示’以既定開度打開連接於供應管22之 閥’使其他閥為全閉,透過設置於供應管22之供應嘴 22a〜22c朝+Y方向將水供應至前端透鏡91與晶圓wi(或 ® W2)之間。又,此時,液體回收裝置6之控制器,依照主控 制裝置20之指示,以既定開度打開連接於回收管24之閥, 使其他閥為全閉,透過回收嘴24a、24b從前端透鏡91與 晶圓W1 (或W2)之間至液體回收裝置6之内部回收水。此 時’主控制裝置20,對液體供應裝置5、液體回收裝置6 發出}曰令’使從供應嘴22a〜22c朝+ Y方向供應至前端透鏡 91與晶圓W1(或W2)之間之水量,與透過回收嘴24a、24b 回收之水量相等》因此’在前端透鏡91與晶圓W1 (或W2) 33 200944963 之間,保持一定量之水Lq(參照圖1)。在此情形,保持於前 端透鏡91與晶圓W1(或W2)之間之水Lq持續替換。 如此,在本實施形態,因隔著曝光區域IAS γ軸方向 側與另側’为別設置彼此成組之供應嘴群組與回收嘴 群組,故即使要將晶圓朝+Y方向或_γ方向之任一方移動 時,在晶圓W1 (或W2)與前端透鏡91之間使水穩定地持續 填滿。即,即使係正掃描及負掃描之任一情形,亦能在前 端透鏡91與晶圓之間穩定地保持水。 又,因水會流動於晶圓W1(或W2)上,故即使在晶圓 ❹ W1 (或W2)上附著異物(包含來自光阻之飛散粒子)之情形, 能將該異物用水沖洗。又,因供應藉由液體供應裝置5已 調整為既定溫度之水,且此水係持續替換,故即使在曝光 時照明用光IL照射於晶圓Wi(或W2)上,在晶圓與流動於 該晶圓上之水之間進行熱交換,能防止晶圓表面之溫度上 升。又,在本實施形態,因水沿與移動晶圓之方向相同方 向流動,故不會使已吸收異物或熱之液體滞留於前端透鏡 正下方之曝光區域而能將其回收。 ◎ 又’若要朝圖4中實線箭頭B所示之方向(+χ方向)移 動晶圓W1 (或W2)時,液體供應裝置5之控制器,依照主 控制裝置20之指示,以既定開度打開連接於供應管27之 閥’使其他閥為全閉’透過設置於供應管27之供應嘴27a 朝+X方向將水供應至前端透鏡91與晶圓W1(或W2)之間。 又,此時’液體回收裝置6之控制器,依照主控制裝置2〇 之指示’以既定開度打開連接於回收管29之閥,使其他閥 34 200944963 為全閉’透過回收嘴29a、29b將水從前端透鏡91與晶圓 W1 (或W2)之間回收至液體回收裝置6之内部。此時,主控 制裝置20,對液體供應裝置5、液體回收裝置6發出指令, 使從供應嘴27a供應至前端透鏡91與晶圓W1 (或W2)之間 之水量,與透過回收嘴29a、29b回收之水量相等。因此, 在前端透鏡91與晶圓wi(或W2)之間,保持一定量之水 Lq(參照圖1)。在此情形,保持於前端透鏡91與晶圓W1(或 W2)之間的水Lq持續替換。 ❹ 又’若要朝圖4中虛線箭頭B,所示之方向(·χ方向)移 動晶圓W1 (或W2)時,液體供應裝置5之控制器,依照主 控制裝置20之指示,以既定開度打開連接於供應管28之 閥,使其他閥為全閉,透過設置於供應管28之供應嘴28a 朝-X方向將水供應至前端透鏡91與晶圓W1 (或W2)之間。 又’此時,液體回收裝置6之控制器,依照主控制裝置2〇 之指示’以既定開度打開連接於回收管3〇之閥,使其他間 為全閉,透過回收嘴30a、30b將水從前端透鏡91與晶圓 W1 (或W2)之間回收至液體回收裝置6之内部。此時主控 制裝置20,對液體供應裝置5、液鱧回收裝置6發出指令, 使從供應嘴28a供應至前端透鏡91與晶圓W1(或w^:間 之水量,與透過回收嘴30a、3〇9b回收之水量相等。因此, 在前端透鏡91與晶圓W1(或W2)之間,保持一定量之水 Lq(參照圖υ。在此情形,保持於前端透鏡91與晶圓% W2)之間之水Lq持續替換。 一 藉此,與使晶® W1(或W2)朝Y軸方向移動之情形同 35 200944963 樣,即使要將晶圓朝+x方向 „ ^ , 门虱x方向之任一方移動時,將 水穩疋地填滿於晶圓與前 射間步進時,即使其步進方二[因此’在所謂照 并純“ 進方向係任何方向,亦能在晶圓與 前端透鏡91之間穩定地持續保持水。 ,又’以上’雖對在晶圓與前端透鏡Μ之間將水保持之 情形說明’但如前述’因晶圓表面與晶圓保持具H1、H2 之表面成為大致同一面,故即使晶圓保持具hi( 〇 對應投影單元p正下方之曝光區域IA之位置之情形,與上 述同樣,水則保持於前端透鏡91與晶圓保持具m(或H2), :即與前述之辅助板之間…步進時,若在晶圓與前端 透鏡91之間能保持水之情形,亦可停止水之供應與回收。 又’除了從X轴方向或丫軸方向進行水之供應及回收 之嘴外,例如亦可設置㈣從斜方向進行水之供應及回收 之嘴。 ❹ 又,亦可與晶圓之移動方向無關,從供應嘴2U〜21c' 22a〜22c、27a、28a持續供應液體Μ,從回收嘴23a、23b、 24a、24b、29a、29b、30a、3〇b 持續回收液體 Lq。 又,液雜供排系統不限於上述圖4之形態只要能在 投影光學系統PL之像面側形成液浸區域’能適用各種形態。 本實施形態100’進一步在用以保持投影單元叩之未 圖示之保持構件’設置斜射入方式之多點焦點位置檢測系 統’係由照射系統9〇a(在圖1未圖示’參照圖5)及受光系 統90b(在圖1未園示,參昭圖y堪士、 歹…圖5)構成,與例如日本特開平 6-28则號公報及對應於此之美國專㈣5 448 332等所揭 36 200944963 4. 示者同樣。照射系統90a ’具有以囷5之主控制裝置2〇控 制開關之光源’朝投影光學系統PL之結像面射出用以形成 多數個針孔或狹縫之像之光束。此所射出之光束,透過設 置於投影單元PU之鏡筒之未圖示之稜鏡(照射系統9〇a内 之光學系統之一部分)對光轴AX從斜方向照射於晶圓表 面。另一方面,在晶圓表面被反射之此等光束之反射光束, 以設置於投影單元PU之鏡筒之未圏示之另外之稜鏡(受光 系統90b内之光學系統之一部分)反射,藉由受光系統9〇b © 内之受光元件受光。 此焦點位置檢測系統(90a、90b)之受光系統9〇b之輸出 之焦點偏移信號(散焦信號)’係供應至主控制裝置2〇。主 控制裝置20,在後述之掃描曝光時等,算出來自受光系統 90b之焦點偏移信號(散焦信號),例如根據s曲線信號算出 晶圓表面之Z位置及0X、6>y旋轉,使所算出之晶圓表面 之Z位置及0X、0y旋轉對此等之目標值之差變成零即 要使焦點偏移為零,藉由透過晶圓載台驅動部丨24控制晶 ° 圓載台WST1、WST2之Z轴方向之移動,及2維方向之傾 斜(即,θχ、0y方向之旋轉),在照明用光比之照射區域 (與前述之照射區域共同之區域)内執行使投影光學系統pL 之結像面與晶圓之表面實質上一致之自動對焦(aut〇 f〇cus) 及自動調平(auto levelling)。又,在本案所指定之指定國(或 所選擇之選擇國)之國内法令所允許之範圍,援用上述日本 特開平6-283403號公報及對應之美國專利之揭示,作為本 說明書之記載之一部分。 37 200944963 又’焦點位置檢測系統’亦可透過液體檢測晶圓表面 之位置資料,亦可不透過液體檢測。又,焦點位置檢測系 統’不限於在投影光學系統PL之像面側檢測晶圓表面之位 置資料,亦可從投影光學系統PL離開處檢測晶圓表面之位 置資料。 在圖5,表示本實施形態之曝光裝置ι〇〇之控制系統之 主要構成。此控制系統,以將裝置全艎综合控制之微電腦(或 工作站)所構成之主控制裝置20為中心來構成。 其次’說明本實施形態之曝光裝置1〇〇曝光時之各部 0 之動作。在此’如圖2所示,說明在晶圓載台WST1側進 行曝光之情形。 此曝光動作之開始時’在主控制裝置2〇,根據事前所 進行之例如增強型總對準(EGA,Enhanced Global Alignment)等之晶圓對準之結果等,邊監視干涉計a、μ 之測量值’邊控制X轴線性馬達84、85及Y轴線性馬達 81,而將晶圓載台WST1移動至用以晶圓wi之第1照射區 域之曝光用掃描開始位置(加速開始位置)。在此曝光次序,〇 係在第1曝光座標系統上進行晶圓載台WST1之位置管理。 其次,在主控制裝置20,開始相對於標線片R(標線片 載台RST)與晶圓W1 (晶圓載台WST1)之Y轴方向之相對掃 描。此相對掃描時,主控制裝置2〇,邊監視前述之干涉計 18、46及標線片干涉計116之測量值,邊控制標線片載台 驅動部11並且Y轴線性馬達81 (及X轴線性馬達84、85)。 接著’當兩載台RST、WST1加速至各目標掃描速度 38 200944963 <· 時’在主控制裝置20’對未圖示之光源(ΑΓρ準分子雷射裝 置)發出指令,開始脈衝發光。然後,當兩載台Rst、WST1 達到等速同步狀態時,藉由來自照明系統之照明用光 IL(紫外脈衝光)使標線片r之圖案區域開始照明,開始掃描 曝光。雖在此掃描曝光開始之前,如上述,光源之脈衝發 光已開始’但藉由主控制裝置20,照明系統内之可動標 線片遮簾(未圖示)之既定葉片同步於標線片載台RST而移 動,藉此防止在掃描曝光之開始前對晶圓W1進行不必要之 © 曝光。 然後,以照明用光IL依次照明標線片r之圖案區域, 藉由完成對圖案區域全面之照明,結束晶圓W1上之第工 照射區域之掃描曝光。藉此,標線片R之圖案透過投影光 學系統PL縮小轉印於晶圓w 1上之第1照射區域。 在此情形,掃描曝光結束後,亦藉由主控制裝置2〇, 使照明系統10内之可動標線片遮簾(未圖示)同步於標線片 载台RST而移動,藉此防止晶圓W1之不必要之曝光。 ® 如上述’結束第1照射區域之掃描曝光後,藉由主控 制裝置20’透過X轴線性馬達84、85及γ轴線性馬達81 使晶圓載台WST1沿X、Y方向步進移動,移動至用以第2 照射區域之曝光之加速開始位置(掃描開始位置)。此照射間 步進時,主控制裝置20,依干涉計18、46之測量值將晶圓 载台WSTi之X、γ、方向之位置位移即時(reai ume) 檢測。並且,根據此測量結果,主控制裝置2〇,控制晶圓 载台WST1之位置’使晶圓載台WST1之χγ位置位移成為 39 200944963 既定狀態》又,主控制裝置2G,根據晶圓載台咖之“ HZ’控制標線片載台RST(標線片微動載台)及晶圓 σ 之至少一旋轉,使其晶圓側之旋轉位移補償。 接著,於照射間步進結束後,藉由主控制裳置20,與 上述同樣’控制各部之動作,對晶圓W1上之第2照射區域 進行與上述同樣之掃描曝光。 如上述’反覆進行晶圓W1上之照射區域之掃描曝光與 供下次照射曝光之照射間步進動作,使標線片&之圖案依 序轉印於晶圓Wljl之曝光對象之照射區域全部。 又,上述之對晶圓W1之步進掃描方式之曝光動作中, 按照晶圓W1之移動方向之變化,藉由主控制裝置20,如 前述,進行液體供排系統32之㈣供應裝置5及㈣㈣ 裝置6之各閥之開關控制則係理所當然。因此,上述之對 晶圓%丨之步進掃描方式之曝光動作中,在前端透鏡”與 晶圓*丨之間維持持續將一定量之水穩定地保持之狀態。、 其次,對使用2個晶圓載台WST1、WST2之並行處理 動作,參照圖2及圖6〜圖9說明。又,以下之動作中藉 由主控制裝置20,按照位於投影單元pu正下方之第}區 域之晶圓載台之移動方向,如前述進行液體供排系統”之 液體供應裝置5及液體回收裝置6之各閥之開關控制,在 投影光學系統PL之前端透鏡91正下方持續填滿水。但是, 以下,為了要使說明容易瞭解,將關於液體供應裝置5及 液體回收裝置6之控制之說明省略。 在圖2表示:對晶圓載台WST1上之晶圓W1如前逃以 200944963 步進掃描方式進行曝光,輿光分,+ 兴此並仃,在晶圓载台WST2側, 在對準系統ALG2之下方之笛3Λ丨 <第3 &域進行對晶圓W2之晶圓 對準之狀態。 如上述, 對曰曰圓W1以步進掃描方式進行曝光期間在 晶圓載台WST2側,則進行如下所述之動作。 即,在上述之晶圓對準前,在左側裝載位置,未圖示 之晶圓搬送機構與晶圓載台WST2之間進行晶圓交換。在
此,所謂左側裝載位置,係指設定為基準標記板顧位於 對準系統ALG2之正下方之位置而言。在此情形在左側 震載位置’藉由對準系統ALG2檢測基準標記板ρΜ2上之 第2基準標記以前,藉由主控制裝置2〇執行γ軸干涉計 44 之重置(reset)。 上述第2基準標記之檢測時,主控制冑χ 2〇,使用對 準系統ALG2取進第2基準標記之影像,對其影像信號施 加既定之處理’藉由解析其處理後之信號來檢測以對準系 統ALG2之指標中心為基準之第2基準標記之位置。又, 主控制裝置20,根據其第2基準標記之位置之檢測結果與 其檢測時之干涉計16、44之測量結果,算出f 2對準座標 系統上之第2基準標記之位置座標。 其次,主控制裝置20,藉由邊在前述第2對準座標系 統上管理晶圓載台WST2之XY面内之位置,邊使用對準系 統aLG2來檢測附設於晶圓W2上之特定之複數個照射區域 (樣本照射區域)之對準標記(樣本標記)之位置資料(對對準 系統ALG2之檢測中心之位置資料),來求出第2對準座標 200944963 系統上之樣本標記之位置資料。接著,主控制裝置20,根 據其檢測結果與特定之照射區域之設計上之位置座標執 灯例如曰本特開昭61_22249號公報及對應於此之美國專利 第4’78G’617號等所揭不之統計運算,來算出晶圓w2上之 複數個照射區域之第2對準座標系統上之位置座標。即, 如上述進行EGA(增強型總對準)。並且,主控制裝置20, 藉由從晶圓W2上之複數個照射區域之第2對準座標系統上 之位置座標將前述第2基準標記之位置座標減算,使複數 個照射區域之位置座標轉換成以第2基準標記之位置為原❹ 點之位置座標。又,在本案所指定之指定國(或所選擇之選 擇國)之國内法令所允許之範圍,援用上述公報及對應美國 專利之揭示,作為本說明書之記載之一部分。 上述在2個晶圓載台WST1、WST2上並行而進行之曝 光次序與晶圓交換/對準次序,通常,係晶圓交換/對準次序 最先結束。因此,已結束對準之晶圓載台WST2,則在既定 之待機位置呈等待狀態。 並且,在晶圓載台WST1側,在對晶圓W1之曝光結束 0 之時點,主控制裝置2〇,將晶圓載台WST1、WST2朝圖6 所示之既定位置分別開始移動。 並且,將晶圓載台WST1、WST2移動至圖6所示之位 置後,主控制裝置20,則開始使晶圓載台WST1與晶圓載 台WST2同時朝+χ方向驅動之動作。又,在圖6之狀態, 晶圓載台WST1與晶圓載台WST2係透過設置於晶圓載台 WST1之彈性密封構件93接觸。 42 200944963 如上述,藉由主控制裝置2〇,使晶圓載台WST1、WST2 同時移動,在圖6之狀態,保持於投影單元pu之前端透鏡 91與晶圓W1之間之水,則伴隨晶圓載台WST1、WST2朝 +X侧移動,在晶圓W1—晶圊載台WST1 (更具體而言係晶 圓保持具H1)—晶圓載台WST2(更具體而言係晶圓保持具 H2)上依序移動。又,上述移動期間,晶圓載台ws(n、wst2 則與圖6之狀態同樣透過彈性密封構件93保持彼此接觸之 位置關係。在圖7,表示:在上述移動之中途,水同時存在 〇 於晶圓載台WST1、WST2(晶圓保持具H1、H2)時之狀態, 即從晶圓載台WST1上待將水交給晶圓載台WST2上之前 之狀態。 從圖7之狀態,當進一步使晶圓載台WST1、WST2朝 + X方向同時驅動既定距離,則如圖8所示,形成在晶圓載 台WST2上之包含基準標記板FM2之區域與前端透鏡91 之間保持水之狀態。先行於此,主控制裝置20,在使來自 Y轴干涉計46之干涉計光束能照射於移動鏡η7γ之任一 時點,執行Υ軸干涉計46之重置。 接著,主控制裝置20,朝圖9所示之右側裝載位置開 始晶圓載台WST1之驅動。此右側裝載位置,設定為基準 標記板FM1位在對準系統ALG1之正下方之位置。 與朝上述右侧裝載位置之晶圓載台WST1之移動開始 並行,主控制裝置20,藉由一對標線片對準系統RAa、 RAb(參照圖1}使用照明用光IL進行基準標記板fm2上之 一對第1基準標記與對應於其之標線片r上之標線片對準 43 200944963 ,基準標記板 標記之像之檢 標記之晶圓上投影像之相對位置檢測。此時 FM2上之一對第丨基準標記與標線片對準 測’係透過投影光學系統PL及水來進行。 並且,主控制裝置20,根據此所檢測之相對位置資料, 與對預先所求之第2基準標記之晶圓W2上之各照射區域之 位置資料,及既知之第!基準標記與第2基準標記之位置
關係’算出標線片R之圓案之投影位置(投影光學系統pL 之投影令心)與晶gj W2上之各照射區域之相對位置關係。
並且,根據其算出結果’主控制裝置2〇,與前述之晶圓界】 之情形同樣,在第2曝光座標系統上邊管理晶圓載台WST2 之位置邊以步進掃描方式將標線片R之圖案轉印於晶圓 W2上之各照射區域。 與上述明圓載台WST2側之動作並行,晶圓載台Ws τ 1 側,在右側裝載位置,與未圖示之晶圓搬送系統之間進行 晶圓交換,與晶圓交換同時或在其後,藉由主控制裝置20 使用對準系統ALG1進行基準標記板FM1上之第2基準標 記之檢測。主控制裝置2〇,先在此第2基準標記之檢測以 〇 前執行Y軸干涉計48之重置。其後,主控制裝置2〇,邊 在第1對準時座標系統上管理晶圓載台WST1,邊對晶圓 W2進行使用對準系統AL(h之ega。 以後’藉由主控制裝置2〇,反覆進行與上述晶圓載台 WST1、WST2之並行動作。 使用晶圓載台WST1與晶圓載台WST2之並行處理 時’在對一晶圓載台上之晶圓之曝光結束’至對另一晶圓 44 200944963 載台上之晶圓之曝光要開始期間,雖會進行從一晶圓载台 在投影單元PU正下方之狀態(即,在一晶圓載台上水附著 之狀態),遷移至另一晶圓載台在投影單元PU正下方之狀 態(即,在另一晶圓載台上水附著之狀態),但此時,如前述, 維持晶圓載台WSTH、WST2於X軸方向透過彈性密封構件 93呈接觸狀態(圖1〇之狀態)。因此,如圖7所示,即使在 晶圓載台WST1、WST2彼此間使水跨越(液浸區域)之狀 態’藉由彈性密封構件93能確實防止水(液體)透過晶圓載 ® 台WST1、WST2彼此之間隙向載台下方洩漏。 又,在晶圓載台WST1與晶圓載台WST2之移動途中, 雖會存在來自干涉計46、48任一干涉計光束不照射於晶圓 載台WST1之移動鏡17Y之狀態(移動期間,移動區間),又, 存在來自干涉計46、44任一干涉計光束亦不照射於晶圓載 台WST2之移動鏡U7Y之狀態(移動期間,移動區間),但 在本實施形態,此情形之兩晶面載台wsn、WST2之位置, ❾ 係藉由未圖示之線性編碼機(linear encorder)來管理。又, 使用線性編碼機來管理晶圓載台之位置時,在來自任一 Y 轴干涉計之干涉計光朿會照射於移動鏡17γ或η7γ之時 點’藉由主控制裝置20執行Υ轴干涉計之重置。 如從以上說明可知,在本實施形態,藉由晶圓載台驅 動部124構成載台驅動系統之至少一部分。又,藉由此載 台驅動系統,與晶圓載台WST1、WST2構成載台裝置之至 少一部分β 如以上詳細說明,依本實施形態之曝光裝置1〇〇及該 45 200944963 曝光裝置所具備之載台裝置’並且在該曝光裝置丨〇〇所執 行之晶圓載台WST1、WST2之驅動方法,從一晶圓載台 WST1(或WST2)位於第1區域(包含有液體(水)供應之投影 單元PU(投影光學系統pl)正下方之位置)之第1狀態,遷 移至另一晶圓載台WST2 (或WST1)位於第1區域之第2狀 態時,藉由載台驅動系統(124等),晶圓載台WST1、WST2 維持於X轴方向透過彈性密封構件93呈接觸狀態,晶圓載 台WST1、WST2朝X軸方向同時驅動。 因此’在投影光學系統PL (投影單元pu)與位於其正下 0 方之特定之晶圓載台(此圓載台’伴隨移動從一晶圓載台切 換為另一晶圓載台)之間供應著水之狀態,水不會從兩晶圓 載台之間隙洩漏,能使從一晶圓載台WST1 (或WST2)位於 第1區域之第1狀態,遷移至另一晶圓載台WST2 (或WST1) 位於第1區域之第2狀態。即,在一晶圓载台側透過投影 光學系統PL與水(液體)進行晶圓之曝光動作後,至在另一 晶圓載台側透過投影光學系統P L與水(液體)開始晶圓之曝 光動作前為止期間,能從一晶圓載台與投影光學系統pL之 〇 間使水保持之狀態,遷移至另一晶圓載台與投影光學系統 PL之間使水保持之狀態,而不需要經過水之全回收、再度 供應等步驟。 因此,能將從一晶圓載台側之曝光動作結束至另一晶 圓載台側之曝光動作開始為止之時間縮短(即,維持為與非 液浸曝光之通常之曝光裝置(非液浸曝光裝置)相同程度), 能獲得產能之提高。又,因在投影光學系統PL之像面側持 46 200944963 續存在水’故能有效地防止在投影光學系統PL之像面側之 光學構件(例如前端透鏡91及前述之多點焦點位置檢測系 統之棱鏡等)產生水紋(水痕,water mark),能長期良好地維 持投影光學系統PL之結像性能及多點焦點位置檢測系統之 檢測精度。 又,藉由在前述2個晶圓載台WST1、WST2之並行處 理動作,比起習知之具備單晶圓載台之曝光裝置(使用1個 晶圓載台,將晶圓交換、晶圓對準及曝光動作,依序進行), © 能獲得產能之提高。 又’因藉由液浸曝光’進行高解像度且比空氣中大焦 點深度之曝光,故能將標線片R之圖案精度良好地轉印於 晶圓上’例如當作元件規格(device ruie)能實現7〇〜i〇〇nm 程度之微細圖案之轉印。 又,在本實施形態,藉由晶圓載台WST1與晶圓載台 WST2係透過彈性密封構件93接觸,除的能防止自兩晶圓 載台之間隙之漏水外,亦能減低晶圓載台WST1與晶圓載 ® 台WST2接觸時之衝擊。 再者’在本實施形態,因在晶圓載台WST1之-X側面 及晶圓載台WST2之+X側面未設置干涉計用之移動鏡,故 .即使兩晶圓載台係於X軸方向呈近接狀態,因兩晶圓載台 上之移動鏡之反射面彼此不會近接而相面對,故兩晶圓載 台沿X軸方向同時驅動之期間,不僅能藉由干涉計系統i 18 監視兩晶圓載台之位置,亦能防止在移動鏡之反射面附著 水0 47 200944963 《第2實施形態》 其次’依圖11〜圖15(B)說明本發明之第2實施形態。 在此,對與前述第1實施形態同一或同等之部分,使用同 一之符號,並且將其說明簡化或省略。在此第2實施形態 之曝光裝置,晶圓載台裝置之構成等,及使用2個晶圓載 台之並行處理動作則與第1實施形態不同。又,標記檢測 系統僅设置1個’亦與前述第1實施形態不同。其他部分 之構成等’則與前述第1實施形態相同。因此,以下,僅 以相異處為中心來說明,以免重複說明。 ◎ 圖11,係表示本第2實施形態之曝光裝置之控制系統 之構成。若將此圖11與圖5作比較,則得知在本第2實施 形態’替代刖述第1實施形態之晶圓載台驅動部124,設置 晶圓載台驅動部124A,此一點係與前述之第i實施形態不 同。 在本第2實施形態,替代前述之晶圓載台裝置5〇,設 置圖12所示之晶圓載台裝置50’。此晶圓載台裝置5〇,,如 圖12所示,具備:基盤12;晶圓載台WST1,,係配置於該 〇 基盤12上面之上方(在圖12之紙面前側)之第丨載台,及晶 圓載台WST2,,係第2載台;6個干涉計151Χι、151χ2、 151Χ3、151Χ4、151Υ, ' 151Υ2,係用來測量此等晶圓載台 WST1’、WST2’之位置之位置測量系統;第i驅動部171、 第2驅動部172,用以將晶圓載台WST1,、WST2,個別地驅 動,俯視(從上方觀察)呈大致Η字形;第!連接機構195 及第2連接機構196(在圖12未圖示,參照圖Η)。 48 200944963 在此’藉由上述6個干涉計ι51Χι、ι51χ2、151χ3、 151X4 151丫丨、151Υ2 ’構成圏u之千涉計系統ι18α ;包 3第1驅動部171、第2驅動部172、第liEi接機構195及 第2連接機構196,來構成W 11之晶圓載台驅動部124A。 前述第1驅動部171,具備:χ轴線性馬達136χ,係 用以使晶圓載台WST1,(或WST2,)朝χ軸方向媒動之線性 致動器(linearactuator);及1 Y轴線性馬達ΐ36γι、 13 6Υ2 ’用以使晶圓載台WST1’(或wst2,)與X軸線性馬達 © 136X 一體地朝掃描方向之Y轴方向驅動。 刖述X軸線性馬達136X,具備:χ軸線性導件181, 係將X轴方向當作長邊方向之固定件;及X可動件179, 沿該X轴線性導件181且朝χ軸方向移動。 X軸線性導件181,由朝χ軸方向延伸之框體,及具有 在其内部以既定間隔沿χ軸方向配設之複數個電樞線圈之 電樞單元構成。在此χ轴線性導件181之長邊方向(χ袖方 q 向)之一端部,固定一 Υ軸線性馬達136Υ!之可動件(Υ可動 件)184在另一端部固定另一 Υ軸線性馬達136 Υ2之可動 件(Υ可動件)185。 剛述X可動件179,例如’具有筒狀(形成將χ軸線性 導件181從周圍包圍)之形狀,在其内部設置截面逆。 字形之可動件輕。在此可動件輛,沿其長邊方向交替配置 ^數個Ν極永久磁鐵與複數個s極永久磁鐵。因此,在χ 可動件1 79之内部空間,沿χ轴方向形成交流磁場。 在此情形,藉由χ可動件179,與χ軸線性導件181 49 200944963 之間之電磁相互作用,使其產生使x可動件179朝χ軸方 向驅動之驅動力(洛倫茲仏❶代加勾力)。即,χ軸線性馬達 136Χ,係動磁型電動力驅動方式之線性馬達。 在X可動件179之-Υ側面,設置第!連接機構195(在 圖12未圖示,參照囷η),用以將晶圓載台wsti,(或 連接。此第1連接機構195,例如能使用利用電磁之磁吸引 力者,或將晶圓載台WST1’(或WST2’)機械式地卡合之機 構等。主控制裝置20,控制此第至連接機構195,使晶圓 載台wst「(或WST2,)連接於χ可動件179,或使其解除其◎ 連接。又,在連接狀態,晶圓載台WST1,(或WST2,)藉由χ 可動件179成為單邊支撐之狀態。在圖12,表示χ可動件 179將晶圓載台WST1’單邊支撐之狀態。 一 Y軸線性馬達136Y,,具備:γ軸線性導件188,係 朝Y軸方向延設之固定件;及γ可動件丨84,沿該γ轴線 性導件188移動。前述γ轴線性導件188,使用與前述χ 軸線性導件181同樣構成之電樞單元。又,γ可動件ΐ84, 雖係ΧΖ截面逆U字形之形狀,但使用與前述之χ可動件 〇 同樣構成之磁極單元。即,γ軸線性馬達136Υι,係動磁型 電動力驅動方式之線性馬達。 另一 Υ軸線性馬達136Υ2,具備:γ轴線性導件189, 係朝Υ軸方向延設之固定件;及γ可動件185 ’沿該¥軸 線性導件189移動。此γ轴線性馬達136Υ2,係與γ軸線 性馬達136丫1同樣構成之動磁型電動力驅動方式之線性馬 達。 … 50 200944963 又,如前述,藉由將X軸線性導件181之兩端部分別 固定於Y可動件184、185,若Y軸線性馬達136Υι、136γ2 產生Υ軸方向之驅動力,則與X軸線性馬達136Χ —起使 晶圓载台WST1,(或WST2,)驅動於Υ軸方向。在此情形, 藉由使Y軸線性馬達136Υι、136Υζ所產生之驅動力不同, 透過X轴線性馬達136Χ能控制晶圓載台WST1,(或臀饤2,) 之Z軸周圍之旋轉。
前述第2驅動部172’配置於前述第i驅動部171之_γ 侧面,在圖12之紙面内形成大致對稱。此第2驅動部172, 係與上述第1驅動部171同樣構成。即,此第2驅動部172, 具備:X軸線性馬達138X,係由χ軸線性導件18〇及X可 動件178所構成之線性致動器;γ軸線性馬達ΐ38γι,由設 置於χ軸線性導件180之一端之γ可動件182及γ軸線= 導件186所構成;及Υ軸線性馬達138Υ2,由設置於χ軸 線性導件180之另一端之γ可動件183及γ抽線性導件m 所構成。 在X可動件178之+Υ側面,與X可動件179同樣設 置第2連接機構196 (在圖12未圖示,參照圖⑴,與前述 之第1連接機構同樣,用以將晶圓載台WSTl,(或) 連接。主控制裝置20’控制此第2連接機構i96,使曰圓 載台wST1’(或WST2,)連接於χ可動件178,或使其解:其 連接。又’在圖12’表示晶圓戴台WST1’連接於乂可動 件178成為單邊支樓之狀態。 與構成前述 前述晶圓載台WST1,’具備:載台本體 51 200944963 第1實施形態之晶圓載台WST1不同,未設置磁極單元部 分;及晶圓台,係與構成在該載台本鱧之上面透過未圖示 之Z·傾斜(tilt)驅動機構所設置之前述晶圓载台WST1同 樣。在此晶圓台之上面,設置+Y移動鏡47Y!、-Y移動鏡 47Y2、+X移動鏡47X於土Y側端部及+X側端部附近。 前述晶圓載台WST2’ ’構成為與上述晶圓載台WST1, 同樣。在構成此晶圓載台WST2,之晶圓台之上面,設置+γ 移動鏡49Y丨、-Y移動鏡49Y2、-X移動鏡49X於±丫側端部 及-X側端部附近。 ^ Ό 又’在本第2實施形態,亦在未將晶圓載台WST1,之 移動鏡配置於附近之側面(-X側面),及未將晶圓載台WST2, 之移動鏡配置於附近之側面(+X側面)之至少一方,設置與 圖10所示之彈性密封構件93同樣之彈性密封構件。 又,如圖12所示’在投影光學系統pl之-γ側隔既定 距離’設置標記檢測系統之對準系統ALG。 前述干涉計系統118A,如圖12所示,具有:2個Y抽 干涉計151Y!、151Y2 ’具有與將投影光學系統Pl之投影 ◎ 中心(光轴)與對準系統ALG之檢測中心連結之γ軸平行之 測長軸;2個X轴干涉計151Χι、151χ2,分別具有與在投 影光學系統PL之投影中心(光轴)與干涉計! 5丨Yl之測長軸 垂直交又之X轴平行之測長轴;及2個X軸干涉計151X3、 151Χ4’分別具有與在對準系統alg之檢測中心與干涉計 1 5 1 Y2之測長軸垂直交又之X軸平行之測長軸。 4個X軸干涉計151 Χι〜151Χ4,係相對於γ軸方向及 52 200944963 <4 Z軸方向離開且至少具有3支光軸之多轴干涉計,各光抽之 輸出值係能獨立測量。因此,在此等χ袖干涉叶151 Xrl51X4 ’除了晶圓載台WST1,或WST2’< χ轴方向之位 置測量以外,亦能測量Υ轴周圍之旋轉量(橫搖量)及ζ軸 周圍之旋轉量(偏搖量)。 上述2個Υ軸干涉計151Yl、151丫2,係相對於ζ軸方 向離開且具有各2支光軸之2軸干涉計,各光轴之輸出值 係能獨立測量。因此,在此等γ轴干涉計i 5 i i 5丨I, © 除了晶圓載台WST1’或WST2,之γ軸方向之位置測量以 外,亦能測量X轴周圍之旋轉量(俯仰量)。 在此情形,晶圓載台WSTΓ位於投影光學系統pL之光 轴正下方之位置之附近之區域(第1區域),要進行對其晶圓
載〇 WST1上之晶圓(在圖12晶圓W1)之曝光時,在以X 轴干涉計151 X!、γ轴干涉計151 Υι各測長軸所規定之第 1曝光座標系統上,進行晶圓載台WST1,之χγ平面内之位 置管理。 ❹ 又’晶圓載台WST2’位於投影光學系統PL之光轴正下 方之位置附近之區域(第1區域),要進行對其晶圓載台 WST29 l· ^ m 上之βΒ圓(在圖12晶圓W2)之曝光時,在以X轴干涉 4 151 X2、Y轴干涉計151 Y!各測長軸所規定之第2曝光 座標系統上’進行晶圓載台WST2’之ΧΥ平面内之位置管 理。 又’晶圓載台WST1,位於對準系統ALG正下方之位置 附近之區域, 尽(第2區域),要進行對其晶圓載台WST1,上之晶 53 200944963 圓(在圖12晶圓Wl)之對準(ega)等時,在以χ轴干涉計 3 Y軸干涉計151 各測長軸所規定之第1對準座 標系統上’進行晶圓載台WST1,之χγ平面内之位置管理。 再者’晶圓載台WST2,位於對準系統ALG正下方之位 置附近之區域(第2區域)’要進行對其晶圓載台術2,上之 晶圓(在圖12晶圓W2)之對準(EGA)㈣,在以X轴干涉計 151 X4、γ軸干涉計151 h各測長軸所規定之第2對準座 標系統上’進行晶圓載台WST2,<XY平面内之位置管理。 〇 其他之構成部分,係包含液體供排系統32在内構成為 與别述之第1實施形態同樣。 其次,依® 12〜圖15(B),說明本第2實施形態之曝光 裝置所進行之一連串之動作,包含對一晶圓載台上之晶圓 之曝光動作’及對另一晶圓載台上之晶圓之對準動作等之 並行處理動作。又,以下之動作中,藉由主控制裝置2〇, 按照位於投影光學系訊正下方之第1區域之晶圓載台之 移動方向’如前述,進行液體供㈣統32之液體供應裝置 〇 及液體回收裝置6之各閥之開閉控制,在投影光學系統 PL之前端透鏡91正下方持續填滿水。但是,以下,為了要 使說明容易瞭解,省略液體供應裝置5及液體回收裝置6 相關之說明。 又,在晶圓載台WST1,與晶圓載台WST2,之移動途 中,存在來自X軸干涉計或Y軸干涉計之干涉計光束,不 照射於移動鏡,致使要以干涉計進行晶圓載台之位置管理 成為困難之區間。此情形之晶圓載台位置,係藉由未圖示 54 200944963 理’如上述若使用線性 在來自所要之干涉計之 ’藉由主控制裝置20執 為了要防止說明之煩雜 載台之位置測量及干涉 之線性編碼機(linear encorder)來管 編碼機來管理晶圓載台之位置時, 干涉計光束會接觸於移動鏡之時點 行該干涉計之重置。但是,以下, 化’關於使用線性編碼機進行晶圓 計之重置,則省略其說明。 在圖12,表* ··對載置於晶圓載台wsti,上 ❹
.與前述第!實施形態同樣以步進掃描方式進行曝光圓 並行於此,在晶圓載台WST2,側,在對準系統彻之下方 之第2區域進行對晶圓W2之對準之狀熊。 又,上述對晶圓W1之曝光動作,主控制裝置20,在 前述第1曝光座標系統上邊管理晶圓載台wsti,之位置, 邊藉由使前述之X軸線性馬達136X、—SY轴線性馬達 136Yl、136Y2驅動控制’移動晶圓載台WST1,來進行。 在晶圓載台術1,側對晶®们以步進掃描方式執行 曝光期間’在晶圓載台WST2,側’執行如下之動作。 即’先於上述晶圓對準之前,在既定之裝載位置,在 未圖示之晶圓搬送機構與晶圓栽台㈣,之間進行晶圓交 甜圓父佚俠 V _甘則逃I弗;Z對準座;^ 系統上邊管理晶圓載台赠2,之χγ面内之位置 ^ 對準系統副執行包含檢測樣本標記(附設於晶圓^上之 特定之複數個樣本照射區域)之你 位置資料之前述EGA,來墓 出晶圓W2上之複數個照射區域 砜之第2對準座標系統上之位 55 200944963 座备又在圖12,表示樣本標記檢測時之狀態。又, 主控制裝i 2G,在檢測樣本標記之位置資料之前後檢測 形成於晶圓載台WST2,上之基準標記板fm2之第2基準標 »己之位置資料。並且’主控制裝i 2g ’將預先所求得之晶 圓W2上之複數個照射區域之第2對準座標系統上之位置座 標’轉換為以第2基準標記之位置為原點之位置座標。
又,上述晶圓對準時等之晶圓載台WST2,之移動,係 藉由主控制裝置20使前述之X軸線性馬達138X、一對γ 軸線性馬達138Y1、138Y2驅動控制來進行。 對上述晶圓載台WST2,上之晶圓W2之晶圓對準動 作,與對晶圓載台WST1’上之晶圓W1之曝光動作,通常, 係晶圓對準動作先結束1此,主控制裝i 2(),晶圓對準 之結束後,透過X軸線性馬達138χ、一對γ軸線性馬達 138Υ!、138Υ2將晶圓載台WST2’移動至圖13(Α)所示之既 定待機位置,在其位置等待。
其後,對晶圓載台WST1,上之晶圓W1之曝光動作結 束後,主控制裝置20,則透過X軸線性馬達136χ、一對γ 轴線性馬達136Υρ 136Υ2使晶圓載台WST1,移動至圖13(a) 所示之位置。又,對晶圓界丨之曝光結束位置,較佳者為設 定於此圖13(A)之位置附近。 將晶圓載台WST1,移動至圖13(A)所示之位置後,主控 制裝置20,透過X軸線性馬達η8χ及一對γ軸線性馬達 138丫丨、138丫2使晶圓載台WST2’移動至圖13(Β)所示之既 定待機位置。在晶圓載台WST2,移動至圊13(Β)之位置之狀 56 200944963 態’晶圓載台wST1’與晶圓載台WST2,則與前述第】實施 形態同樣透過彈性密封構件呈接觸狀態。 其次,主控制裝置20,控制X軸線性馬達136X、一對 Y轴線性馬達136Yl、136γ2,並且χ轴線性馬達ΐ38χ及 一對Υ轴線性馬達138Yl、138Υ2,使晶圓載台wsti,與晶 圓載台WST2’同時朝+X方向移動。在圖14(a),表示:如 上述兩晶圓載台WST1’、WST2,從圖13(B)之狀態同時朝+χ 方向移動’在包含晶圓載台WST2,上之基準標記板之 © 區域與前端透鏡9 1之間保持水之狀態。 在圖13(B)之狀態,保持於投影單元pu之前端透鏡91 與晶圓wi之間之水,則伴隨晶圓載台WST1,、wst2^ +χ 側移動,在晶圓wi—晶圓載台WST1,—晶圓載台WST2, 上依序移動。又,上述移動期間,晶圓載台WST1,、WST2, 則透過彈性密封構件93保持彼此接觸之位置關係。 其次’主控制裝置20,將用前述第1連接機構195之 x可動件179與晶圓載台WST1,之連接狀態,及用前述第2 ® 連接機構I96之X可動件178與晶圓載台WST2,之連接狀 態’一起解除後,將X可動件179朝+Y方向,將χ可動件 178向-Y方向稍微驅動。在圖14(b),表示此χ可動件179、 178之驅動後狀態。 又’在圖14(B)之狀態,晶圓載台WSTI,、WST2,,藉 由設置於各底面(-Z側之面)之未圖示之氣墊,浮起支撲於 基盤12上。但是,不限於此,亦可在晶圓載台WST1,、 WST2 ’側或基盤12側設置可伸縮之支持腳,在將晶圓載台 57 200944963 WSTl’、WST2’與χ可動件179、178之接觸解除直前藉 由支持腳使晶圓載台WST1’、WST2,穩定地支撐於基盤12 上方。 其次,主控制裝置20 ,透過Y軸線性馬達136Υι、 136Υ2、X軸線性馬達136χ驅動χ可動件ΐ79,移動至能 連接於晶圓載台WST2,之位置,並且,透過γ轴線性馬達 138Y!、138Y2、χ軸線性馬達138χ驅動χ可動件,移 動至能連接於晶圓載台WST1’之位置。此時,各X可動件 之位置,係藉由未圖示之編碼器來管理。 在圖15(A),表示:如上述,驅動χ可動件179,移動 至能連接於晶圓載台WST2,之位置,驅動χ可動件178, 移動至能連接於晶圓载自WST1,之位置之狀態。其後,主 控制裝置20,透過第1連接機構195將晶圓載台wst2,連 接於X可動件179,並且透過第2連接機構196將晶圓載 台術Γ連接於X可動件178。又,亦可不朝丫轴方向移 動,而進行X可動件178、179朝χ方向移動與晶圓載台 WST1’、WST2’之拆裝。 述在X可動件179連接於晶圓載台WST2,,在 X可動件178連接於晶圓載台WST1,後,主控制裝置2〇, 在前述第2曝光座標系統上邊管理晶圓載台wst2,之位 :::使用前述標線片對準系統RAa、RAb測量基準標記 2上之一對第1基準標記與標線片R上之一對標線 =準標記。並且’根據其測量結果與預先所進行之晶圓對 之結果,將晶圓栽台WST2,移動至用以曝光於晶圓Μ 58 200944963 上之第1次之照射區域之之加速開始位置。然後,主控制 ’在第2曝光座標系統上邊管理晶圓載台WST2,之 位置,邊透過X軸線性馬達Π6Χ及一對γ軸線性馬達 13 6 Υ | % 1 ^ < ν ν. ,使晶圓載台WST2,驅動控制,對晶圓W2 之步進掃插方式之曝光動作則與前述第1實施形態同樣來 進行》 另方面,主控制裝置20,透過Y軸線性馬達138YJ、 H 38Y2及Χ軸線性馬達138Χ,使晶圓載台WST1,向裝載 位置移動。此移動中之晶圓載纟WST1,之位置係在前述 之第1對準座標系統上管理。並且,在裝載位置,對晶圓 載台WST1’上之已曝光完之晶圓W1與下1個曝光對象之 晶圓進行交換後,主控制裝置20,與上述同樣對新晶圓進 行晶圓對準動作。 並且’在晶圓載台WST1,之晶圓對準結束,且晶圓載 台WST2’之曝光動作結束之階段,晶圓載台WST1,與晶圓 _ 載台WST2’則經過與上述之途徑完全相反之途徑,再度使 其回至圖12之狀態。 如此,本第2實施形態之曝光裝置,係將邊進行晶圓 載台WST1,、WST2,之轉換(switching),邊將對另一晶圓載 台上之晶圓之曝光動作,與另一晶圓載台上之晶圓交換及 晶圓對準動作,以同時並行處理進行。 從以上之說明得知’在本第2實施形態,藉由晶圓載 台驅動部124A及主控制裝置20構成載台驅動系統。又, 藉由此載台驅動系統,與晶圓載台WST1,、WST2,構成載 59 200944963 台裝置。又’藉由第1連接機構195、第2連接機構、Y軸 線性馬達136YC136Y4、X軸線性馬達136Χ、138Χ及控制 此等構件之主控制裝置20構成轉換裝置。 如以上詳細說明’依本第2實施形態之曝光裝置及該 曝光襄置所具備之載台裝置,並且該曝光裝置所執行之晶 圓載台WST1’、WST2,之驅動方法,若要從一晶圓載台 WST1’(或WST2’)位於有液體供應之投影光學系統pL正下 方之第1區域之第1狀態遷移至另一晶圓載台WST2,(或 WST1’)位於第1區域之第2狀態時,藉由載台驅動系統❽ (20 ’ 124A),晶圓載台WST1,、WST2’於X轴方向(前述第 1區域與對準系統ALG正下方之位置附近之第2區域排列 之Y軸方向交叉之方向)透過彈性密封構件93維持呈接觸 狀態,使晶圓載台WST1’、WST2,同時朝χ軸方向驅動。 因此,能將水(液體)以在投影光學系統PL與位於其正 下方之特定之晶圓載台(此晶圓載台,伴隨移動從一晶圓載 台轉換為另一晶圓載台)之間供應(保持)著之狀態,不使液 體從兩晶圓載台之間隙洩漏,而從一晶圓載台WST1,(或〇 WST2’)位於第丨區域之第1 i態遷移至另一晶 △ WST2,(或WST1,)位於第!區域之第2狀態。即在一晶= 載台側透過投影光學系統PL與水進行晶圓之曝光動作後, 至在另一晶圓載台側透過投影光學系統pL與水(液體)開始 晶圓之曝光動作為止期間’從一晶圓載台與投影光學系統 PL之間保持水之狀態,至另一晶圓載台與投影光學系統pL 之間保持水之狀態’不需要經過水之全回收,再供應等步 60 200944963 驟,尨使其遷移。因此,能縮短在一晶圓載台側之曝光動 作結束至在另一晶圓載台側之曝光動作開始為止之時間 (即,能維持於與非液浸曝光之通常之曝光裝置(非液浸曝光 裝置)相同程度)’而能獲得產能之提高。又,因在投影光學 系統PL之像面側水持續存在,藉由與前述第1實施形態同 樣之理由,旎長期使投影光學系統pL之結像性能及多點焦 點檢測系統之檢測精度良好維持。 又’藉由前述2個晶圓載台WST1,、WST2,之並行處 © 理動作’比起具備習知之單晶圓載台之曝光裝置(使用⑽ 晶圓載台,逐次執行晶圓交換,晶圓對準及曝光動作),能 獲得產能之提高。 又,在本第2實施形態之曝光裝置,藉由以液浸曝光, 進行高解像度且比空氣^大焦點深度之曝光,能使標線片r 之圖案精度良好地轉印於晶圓上。 又,在本第2實施形態,藉由與前述第〗實施形態同 樣之理由,除了能防止從兩晶圓載台之間隙漏水外,進一 © 步能減低晶圓載台wsti’與晶圓載台WST2,接觸時之衝 擊。 又,在本第2實施形態,與前述第i實施形態同樣, 因在晶圓載台wsTi’之-X側面及晶圓載台WST2,之+χ側 面未設置干涉計用之移動鏡,故即使相對於X轴方向兩晶 圓載台近接狀態,因兩晶圓載台上之移動鏡之反㈣= 不會近接而向面對,故能將兩晶圓載台之位置藉由干涉計 系統118Α在兩晶圓載台同時驅動於χ軸方向之期間中監 61 200944963 視。又,亦能防止水在移動鏡之反射鏡附著。 又,在本第2實施形態,雖在晶圓載台WSTp、 上分別配置3個移動鏡,將干涉計配置6 &,但移動鏡及 干涉計之配置並不限於上述第2實施形態之配置。例如, 亦可採用在兩晶圓載台分別配置2個移動鏡,使用此等2 個移動鏡能測量兩晶圓載台之位置之干涉計配置。 又’在本第2實施形態’保持於前端透鏡91下之水, 雖從一載台上移動至另一載台上後,進行χ可動件178、 179之替換,但亦可在水從一載台上移動至另一載台上前,❹ 進行X可動件178、179之替換。 《第3實施形態》 其次,依圖16〜圖18(B)說明本發明之第3實施形態。 在此’對與前述第1實施形態同一或同等之部分,使用同 一之符號’並且將其說明簡化或省略。在此第3實施形態 之曝光裝置,僅晶圓載台裝置之構成等,係與第1實施形 態不同’其他部分之構成等’則相同。因此,以下,為避 免重複說明僅以相異處為中心說明。 〇 本第3實施形態之晶圓載台50’,,如圖16所示,與前 述之構成第1實施形態之曝光裝置之晶圓載台裝置50不 同’具備:能載置晶圓之晶圓載台WST ;及測量專用之測 量載台MST。 此等晶圓載台WST及測量載台MST,對應前述第1實 施形態之晶圓載台WST1及晶圓載台WST2,藉由與第1實 施形態同樣之晶圓載台驅動部(80〜87)使其在2維面内驅 62 200944963 動0 又,在投影光學系統PL(投影單元pu之鏡筒)附近僅 =置1個對準系統ALG。又,投影單元叩與對準系統ALG,
際上,如圖16所示呈内丧狀態。即’在比投影單元PU 之下端部附近之其他部分形成小徑的部分之外侧(前端透鏡 之周圍部分)且投影單元PU之大徑部之下方部分,將對準 系統ALG之至少下端部定位。 ❹ 、在前述測量載台MST之上面,設置各種測量用構件。 此測量用構件,例如,包含:基準標記板,將日本特開平 5-2Π14號公報及對應於此之美國專利第^从⑼號等所 揭不之複數個基準標記形成;及感測器透過投影光學系 統PL將照明用光IL受光等。感測器例如,能採用:照 度監視器,具有既定面積之受光部,在日本特開平^^6816 號公報及對應於此之美國專利申請公開第期2/_ i彻號 說月書等所揭不之投影光學系統pL之像面上將照明用光 IL受光;照度不均感測器’具有針孔狀之受光部在日本 特開昭57-1 17238號公報及對應於此之美國專利第 4,465’368號等所揭示之投影光學系統pL之像面上將照明 用光IL受光;空間像測量器,測量圖案之空間像(投影幻 之光強度,藉由在日本特開編]侧號公報及對應於此 之美國專利申請公開第2〇〇2/〇〇41377號說明書等所揭示之 投影光學系統PL投影等。在本案所指定之指定國(或所選 擇之選擇國)之_法令所允許之_,制上述公報及對 應於此之美國專射請公開說明書或美國專利之揭示,作 63 200944963 為本說明書之記載之-部分。又,載置於晶圓載台wst上 之測量用構件’不限於在此所列舉者,視必要能載置各種 測量用構件。 又,在本實施形態,對應進行液浸曝光(透過投影光學 系統PL與水藉由曝光用光(照明用光)il來曝光晶圓),在 使用於用照明用光IL之測量之上述照度監視器、照度不均 感測器、空間像測量器,透過投影光學系統pL與水將照明 用光IL冑光。X,各感測器,例如亦可僅將光學系統等之 口P刀載置於測量載台MST,亦可將感測器全體配置於測 量載台MST。 Θ 又’在晶圓載台WST,可載置測量用構件,亦可不載 置。 又,在本第3實施形態,與前述第i實施形態同樣, 在晶圓載台WST之-X側面與測量載台MST之+χ側面之至 ν方,汉置與圊10之彈性密封構件93同樣之彈性密封 構件。 以下,對使用本第3實施形態所具備之晶圓載台WST 〇 與測量載台MST之並行處理動作,依圖16〜圈i8(B)加以說 明。又,在本第3實施形態之曝光裝置,亦設置與第i實 施形態同樣之干涉计系·统,使晶圓載台wst與測量載台 MST之位置’與第1實施形態同樣加以管理。以下之說明, 為了要避免重複說明’省略關於干涉計系統之兩載台位置 管理之記載。又,以下之動作中,藉由主控制裝置20,按 照位於投料元PU正下方動方向, 64 200944963 如刖述進行液體供排系統32 ^ ^办 之液體供應裝置5及液體 裝置6之各閥之開閉控制,在 ^ ηι 投影光學系統PL·之前端透鏡 91正下方持續填滿水。但是’ 姑 L 乂下’為了要使說明容易瞭 解,省略關於液體供應裝置5及 、 夂及體回收装置6之控制之 〇 在圖16,表示與第1眘 實施形態同樣進行對晶圓載台 WST上之晶圓w之步進播描古斗β , 岡 7進镡插方式之曝光的狀態。此時,測 量載台MST,在既定之待换 ❹
疋之侍機位置(不會與晶圓載台WST 突)等待。 並且’在晶圓載台WST侧,例如i批(1批係25片或 5〇片)晶圓W之曝光結束之階段,主控制裝置2〇,使測量 載〇 MST移動至圖17(A)所示之位置。在此圖17(A)之狀 I、,測量載台MST與晶圓載台WST,係透過前述彈性密封 構件接觸。 其次’主控制裝置20,邊保持測量載台MST晶圓載台 WST與測量載台MST之χ軸方向之位置關係,邊開始將兩 載台WST、MST同時朝十X方向驅動之動作。 如上述,藉由主控制裝置20,使晶圓載台WST、測量 載台MST同時驅動後,在圖17(A)之狀態,保持於投影單 元pU之前端透鏡91與晶圓w之間之水,則伴隨晶圓載台 WST、測量載台MST朝+X側移動,在晶圓W—晶圓載台 WST〜測量栽台MST上依序移動。又,上述移動期間,晶 圓载台WST、測量載台MST則與圖17(A)之狀態同樣透過 彈性密封構件保持彼此接觸之位置關係。在圖17(B),表 65 200944963 不:在上述移動途中,水(液浸區域)同時跨越於晶圓載台 WST測量載台MST而存在時之狀態即從晶圓載台WST 上將水待供應於測量載台MST上之前之狀態。 從圖17(B)之狀態,進一步使晶圓載台WST、測量載台 MST朝+X方向同時躁動既定距離’則如圖18(A)所示,形 成測量載台MST與前端透鏡91之間保持水之狀態。 其次,主控制裝置20 ’使晶圓載台WST移動至既定之 晶圓交換位置並且進行晶圓之交換,與此並行,按照需要 執行使用測量載台MST之既定之測^此測量,例如在標〇 線片載台RST上之標線片交換後進行。對準系統ALG之基 線測量,可作為一例。具體而言,主控制裝置2〇,使用前 述之標線片對準系統RAa、RAb同時檢測與基準標記板FM 上(設置於測量載台MST上)之一對第丨基準標記對應之標 線片上之標線片對準標記,來檢測對應一對第丨基準標記 之標線片對準標記之位置關係。與此同時,主控制袭置2 〇, 藉由以對準系統ALG檢測上述基準標記板fm上之第2基 準標記,來檢測對準系統ALG之檢測中心與第2基準標記 〇 之位置關係。並且,主控制裝置20,根據對應上述一對第 1基準標記之標線片對準標記之位置關係及對準系統ALG 之檢測中心與第2基準標記之位置關係’以及既知之一對 第1基準標記與第2基準標記之位置關係,求得投影光學 系統PL·之標線片圖案之投影中心(投影位置)與對準系統 ALG之檢測中心(檢測位置)之距離。又,將此時之狀態, 表示於圖18(B)。 66 200944963 又測量上述對準系統ALG之基線,並且在標線片上 將標線片董子準標記複數對形成,對應於此在基準標記板FM 上形成複數對第1基準標記,將至少2對之第1基準標記 與所對應之標線片對準標記之相對位置,藉由邊使標線片 載口 RST、測量載台MST移動,邊使用標線片對準系統 RAa、RAb測量,進行標線片對準。 ❹ ❹ 在此情形,使用標線片對準系統RAa、RAb之標記之 檢測,係透過投影光學系統PL及水來進行。 接著,在上述兩載台WST、MST上之作業結束之階段, 主控制裝i 20 ’例如將測量載台MST與晶圓載台wst, 維持著透過彈性密封構件使其呈接觸狀態,在XY面内驅 動’與前述同樣對交換後之晶圓w進行晶圓對準,即使用 對準系統ALG進行交換後之晶圓|上之對準標記之檢測, 而算出晶圓W上之複數個照射區域之位置座標。 △其後,主控制裝置20’與前述者相反,邊保持晶圓載 。WST與測量載台贿之位置關係,邊使兩載台術、 順朝-X方向同時驅動,使晶圓載台WST(晶圓w)移動至 投影光學系統PL之下方後,即液浸區域從測量栽么膽 移動至晶圓載台WST(晶圓w)上後,使測量載° 至既定位置。 避 其後,主控制裝置20,對晶圓w執行步 曝光動作,將標線片圖案依序轉印於 田式之 射區域。又,為了晶圓w上之各照射區域之=複= 台術移動至加速開始位置’係根據上述晶圓對準:結^ 67 200944963 所得之晶圓w上之複數個照射區域之位置座標與之前所 測量之基線來進行。 ,又’在上述說明’測量動作,雖對要進行基線測量之 情形說明’但不限於此,亦可使用測量載纟mst,將照度 測量、照度不均測量、空間像測量等,例如與晶圓交換一 起進灯,使用其測量結果’反應於其後要進行之晶圓W之 曝光。又,載置於測量載台MST之感測器,不限於上述者, 亦了設置例如進行波面測量之感測器。 又,在上述第3實施形態,雖說明對i批之晶圓w之❹ 曝光結束時,使晶圓載# WST與測量載台聽接觸而移 動,使在投影光學系統PL與測量載台MST之間保持水’ 但是較佳者為每於各晶圚交換,進行上述動作,使在投影 光學系統PL與測量載台MST之間保持水,則不必多言。 又’基線等之測量,如前述,亦可每⑨1批之曝光結束時 進行,亦可每於晶圓交換’或既定片數之晶圓之曝光結束 後進行。 ,如從上述說明可知,在本第3實施形態,與第丨實施 0 形態同樣,藉由晶圓載台驅動部(8〇〜87)構成載台驅動系 〆一部分。又,藉由載台驅動系統與晶圓載台WST與 測量載台MST構成載台裝置之至少一部分。 ^如以上所說明,依本第3實施形態之曝光裝置及該曝 光裝置所具備之載台裝置,從晶圓載台WST(或測量載台 MS^T,位於有液體(水)供應之投影光學系統正下方之第 1區域之第1狀態遷移至測量栽台MST(或晶圓載台WST) 68 200944963
位於第1區域之第2狀態時,藉由上述載台驅動系統,維 持兩載台於X轴方向透過彈性密封構件呈接觸狀態,使晶 圓載台WST、測量載台MST朝X軸方向同時驅動。因此, 以在投影光學系統PL與位於其正下方之特定載台(此載 台,伴隨移動,從一載台轉換為另一載台)之間供應著水(液 體)之狀態,不會從兩載台之間隙使液體洩漏,使能從一載 台位於第1區域之第1狀態遷移至另一載台位於第i區域 之第2狀態。即,在晶圓載台WST側透過投影光學系統PL 〇 與水(液體)進行曝光動作後,至在測量載台MST在投影光 學系統PL正下方開始測量為止期間,從一晶圓載台wst 與投影光學系統PL之間保持水之狀態至測量載台mst與 才又影光學系統PL之間保持水之狀態,不需要經過水之全回 收,再供應等步驟’能使其遷移。又,對使用測量載台MST 之測量結束後,至使用晶圓載台WST之曝光開始為止,亦 相同。 因此’將從晶圓載台WST側之曝光動作結束至測量載 © 台MST側之測量動作開始之時間,及從測量載台MST側之 測量結束,至晶圓載台WST側之曝光動作開始之時間縮短 (即,維持於與非液浸曝光之通常之曝光裝置(非液浸曝光裝 置)相同程度)’能獲得產能之提高。又,在投影光學系統 PL之像面側,因持續存在水(液體),故能有效地防止前述 之水紋(水痕)產生。 又,藉由液浸曝光,進行高解像度且比空氣中大焦點 深度之曝光’能使標線片R之圖案精度良好地轉印於晶圓 69 200944963 上,例如當作元件規格能實現7〇〜l〇〇nm程度之微細圖案之 轉印。 又’因能每於晶圓之交換等,使用載置於測量载台MSt 之測量用構件進行各種測量,使測量結果反應於其後之曝 光動作,故能以持續調整為高精度之狀態進行晶圓之曝光。 又,若使用測量載台MST所進行之測量動作係不使用 照明用光IL,亦能將測量載台MST側之測量動作,與晶圓 載台WST側之晶圓w之曝光動作一起進行。 又’在上述第3實施形態,雖以將測量載台MST與晶 圓載台WST透過彈性密封構件呈接觸狀態進行晶圓對準, 但亦可在要進行晶圓對準之前,以使2個載台呈接觸狀態, 將晶圓載台WST移動至投影光學系統pL(及對準系統ALG) 之下方,使測量載台MST退避後,進行晶圓對準。 又,在上述第3實施形態,雖能使基準標記板FM上之 第1基準標s己與第2基準標記同時測量,但亦可測量第1 基準標記與第2基準標記之一方後,以在測量載台MST上 保持水之狀態移動來測量另一方。 又’上述第1〜第3實施形態所使用之彈性密封構件, 如圖19(A)所示’亦可採用彈性密封構件93,,在一載台(在 此’係載台WST2(WST2,、MST))之+X側面形成截面大致 梯形狀之槽49,在該槽49以埋入狀態裝配。如此構成,亦 能獲得與上述各實施形態同樣之效果。對此圖19(A)所示之 構成’亦可不僅在一載台,而在雙方之載台設置。 又,如圖19(B)所示,亦可在一載台(在此,係載台wsti 200944963 (WSTl ’、WST))之+Z面形成截面大致梯形狀之槽49,,在 該槽49’將彈性密封構件93’’以埋入狀態裝配,在另一載台 (在此,係載台WST2 (WST2,、MST))上面之+X側端部設置 平板94。在此情形,在兩載台呈近接狀態,藉由平板“接 觸於彈性密封構件93,’ ’如圖19(B)所示,能使水不會從兩 載台間洩漏。 又,如圖19(C)所示,亦可藉由在兩載台所對向之各侧 面例如藉由鐵氟龍(登錄商標)等施加撥水被膜95,俾在兩 © 載台之間隙防止水之滲入及漏水。藉此,因兩載台間能維 持非接觸狀態,故不會引起兩載台之近接所造成之載台變 形或位置控制精度惡化等之虞。 又,在上述第1〜第3實施形態,雖設置彈性密封構件, 仁亦可不必叹置彈性密封構件及其他抑制漏水之抑制構 件。在此情形,亦可在從一載台位於投影光學系統pu正下 方之狀態遷移至另一載台位於投影光學系統pu正下方之 狀態之期間’使兩載台直接接觸。又,雖由兩載台之材質、 兩載台之表面狀態或形狀、液體之種類等而定,但即使在 遷移時兩載台近接狀態(例如兩栽台之間隔係2·以下), 若藉由液趙之表面張力不使液體_,則亦可不施加撥水 被膜。主要係要維持不使液趙從兩載台間洩漏之位置關 係’來使兩載台遷移即可。 又,遷移時在兩載台間水(液體)之洩漏,若洩漏量係微 夕因_時候亦有才皮容許之情形&遷移時之兩載台之間 隔不僅要考慮、載台之材質或栽台之表面狀態或形狀液 71 200944963 體之種類’亦可考慮容許洩漏量來決定。 又,在上述第i〜第3實施形態,雖在2個載台之接觸 面未形成移動鏡之反射面,但此不是必須要件,只要能防 止水從2個載台汽漏,亦可在至少_載台之接觸面形成移 動鏡之反射面。此種實施形態.,例如能考慮如下之第4實 施形態。 《第4實施形態》 其次,依圖20〜圖23(B)說明本發明之第4實施形態。 在此,對與前述第3實施形態相同或同等之部分,使用相❹ 同之符號,並且將其說明簡化或省略。在此第4實施形態 之曝光裝置,僅晶圓載台裝置之構成(包含干涉計之配置), 與刖述第3實施形態一部分不同’其他部分之構成等,則 與第3實施形態之裝置相同。因此,以下,為了要避免重 複說明,僅以相異處為中心說明。 本第4實施形態之晶圓載台裝置ι5〇,如圖2〇所示, 具備:晶圓載台WST’,能載置晶圓;測量專用之測量載台 MST’;及干涉計系統,包含6個雷射干涉計(以下,簡稱「干 〇 涉計」)IF1〜IF6。 前述晶圓載台WST’,雖下述兩點係與前述第3實施形 態之晶圓載台WST不同,即,第1點,如圖21所示,將 其-X側(測量載台MST’對向之側)之上端部一部分形成為 比其他部分突出之板狀之凸緣部111a,及第2點,在其+χ 側端面Se及+Y側端面Sd設置鏡面加工所形成之反射面, 來替代前述之移動鏡’但是其他部分,則構成為與晶圓栽 72 200944963 台WST相同。又,此晶圓載台WST,之上面以載置晶圓 W之狀態,包含晶圓W表面及凸緣部llla,全面係大致同 一平面(同一面)。 前述測量載台MST’,雖下述兩點係與前述第3實施形 態之測量載台MST不同,即,第1點,如圖21所示在 其+X側(與晶圓載台WST’對向之側)設置突部丨丨卜,將隔 著既定間隙卡合於前述凸緣部111a之段部Ulb設於其上 端部;及第2點’在其-X侧端面Sa、+γ側端面讥、及+χ G 侧之端面(突部lllci+X側之端面)Sc,設置鏡面加工所形 成之反射面,來替代前述之移動鏡;但是其他部分,則構 成為與測量載台MST相同。在此情形,如圖21所示,在 晶圓載台\¥31',之凸緣部111&與測量載台1^81,之段部11^ 卡合之狀態,使晶圓載台wst’之上面與測量載台MST,之 上面全體能形成全平面。 本實施形態之晶圓載台WST’及測量載台MST,,與前 述之第3實施形態之晶圓載台WST及測量載台MST同樣, ^ 藉由晶圓載台驅動部(80〜87)使其在2維面内驅動。 前述干涉計系統’如圖20所示,具有:3個Y袖干涉 計IF3、IF4、IF2 ’分別具有分別通過投影光學系統pL之 才又影中心(光軸AX) ’對準系統ALG之各檢測中心,及從投 影光學系統PL之投影中心離既定距離於_χ方向之位置且 平行於Υ轴方向之測長轴;2個干涉計if 1、IF5,分別具 有連結投影光學系統PL之投影中心(光轴AX)及對準系統 ALG之檢測中心且平行於X軸之測長軸;及干涉計IF6, 200944963 具有與通過從投影光學系統PL之投影中心起於—γ方向離 既定距離位置之X軸方向平行之測長軸。 在此,晶圓載台WST’位於投影光學系統PL之光轴正 下方之位置附近之區域(第1區域),對其晶圓載台WST,上 之晶圓進行曝光時,藉由X軸干涉計IF5、丫軸干涉計IF3 來管理晶圓載台WST,之位置。以下,將藉由χ軸干涉計 IF5、Υ軸干涉計IF3之各測長軸所規定之座標系統稱為曝 光座標系統。 又,晶圓載台WST’位於對準系統ALG之檢測中心正◎ 下方之位置附近之區域(第2區域),要進行形成於其晶圓載 台WST’上之晶圓之對準標記之檢測,例如晶圓對準等時, 藉由X軸干涉計吓5、丫軸干涉計IF4來管理晶圓載台wst, 之位置。以下,將藉由X軸干涉計IF5 ' γ軸干涉計之 各測長轴所規定之座標系統稱為對準座標系統。 又’測量載台MST’’位於如圖2Q所示之待機位置附 近之區域時,藉由X軸干涉計IF1、¥軸干涉計if2來管理 測量載口 MST之位置。以下,將藉由χ軸干涉計⑻、γ ◎ 軸干涉計IF2之各測長軸所規定之座標系統稱為等待座 系統。 X軸干涉計IF6,在晶圓曝光結束後之晶圓交換等時, 測量相對於晶圓載台WST,之χ軸方向之位置。 如從上述說明可知,在本實施形態,X軸干涉計IF5、 IF1’係具有相對於X轴方向及z轴方向離開之至少3支光 軸之多軸干涉計,各光軸之輪出值係能獨立測量。因此, 74 200944963 在此等x轴干涉計1F5、IF卜除了晶圓載台wST,、測量載 台MST’之X軸方向之位置測量以外,亦能測量Y軸周圍之 旋轉量(橫搖量)及Z軸周圍之旋轉量(偏搖量卜又,X軸干 涉計IF6,亦可多轴干涉計,亦可光轴1支之干涉計。 又,上述Y軸干涉計IF2、IF3、IF4,係具有相對於z 轴方向離開之各2支光轴之2轴干涉計,各光轴之輸出值 係能獨立測量。因此,在此等丫軸干涉計汀2、汀3、吓4, 除了晶圓載台體’或測量載台贿,之γ轴方向之位置測 © 量以外,亦能測量X軸周圍之旋轉量(俯仰量)。 以下,對使用本第4實施形態之曝光裝置所具備之晶 圓載台wst,與測量載台MST,之並行處理動作’依圖2〇〜 圖23(B)加以說明。又’以下之動作中,藉由主控制裝置2〇, 按照位於投影單元PU正下方之第丨區域之載台之移動方 向,如刖述,進行液體供排系統32之液體供應裝置5及液 體回收裝置6之各閥之開閉控制,在投影光學系統pL之前 端透鏡91正下方持續填滿水。但是,以下,為了要使說明 谷易瞭解,省略液體供應裝置5及液體回收裝置6相關之 說明。 在圖20’表示將對晶圓載台WST’上之晶圓W之步進 掃描方式之曝光,與前述之第丨實施形態同樣進行之狀態。 此時,測量載台MST’ ’在不與晶圓載台WST,衝突之既定 之待機位置等待。在此情形’主控制裝置2〇 ,將測量載台 MST之位置在前述之等待座標系統上管理將晶圓載台 WST之位置在前述之曝光座標系統上管理。 75 200944963 並且,在晶圓載台WST,側,在例如對i批(1批係25 片或50片)之晶圓w之曝光結束之階段,主控制裝置2〇, 使測量載台MST’移動至圖22(A)所示之位置。在此圖22(A) 之狀態,測量載台MST,與晶圓載台WST’,如圊21所示成 為設置於晶圓載台WST’之凸緣部11U之_χ側端面與測量 載台MST’之段部Ulb2_x側面近接(或接觸)之狀態。 在此,因將晶圓載台WST’側之凸緣部Ula之X轴方 向之寬度尺寸,比測量載台MST’側之段部lllb之X軸方 向之寬度尺寸設為大,故能防止使測量載台MST,之鏡面加 ◎ 工端面(反射面)Sc與除晶圓載台WST,之凸緣部丨lla外之 -X側端面(-X側端面之凸緣部1〗丨a下方之部分)接觸。 其次,主控制裝置20 ’邊保持晶圓載台WST,與測量載 台MST’之X軸方向之位置關係,邊開始使兩載台同時驅 動於+X方向之動作。 如上述,若藉由主控制裝置20,使晶圓載台WST,、測 量載台MST’同時驅動,在圖22(A)之狀態,保持於投影單 元PU之前端透鏡91與晶圓w之間之水,則伴隨晶圓載台❹ WST’、測量載台MST’之+X側移動,在晶圓w—晶圓載台 WST’—測量載台MST’上依序移動。又,上述之移動期間 中’晶圓載台WST,與測量載台MST,係保持如圖21所示之 位置關係。在圖22(B),表示在上述之移動中途水(液浸區 域)同時跨越存在於晶圓載台WST’、測量載台MST,上時之 狀態’即表示從晶圓載台wst,上將水供應於測量載台MST, 上之前之狀態》亦在此狀態晶圓載台WST’與測量載台MST, 76 200944963 係保持如圖21所示之位置關係。在圓21之狀態,因晶圓 載台WST’之凸緣部ma之邊緣與對向凸緣部1Ua之測量 載台MST’之上面之邊緣的間隙係維持於〇3mm以下,故即 使水移動於其間隙上,能防止水滲入其間隙。在此情形, 藉由將凸緣部111a之上面與測量载台MST,之上面分別形
成為撥水性(與水之接觸角度係8(Γ以上),能更確實防止水 滲入其間隙。又,在此移動中,來自干涉計IF2之干涉計光 束雖不照射至測量載台MST’之端面Sb,但因與此 (其前或後)干涉計⑻之干涉計光束會照射至測量\^ MST’之端面Sb,故在其時點藉由主控制裝置⑽執行干涉 計IF3之重置。 若從圖22(B)之狀態,進一步將晶圓載台wst,、測量 載台MST’同時朝+X方向既定距離驅動,如圖23(a)所示, 成為在測量載台MST,與前端透鏡91之間保持水之狀態。 其次,主控制裝置20,與使晶圓載台WST,驅動於+乂 方向及-Y方向並行,使測量載台MST,朝+χ方向及+丫方 向驅動。在此驅動期間,因在晶圓載台WST,之 再照射來自干涉計IF5之干涉計光束,而使干涉計ιρ6之干 涉計光束照射,故主控制裝置2〇,以兩干涉計光束照射之 狀態,使用干涉計IF5之測量值,使干涉計IF6重置。另一 方面’因來自干涉言"F4之干涉計光束會照射至測量載台 MST’之端面Sb,故主控制裝置2〇,在兩干涉計光束照射 之任一時點’使用干涉計IF3之測量值,使干涉計if4重置。 又’因在測量載台MST,之端面Sc,會照射來自干涉計奶 77 200944963 =涉計光束’故主控制裝置2〇,則執行干涉計IF5之重 置(或考慮干涉計IF1之測量值之重置卜 如上述,形成如圖23(B)所示之兩載台之配 圓載台WST’位於既定之晶圓交換 曰
叫X俠位置’並且測量巷A 位於投影光學系統PL·正下方❶ 口 在晶圓载台WST,,若 干涉汁IF4之干涉計光束不照射, V 4, He ^ 艰精由干涉计系統,雖不能 測量Y軸方向之位置,但可藉由 _ 未圖不之線性編碼器等來 管理晶圓載台WST,之Y位置。岑朮 或亦了追加晶圓載台WST, Ο 尚在曰曰圓交換位置時能測量晶圓載台咖,之γ軸方向之位 置之干涉計。在圖23(B)所示之狀緣,/曰 狀態在日日圓载台WST,側
進行晶圓交換,與此並行,在測量載台MST,㈣照必要執 仃既定之測量。此測量’例如在標線片載台RST之標線片 交換後將對準系統ALG之基線測量,與上述第3實施形態 同樣執行。在此情形,測量載台MST,之χ軸方向之位置, 比干涉計IFi較佳者為使用干涉計IF5來測量。藉由在晶圓 w之曝光令使用測量測量載台MST’之χ轴方向之位置之干 涉計IF5,邊測量測量載台MST’之位置,邊進行基線測量, 能以尚精度執行根據其基線(量)之晶圓w之對準(定位)。 基線測量一起,進行前述之標線片對準。 又,與上述第3實施形態同樣,與上述對準系統 之 並且’在上述之兩載台WST’、MST’上之作業結束之階 段,主控制裝置20,例如將測量載台MST,與晶圓載台 WST’,恢復為圊23(A)之狀態,邊維持使晶圓載台WST, 與測量載台MST’近接(或接觸)之狀態,邊在χγ面内駆動, 78 200944963 與前述同樣對交換後之晶圓w進 岡W琨仃晶圓對準即使用對準 系統ALG進行交換後之晶圓w 問W上之對準標記之檢測,來算 出晶圓W上之複數個照射區域 琿之位置座標。又,此晶圓對 準時之晶圓載台WST,之位置營疎,目α 4 仙·罝官理,則在前述之對準座標系 統上管理。 、 其後’主控制裝置20,邊保持晶圓載台WST,與測量載 台贿,之X軸方向之位置關係,邊與前述者相反,將兩載 台WST,、MST,向-X方向同時驅動,而使晶圓載台wst,(晶 © ® W)移動至投影光學系統匕之下方後,將測量載台麟, 退避至既定位置。在此期間,亦以與前述者相反之步驟進 行干涉計系統之干涉計之重置等。 其後,主控制裝置20,與上述各實施形態同樣,對晶 圓W執行步進掃描方式之曝光動作,將標線片圖案依序轉 印於晶圓W上之複數個照射區域。 又,在上述說明,測量動作,雖對進行基線測量之情 形說明,但不限於此,與上述第3實施形態同樣,亦可進 © 行照度測量、照度不均測量、空間像計測量等《又與上述 第3實施形態同樣,不限於1批之曝光結束後,每於既定 片數(例如1片)之晶圓交換,亦能按照必要執行各種之測 量。又’亦可在測量載台MST,載置波面像差測量裝置,使 其測量動作’來測量投影光學系統PL之波面像差。或,亦 可在測量載台MST’設置觀察攝影機’來檢查形成於投影光 學系統PL之像面側之液浸區域之狀態。 又’使用對準系統ALG進行交換後之晶圓W之對準標 79 200944963 記之檢測,不一定需要使晶圓載台WST,與測量載台mst, 邊保持既定之近接狀態邊執行’亦可在兩載台離開後開始 對準標記之檢測,亦可以兩載台近接狀態進行一部分之對 準標記之檢測後,使兩載台離開,來進行剩餘之對準標記 之檢測。 如以上所說明,依本第4實施形態,與第3實施形態 同樣,晶圓載台WST’(或測量載台MST’),從位於供應液體 (水)之投影光學系統PL正下方之第i區域之第…態遷移 至測量載台MST’位於第域之第2狀態時,藉由載台驅 動系統(包含晶圓載台驅動部(80〜87)來構成),使晶圓載台 WST’側之凸緣部msa與測量載台MST’之段部成為 卡合狀態’藉由晶圓載台WST,之上面與測量載台MST,之 上面能實現全平面。因此,在投影光學系統PL與其正下方 之至少一載台(此載台,伴隨移動從一載台轉換為另一載台) 之間以保持水(液體)之狀態,不會從兩載台之間隙使液體洩 漏,能從一載台位於第1區域之第1狀態遷移至另一載台 位於第1區域之第2狀態。即,在晶圓載台WST,側進行透 過投影光學系統PL與水(液體)之曝光動作後,至在測量載 台MST’側開始在投影光學系統PL正下方之測量為止期 間,從晶圓載台WST,與投影光學系統pL之間保持水之狀 態至在測量載台MST,與投影光學系統pl之間保持水之狀 態’不需要經過水之全回收,再供應等步驟,能使其遷移。 又,使用測量載台MST,之測量結束後,至使用晶圓載台 WST’開始曝光為止期間亦同樣。 200944963 因此,能使從晶圓載台WST’側之曝光動作結束至測量 載台M S T之測量動作開始為止之時間,及從測量載台μ s τ, 側之測量結束至晶圓載台WST’側之曝光動作開始為止之 時間縮短(即’維持於與非液浸曝光之通常之曝光裝置(非液 浸曝光裝置)相同程度)’能獲得產能之提高。又,因在投影 光學系統PL之像面側,水(液體)持續存在,故能有效地防 止前述之水紋(水痕)之產生。 又’在本第4實施形態’因在晶圓載台WST,設置凸緣 © 部llla,將與此卡合之段部111b設置於測量栽台MST,, 故即使在兩載台所對向側之投影光學系統PL之端面Sc設 置反射面,不會產生障礙,能從晶圓載台WST,與投影光學 系統PL之間保持水之狀態遷移至投影光學系統pL與測量 載台MST’之間保持水之狀態(或其相反)。 又’藉由液浸曝光’進行高解像度且比空氣中大焦點 深度之曝光,能將標線片R之圖案精度良好地轉印於晶圓 上’例如當作元件規格能實現7〇〜1 〇〇nrn程度之微細圖案之 © 轉印。 又,在上述第4實施形態’雖對在晶圓載台wst,側設 置凸緣部llla,在測量載台MST,侧設置具有段部lllb之 突部11 lc之情形說明,但本發明並不限於此,亦可在晶圓 載台wst’設置具有段部之突部,在測量載台MST,側設置 凸緣部》又’在上述第4實施形態’雖對測量載台mst,之 +X侧之端部以形成段部11 lb於上端部之單一之突部形成 之情形,加以說明,但是,此係由於需要使其突部丨丨丨c之 S1 200944963 +x側之端面Sc形成反射面而構成者,不一定要構成如 例如,若不需要形成反射面,相當於突部11113之部分,, 可在上端部形成隔著既定間隙卡合於凸緣部111&之=部則 其他部分亦可任何形狀。同樣,晶圓載台WST,側只要^上 端部設置凸緣部llla,其他部分之形狀,亦可為任何形狀上。 又,在上述第4實施形態,雖將凸緣部uu —體形成 於晶圓載台wst’,但亦可以從晶圓載台WST,本體能裝卸 之板構件來形成凸緣部1丨丨a。 〇 ❹ 又,亦可採用設置彈性密封構件之構成,以凸緣部⑴a 與段部mb卡合之狀態,使在凸緣部uu與段部⑽之 間介有彈性密封構件。即,例如’藉由在凸緣部⑴a之_乂 侧端部設置彈性密封構件,能完全防止晶圓載台赠,與測 量載台題’之間之漏水。又,藉由設置彈性密封構件即 使在晶圓載台WST,與測量載台MST’會接觸之情形能減 低其衝擊。當然,亦可將彈性密封構件設置於測量載二 MST’側’替代彈性密封構件,村在晶圓載台wst,與測量 載台體’之至少—兩載台對向之位置,施加撥水被膜。 又,在上述第4實施形態之載台之一方設置凸緣部, ::方议置段部之概念,不僅兩載台係測量載台與晶圓 台之情形’在兩載台均係晶圓載台之情形,亦能採用。 卩例如要採用如上述第^施形態(參照圓2)或第 二實施形態(參照圓12)之載台裝置之構成之情形,因晶圓載 Z WST1與晶圓載台WST2相對於χ袖方向之位置關係不 變’故如圖24所示,能採用在—晶圓載台之χ軸方向一側 82 200944963 具備凸緣部Ilia,在另一晶圓載台之χ軸方向另一側具備 將段部111b形成於其上端部之突部111(;之構成。 又,例如,如圖25(A)所示,若採用晶圓載台WST1’,、 WST2’’相對於X轴方向之位置關係會變更之載台裝置時, 如圖25(B)所示,需要採用使各晶圓載台wsti,’、WST2’,, 具備凸緣部與具有段部之突部之構成。藉由採用如上述之 構成’即使晶圓載台WST1 ’ ’位於-X側,晶圓載台WST2,, 位於+ X側之情形,或晶圓載台WST1,,位於+ χ側,晶圓 © 载台WST2’’位於_ χ侧之情形,與前述第4實施形態同樣, 以防止漏水之狀態,能從一晶圓載台上水接觸狀態,遷移 至另一晶圓載台上水接觸狀態。 又’在上述各實施形態’保持於前端透鏡91下之水, 從一載台上移動至另一載台上時,亦可在前端透鏡91下保 持著水,使水之供應與回收停止。特別,在藉由水之供應 X之壓力會升向之情形,因從2個載台之間隙容易漏水, 故較佳者為停止水之供應與回收。 又,在上述各實施形態,雖液體係使用超純水(水),但 本發明s然並不限於此.液體,亦可使用化學性穩定照 月用光IL之透過率高、安全之液體,例如使用氟系惰性液 匕氟系隋性液體’例如能使用jqu〇rinert(美國公司 之商名稱)。此氟系惰性液體,對冷卻效果亦良好。又, 亦旎使用對照明用光IL有透過性且折射率盡量高, 又,對投影光學系統或塗布於晶圓表面之光阻穩定者(例 如,洋杉油)。又,若使用F2雷射光作為光源時,液體能使 83 200944963 用氟系液體(例如,豐布林油(F〇mblin 〇U))。 又’在上述各實施形態’亦可將所回收之液體再利用, 在此情形,較佳者為將用以從所回收之液體去除雜質之過 濾 '器設置於液艘回收裝置或回收管等。 又’在上述實施形態,雖投影光學系統PL之最像面側 之光學70件係前端透鏡91,但該光學元件不限於透鏡,亦 可為光學板(平行平面板等),用以調整投影光學系統卩^之 光學特性’例如像差(球面像差、彗形像差)之調整,亦可簡 單之蓋玻璃。投影光學系統PL之最像面側之光學元件(在 ◎ 上述實施形態係前端透鏡91) ’起因於藉由照明用光之 照射從光阻所產生之飛散粒子或液體中之雜質之附著等, 會與液趙(在上述實施形態係水)接觸,使其表面污染。因 此’其光學元件,亦可裝卸(交換)自如地固定於鏡筒之 最下部’定期交換。 如上述之情形,若接觸於液體之光學元件係透鏡,其 交換構件之成本昂貴’且交換所需之時間變長,會導致維 護成本(運轉成本)之上升或產能之降低,因此,接觸於液體 〇 之光學元件,亦可使用例如比前端透鏡91廉價之平行平面 板。 又’在上述各實施形態,使液體流動之範圍可設為使 覆蓋標線片之圖案像之投影區域(照明用光IL之照射區域) 全域’其大小雖可任意,但’為了要控制流速、流量,較 佳者為比照射區域稍微小,使其範圍盡量縮小。 又’在上述各實施形態,雖對將本發明適用於步進掃 84 200944963 描方式等之掃描型曝光裝置之情形說明,但本發明之適用 範圍當然不限於此。即,亦能合適地適用於步進重複方式 之縮小投影曝光裝置。 曝光裝置之用途並不限於半導體製造用之曝光裝置, 例如’能廣泛地適用於用以將液晶顯示元件圖案轉印於方 型之玻璃板之液晶用曝光裝置,或用以製造有機el、薄膜 磁頭、攝影元件(CCD等)、微型機器、及DNA晶片等之曝 光裝置。又’不僅是半導體元件等之微元件,供製造光曝 © 光裝置、EUV曝光裝置、X線曝光裝置、及電子曝光裝置 等所使用之k線片或光罩’在玻璃基板或梦晶圓等轉印電 路圖案之曝光裝置,亦能適用本發明。 又’在上述各實施形態之曝光裝置之光源,不限於ArF 準分子雷射光源’亦能使用KrF準分子雷射光源、p2雷射 光源等之脈衝雷射光源,或發出g線(波長436nm)、i線(波 長3 65nm)等光線超壓水銀燈等。 又’將DFB半導體雷射或光纖雷射所振盪之紅外域, ® 或可視域之單一波長雷射光,例如以摻雜铒(或铒與釔雙 方)之光纖放大器放大,而使用非線性光學結晶波長轉換為 紫外光之高諧波亦可。又,投影光學系統之倍率,不僅是 縮小系統,亦可為等倍及放大系統之任一種。 《元件製造方法》 其次’對將上述實施形態之曝光裝置在微影步驟使用 之元件製造方法之實施形態,加以說明。 在圖26,表示元件(1C或LSI等半導體晶片、液晶面板、 85 200944963 :⑶、薄臈磁頭、微型機器等)之製造例之流程圖。如圓26 此I姊首先’在步驟201(設計步驟),進行元件之機能、性 例如’半導體元件之電路設計等),進行用以實現該 圖案十。接著,在步驟202(光罩製作步驟),製作 形成有所設計之電路圖案之光罩。另-方面,在步驟203(晶 圓製造步驟),使用發等材料製造晶圓。 其次,在步驟204(晶圓處理步驟),使用在步驟2〇1〜 步驟203所準備之光罩與晶圓,如後述,藉由微影技術等 在晶圓上形成實際之電路等。其次,在㈣2〇5(元件組裝 步驟)’使用在步驟綱所處理之晶圓進行元件組裝。在此◎ 步驟205,按照需要,包含切割步驟接合步驟及封裝步 驟(晶片封入)等步驟。 一最後,在步驟206(檢查步驟),進行在步驟2〇5所製作 之兀件之動作確認測試、耐久測試等之檢查。經過如上述 之步驟後’元件則完成而出貨。 在圖27,表示半導體元件之上述步驟2〇4之詳細流程 例。在圖27,在步驟211(氧化步驟)使晶園之表面氧化。在〇 步驟212 (CVD步驟)在晶圓表面形成絕緣膜。在步驟2丨3(電 極形成步驟)在晶圓上以蒸鍍形成電極。在步驟214(離子植 入步驟)在晶圓植入離子。以上之步驟211〜步驟214,分別 構成晶圓處理之各階段之前處理步驟,按照各階段所需要 之處理選擇來執行。 在晶圓處理之各階段,上述之前處理步驟結束後,執 行後處理步驟如下。在此後處理步驟,首先,在步驟215(光 86 200944963 阻形成步驟),在晶圓塗布感光劑。接著,在步驟216(曝光 步驟)’藉由如上所說明之曝光裝置將光罩之電路圖案轉印 於晶圓。其次,在步驟217(顯影步驟),使所曝光之晶圓顯 影’在步驟218(蝕刻步驟),將光阻所殘留之部分以外之部 分之露出構件以姓刻去除》並且,在步驟219(光阻去除步 驟)’去除已完成蝕刻而變成不要之光阻。 藉由重複進行此等前處理步驟與後處理步驟,能在晶 圓上形成多層之電路圖案。 〇 使用以上所說明之本實施形態之元件製造方法,藉由 在曝光步驟(步驟216)使用上述各實施形態之曝光裝置以能 量光束(照明用光IL)使晶圓(基板)曝光,因在晶圓上形成元 件圖案,故能長期間實現高產能且高精度之曝光。因此, 能提高形成微細圖案之高積體度之微元件之生產性。 如以上說明,本發明之載台驅動裝置,適於驅動第1 載台與第2載台。又,本發明之曝光裝置,適於在投影光 學系統與基板之間供應液體,透過投影光學系統與液體以 〇 能量光束使前述基板曝光。又’本發明之元件製造方法, 適於微元件之生產。 【圖式簡單說明】 圖1係表示第1實施形態之曝光裝置之概略圖。 圖2係表示第1實施形態之晶圓載台裝置之俯視圖。 圖3係表示圖2之晶圓載台WST1之立體圖。 圖4係表示液體供排機構之概略俯視圏。 87 200944963 圖5係表示第1實施形態之曝光裝置之控制系統主要 構成之方塊圖。 圖6係用以說明並行處理動作之2個晶圓載台之媒動 方法之圖(其丨)。 圖7係用以說明並行處理動作之2個晶圓載台之驅動 方法之圖(其2) » 圖8係用以說明並行處理動作之2個晶圓載台之驅動 方法之圖(其3)。 圖9係用以說明並行處理動作之2個晶圓載台之驅動 方法之圖(其4)。 ° 圖10係表示彈性密封構件之圖。 圖11係表示第2實施形態之曝光裝置之控制系統主要 構成之方塊圖。 圖12係表示第2實施形態之晶圓載台裝置之俯視圖。 圖13(A)係用以說明第2實施形態之並行處理動作之2 個晶圓載台之驅動方法之圖(其丨)。 圖13(B)係用以說明第2實施形態之並行處理動作之2 q 個晶圓載台之驅動方法之圖(其丨)。 圖14(A)係用以說明第2實施形態之並行處理動作之2 個晶圓載台之驅動方法之圖(其2)。 圖14(B)係用以說明第2實施形態之並行處理動作之2 個晶圓載台之媒動方法之圖(其2)。 圖15(A)係用以說明第2實施形態之並行處理動作之2 個晶圓載台之驅動方法之圖(其3)。 88 200944963 圖15(B)係用以說明第2實施形態之並行處理動作之2 個晶圓載台之驅動方法之圖(其3) » 圖16係表示第3實施形態之晶圓載台裝置之俯視圖。 圖17(A)係用以說明第3實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之圖(其1)。 圖17(B)係用以說明第3實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之圖(其丨)。 圖1 8(A)係用以說明第3實施形態之並行處理動作之晶 Ο 圓載台與測量載台之驅動方法之圖(其2)。 圖18(B)係用以說明第3實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之圖(其2)。 圖19(A)係用以說明抑制構件之變形例之圖。 圖19(B)係用以說明抑制構件之變形例之圖。 圖19(c)係用以說明抑制構件之變形例之圖。 圖20係表示第4實施形態之晶圓載台裝置之俯視圖。 圖21係表示晶圓載台與測量載台近接狀態之圖。 ® 圖22(A)係用以說明第4實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之圖(其丨)。 圖22(B)係用以說明第4實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之圖(其丨)。 圖23(A)係用以說明第4實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之圖(其2)。 圓23(B)係用以說明第4實施形態之並行處理動作之晶 圓載台與測量載台之驅動方法之囷(其2)。 89 200944963 圖24係用以說明第4實施形態之變形例之圖(其丨)。 圖25(A)係用以說明第4實施形態之變形例之圖(其2)。 圖25(B)係用以說明第4實施形態之變形例之圖(其2)。 圖26係用以說明本發明之元件製造方法之流程圖。 圖27係表示圖26之步驟2〇4之具體例之流程圖。 【主要元件符號說明】 5 :液體供應裝置 6 :液體回收裝置 1〇 :照明系統 Π·標線片載台驅動部 12 :基盤 15 :移動鏡 16、18: X轴干涉計 17X、117X : X 移動鏡 17Y、117Y : Y 移動鏡 20 :主控制裝置 21、22、27、28 :供應管 21a、21b、21c、22a、22b、22c、27a、28a :供應嘴 23 ' 24 ' 29 ' 30 :回收管 23a ' 23b、24a ' 24b、29a、29b、30a、30b :回收嘴 32 :液體供排系統 40 :鏡筒 44、46、48 : Y軸干涉計 200944963 47X、49X : χ移動鏡 47Yi、47Y2、49Yi、49^2 : Y 移動鏡 49 、 495 :槽 50、50’、50’’ :晶圓載台裝置 70 :本體部 72a〜72d :辅助板 80〜87 :晶圓載台驅動部
80、81、136Y,、136Y2、138Y!、138Y2、186、187、 1 88、1 89 : Y轴線性導件(γ軸線性馬達) 82、83、84、85、86、87、136Χ、138Χ、180、181 : X軸線性導件(X軸線性馬達) 9〇a :照射系統 9〇b :受光系統 91 :前端透鏡 彈性密封構件 93、93’、93’’:密封構件 94 :平板 9 5 :撥水被膜 100 :曝光裝置 111 a :凸緣部 111b :段部 111C :突部 116 :標線片干涉計 118、118A :干涉計系統 124、124A:晶圓載4㈣ 91 200944963 151Xi、151X2 ' 151X3、151X4、151Y,、151Υ2 :干涉計 171 :第1驅動部 172 :第2驅動部 178 ' 179 : X可動件 182、183、184、185 : Y 可動件 195 :第1連接機構 196 :第2連接機構 ALG1、ALG2 :對準系統 BI1X、BI2X、BI1Y、BI2Y、BI3Y :測軸 ◎ AX :光軸 FM1、FM2 :基準標記板 HI、H2 :晶圓保持具 IA :曝光區域 IF1、IF5、IF6 : X 轴干涉計 IF2、IF3、IF4 : Y 軸干涉計 IL :照明用光(曝光用光)
Lq :液體 〇 MST、MST’ :測量載台 PL :投影光學系統 PU :投影單元 R :標線片 RAa、RAb :標線片對準檢測系統 RST :標線片載台 Sb、Sc、Se :端面 92 200944963 W1、W2 :晶圓 、WST2,,: WST1、WST2、WST1’、WST2’、WST1’, 晶圓載台
93

Claims (1)

  1. 200944963 - 七、申請專利範圍: 1. 一種曝光裝置’係透過投影光學系統與液趙以能 光束使基板曝光,具備: 第卜第2載台,能在包含該投影光學系統所配置之第 1區域、及與該第i區域不同之第2區域之區域内分立 移動;及 線性馬達系統,係以將該第卜第2載台分別從該第卜 第2區域之_方移動至另一方且邊在與該投影光學系統 之間保持該液體’邊進行保持該液體之該第卜第2栽台之 一方和另-方切換之方式,於既^方向邊維持近接或接觸 置關係邊使該第1、第2載台移動於該既定方向。 2. 如申請專利範圍第1項之曝光裝置,其中,該第i、 第2區域,於與該既定方向交叉之方向之位置為不同。 3·如申請專利範圍第1或2項之曝光裝置,其進一步具 備標記檢測系統’配置於該第2區域,用以檢測該基板之 標記。 如申凊專利範圍第1至3項中任一項之曝光裝置,其〇 中該第1載台係能載置基板’該基板係被進行掃描曝光, 該線性馬達系統係於該掃描曝光時,將該第1載台移動於 與該既定方向交叉之方向。 5·如申s青專利範圍第1至4項中任一項之曝光裝置,其 中,該第1、第2載台,分別具有基板之載置區域、及其表 面為與載置於該載置區域之基板表面大致相同高度之該載 置區域之周圍區域。 94 200944963 6.如_請專利範圍第5 筮v 唄之曝先裝置,其中,該第1、 第2載口 ,为別具有其表 4與該和區域之表面大致相 同间度之基準標記構件,料測量構件。 7·如中請專利範圍第5或6項之曝光裝置,其進一步且 備用以檢測該基板之標記之標記檢測系统; 、 在該切換動作中,於該一載台 於該另一載台載置藉由該標記檢測 後之基板。 载置曝光後之基板,而 系統所進行之標記檢測 ❹
    8. 如申請專利範圍第7項曦 闲不,喟炙曝光裝置,其中,該一載台 所載置《基板之曝光動作、與該另—載台所載置之基板之 標記檢測動作並行,且該—載台㈣置之基板與該另一載 台所載置之基板係交替進行曝光處理。 9. 如申清專利圍第4 8項中任—項之曝光裝置,其 進一歩具備檢測系統,在載置於該另一載台之基板曝光 前,透過該液體檢測該能量光束。 10. 如申請專利範圍第9項之曝光裝置,其中,該檢測 系統,係檢測透過該液體而照射於在該另一載台所設置之 基準標記之能量光束。 11 ·如申請專利範圍第9項之曝光裝置,該檢測系統, 係透過該液體檢測照射於光罩之標記之能量光束,該光罩 具有待形成於該基板之圖案。 12.—種曝光裝置,係透過投影光學系統與液體,以能 量光束使基板曝光,具備: 第1、第2載台’能分別獨立移動且在至少2個側面設 95 200944963 置反射面; 干涉計系統,將測量光束照射於該各載台之反射面以 測量位置資訊;及 驅動系統,係以邊在與該投影光學系統之間保持該液 體,邊進行保持該液體之該第卜第2載台之一方和另一方 切換之方式,於既定方向邊維持近接或接觸之位置關係, 邊使該第1、第2載台移動於該既定方向; 在該切換動作中相對向之該第i、第2載台之側面係 與供該反射面設置之側面不同。 13. -種元件製造方法,其特徵在於:係包含使用申請 專利範圍第1至13項中任一項之曝光裝置以能量光束使 基板曝光之微影步驟。 14. 一種曝光方法,係透過投影光學系統與液體以能 量光束使基板曝光,其包含: 藉由線性馬達系統,將第卜第2载台從該投影光學系 統所配置之第i區域、及與該第i區域不同之第2區域之 一方移動至另一方;及 以邊在與該投影光學系統之間保持該液體,邊進行保 持該液體之該第卜第2載台之一方和另一方切換之方式, 藉由線性馬達系統於既定方向邊維持近接或接觸之位置關 係,邊使該第丨、第2載台移動於該既定方向。 -種曝光方法’係透過投影光學系統與液體以能 量光束使基板曝光,其包含: 將在至少2個側面設置反射面之第卜第2載台分別獨 96 200944963 立移動,且藉由+ J量該各載台之位置資訊;及 M 以邊在與該投影光學系統之間保持該液體’邊進行 持該液體之該第1、第 一 保 乐2載σ之 方和另一方切換之方气 於既定方向邊維持近接或接觸之位置關係,邊使該第^第 2載台移動於該既定方向; 在該切換動作t相對向之該第卜第2載台之側面係 與供該反射面設置之側面不同。 ❹ 16.-種元件製造方法,其特徵在於:係包含使用申請 專利範圍第14或15項之曝光方法,以能量光束使基板曝 光之微影步驟。 八、圖式: 如次頁
    97
TW098120060A 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method TWI437376B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004025837 2004-02-02
JP2004300566 2004-10-14

Publications (2)

Publication Number Publication Date
TW200944963A true TW200944963A (en) 2009-11-01
TWI437376B TWI437376B (zh) 2014-05-11

Family

ID=34829444

Family Applications (14)

Application Number Title Priority Date Filing Date
TW103100487A TWI564673B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW107106822A TW201823875A (zh) 2004-02-02 2005-02-02 載台驅動方法及載台裝置、曝光裝置、及元件製造方法
TW098120061A TWI436170B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW101103186A TWI499870B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW098120060A TWI437376B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW098115103A TWI443475B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW101103185A TWI521312B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW094103146A TWI390358B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW106100843A TWI627511B (zh) 2004-02-02 2005-02-02 Stage driving method, stage device, exposure device, and component manufacturing method
TW098120058A TWI437375B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW104127655A TWI578114B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW101146582A TWI498680B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW098120057A TWI437374B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW104118470A TWI596440B (zh) 2004-02-02 2005-02-02 Stage driving method and stage apparatus, exposure apparatus, and device manufacturing method

Family Applications Before (4)

Application Number Title Priority Date Filing Date
TW103100487A TWI564673B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW107106822A TW201823875A (zh) 2004-02-02 2005-02-02 載台驅動方法及載台裝置、曝光裝置、及元件製造方法
TW098120061A TWI436170B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW101103186A TWI499870B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method

Family Applications After (9)

Application Number Title Priority Date Filing Date
TW098115103A TWI443475B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW101103185A TWI521312B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW094103146A TWI390358B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW106100843A TWI627511B (zh) 2004-02-02 2005-02-02 Stage driving method, stage device, exposure device, and component manufacturing method
TW098120058A TWI437375B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW104127655A TWI578114B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW101146582A TWI498680B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW098120057A TWI437374B (zh) 2004-02-02 2005-02-02 A stage driving method and a stage apparatus, an exposure apparatus, and an element manufacturing method
TW104118470A TWI596440B (zh) 2004-02-02 2005-02-02 Stage driving method and stage apparatus, exposure apparatus, and device manufacturing method

Country Status (12)

Country Link
US (15) US7589822B2 (zh)
EP (9) EP3139401A1 (zh)
JP (16) JP4910394B2 (zh)
KR (16) KR101288139B1 (zh)
CN (1) CN101685263B (zh)
AT (1) ATE493753T1 (zh)
DE (1) DE602005025596D1 (zh)
HK (8) HK1093606A1 (zh)
IL (7) IL177221A (zh)
SG (5) SG195559A1 (zh)
TW (14) TWI564673B (zh)
WO (1) WO2005074014A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI556014B (zh) * 2009-12-15 2016-11-01 卡爾蔡司Smt有限公司 用於極紫外線波長範圍的反射鏡、此反射鏡的基底、包含此反射鏡或基底的微影投影物鏡以及包含此投影物鏡的微影投影曝光裝置

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG121822A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101861493B1 (ko) 2003-04-11 2018-05-28 가부시키가이샤 니콘 액침 리소그래피 머신에서 웨이퍼 교환동안 투영 렌즈 아래의 갭에서 액침 액체를 유지하는 장치 및 방법
KR101686762B1 (ko) 2003-06-19 2016-12-28 가부시키가이샤 니콘 노광 장치 및 디바이스 제조방법
WO2005022616A1 (ja) * 2003-08-29 2005-03-10 Nikon Corporation 露光装置及びデバイス製造方法
US7589822B2 (en) * 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20070030467A1 (en) * 2004-02-19 2007-02-08 Nikon Corporation Exposure apparatus, exposure method, and device fabricating method
JP4220423B2 (ja) * 2004-03-24 2009-02-04 株式会社東芝 レジストパターン形成方法
US20070201010A1 (en) * 2004-03-25 2007-08-30 Nikon Corporation Exposure Apparatus, Exposure Method, And Device Manufacturing Method
KR101342303B1 (ko) * 2004-06-21 2013-12-16 가부시키가이샤 니콘 노광 장치 및 그 부재의 세정 방법, 노광 장치의 메인터넌스 방법, 메인터넌스 기기, 그리고 디바이스 제조 방법
JP4488006B2 (ja) 2004-10-15 2010-06-23 株式会社ニコン 露光装置及びデバイス製造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG157357A1 (en) 2004-11-01 2009-12-29 Nikon Corp Exposure apparatus and device fabricating method
TWI393170B (zh) * 2004-11-18 2013-04-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method
TW200625026A (en) * 2004-12-06 2006-07-16 Nikon Corp Substrate processing method, method of exposure, exposure device and device manufacturing method
US7528931B2 (en) 2004-12-20 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070258068A1 (en) * 2005-02-17 2007-11-08 Hiroto Horikawa Exposure Apparatus, Exposure Method, and Device Fabricating Method
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
CN100555568C (zh) 2005-04-28 2009-10-28 株式会社尼康 曝光方法及曝光装置、以及元件制造方法
EP1918983A4 (en) * 2005-08-05 2010-03-31 Nikon Corp STAGE EQUIPMENT AND EXPOSURE DEVICE
KR101388345B1 (ko) 2005-09-09 2014-04-22 가부시키가이샤 니콘 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법
US7633073B2 (en) * 2005-11-23 2009-12-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7871933B2 (en) * 2005-12-01 2011-01-18 International Business Machines Corporation Combined stepper and deposition tool
US8953148B2 (en) 2005-12-28 2015-02-10 Nikon Corporation Exposure apparatus and making method thereof
KR101296546B1 (ko) 2005-12-28 2013-08-13 가부시키가이샤 니콘 패턴 형성 방법 및 패턴 형성 장치, 노광 방법 및 노광장치, 그리고 디바이스 제조 방법
WO2007080523A1 (en) * 2006-01-10 2007-07-19 Koninklijke Philips Electronics N.V. Maglev object positioning apparatus and method for positioning an object and maintaining position with high stability
EP2752714B8 (en) 2006-01-19 2015-10-28 Nikon Corporation Exposure apparatus and exposure method
EP2003680B1 (en) 2006-02-21 2013-05-29 Nikon Corporation Exposure apparatus, exposure method and device manufacturing method
WO2007097466A1 (ja) 2006-02-21 2007-08-30 Nikon Corporation 測定装置及び方法、処理装置及び方法、パターン形成装置及び方法、露光装置及び方法、並びにデバイス製造方法
SG178791A1 (en) * 2006-02-21 2012-03-29 Nikon Corp Pattern forming apparatus, mark detecting apparatus, exposure apparatus, pattern forming method, exposure method and device manufacturing method
JP5077770B2 (ja) 2006-03-07 2012-11-21 株式会社ニコン デバイス製造方法、デバイス製造システム及び測定検査装置
US7230676B1 (en) * 2006-03-13 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7310132B2 (en) * 2006-03-17 2007-12-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7760324B2 (en) * 2006-03-20 2010-07-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI454859B (zh) 2006-03-30 2014-10-01 尼康股份有限公司 移動體裝置、曝光裝置與曝光方法以及元件製造方法
WO2007135990A1 (ja) 2006-05-18 2007-11-29 Nikon Corporation 露光方法及び装置、メンテナンス方法、並びにデバイス製造方法
TW200818256A (en) 2006-05-22 2008-04-16 Nikon Corp Exposure method and apparatus, maintenance method, and device manufacturing method
TW200815933A (en) 2006-05-23 2008-04-01 Nikon Corp Maintenance method, exposure method and apparatus, and device manufacturing method
JPWO2007139017A1 (ja) * 2006-05-29 2009-10-08 株式会社ニコン 液体回収部材、基板保持部材、露光装置、及びデバイス製造方法
SG172681A1 (en) 2006-06-09 2011-07-28 Nikon Corp Movable-body apparatus, exposure apparatus, exposure method, and device manufacturing method
CN100456138C (zh) * 2006-06-13 2009-01-28 上海微电子装备有限公司 浸没式光刻机浸液流场维持系统
EP2043134A4 (en) 2006-06-30 2012-01-25 Nikon Corp MAINTENANCE METHOD, EXPOSURE METHOD, AND DEVICE AND DEVICE MANUFACTURING METHOD
KR101523388B1 (ko) * 2006-08-30 2015-05-27 가부시키가이샤 니콘 노광 장치, 디바이스 제조 방법, 클리닝 방법 및 클리닝용 부재
KR101824374B1 (ko) 2006-08-31 2018-01-31 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
TWI590005B (zh) 2006-08-31 2017-07-01 尼康股份有限公司 Exposure method and exposure apparatus, and device manufacturing method
KR101556493B1 (ko) 2006-08-31 2015-10-01 가부시키가이샤 니콘 이동체 구동 시스템 및 이동체 구동 방법, 패턴 형성 장치 및 방법, 노광 장치 및 방법, 디바이스 제조 방법, 그리고 결정 방법
TW201610608A (zh) 2006-09-01 2016-03-16 尼康股份有限公司 移動體驅動方法及移動體驅動系統、圖案形成方法及裝置、曝光方法及裝置、以及元件製造方法
CN102360169B (zh) 2006-09-01 2014-01-22 株式会社尼康 移动体驱动方法及移动体驱动系统、图案形成方法及装置、曝光方法及装置、组件制造方法、以及校正方法
US7872730B2 (en) * 2006-09-15 2011-01-18 Nikon Corporation Immersion exposure apparatus and immersion exposure method, and device manufacturing method
WO2008044612A1 (en) * 2006-09-29 2008-04-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US20080158531A1 (en) * 2006-11-15 2008-07-03 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
JP5055971B2 (ja) 2006-11-16 2012-10-24 株式会社ニコン 表面処理方法及び表面処理装置、露光方法及び露光装置、並びにデバイス製造方法
US7973910B2 (en) * 2006-11-17 2011-07-05 Nikon Corporation Stage apparatus and exposure apparatus
JP5089143B2 (ja) * 2006-11-20 2012-12-05 キヤノン株式会社 液浸露光装置
US20080156356A1 (en) 2006-12-05 2008-07-03 Nikon Corporation Cleaning liquid, cleaning method, liquid generating apparatus, exposure apparatus, and device fabricating method
WO2008146819A1 (ja) * 2007-05-28 2008-12-04 Nikon Corporation 露光装置、デバイス製造方法、洗浄装置、及びクリーニング方法並びに露光方法
US8164736B2 (en) 2007-05-29 2012-04-24 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US7737515B2 (en) * 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of assembly using array of programmable magnets
TWI443472B (zh) 2007-07-13 2014-07-01 尼康股份有限公司 Pattern forming method and apparatus, exposure method and apparatus, and component manufacturing method and element
US9025126B2 (en) 2007-07-31 2015-05-05 Nikon Corporation Exposure apparatus adjusting method, exposure apparatus, and device fabricating method
US8421994B2 (en) 2007-09-27 2013-04-16 Nikon Corporation Exposure apparatus
US8279399B2 (en) 2007-10-22 2012-10-02 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
KR101470671B1 (ko) * 2007-11-07 2014-12-08 가부시키가이샤 니콘 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법
KR20100091885A (ko) 2007-12-11 2010-08-19 가부시키가이샤 니콘 이동체 장치, 노광 장치 및 패턴 형성 장치, 그리고 디바이스 제조 방법
JP5446875B2 (ja) * 2007-12-17 2014-03-19 株式会社ニコン 露光装置、露光方法及びデバイス製造方法
US8964166B2 (en) 2007-12-17 2015-02-24 Nikon Corporation Stage device, exposure apparatus and method of producing device
US20090153824A1 (en) * 2007-12-17 2009-06-18 Kla-Tencor Corporation Multiple chuck scanning stage
US8269945B2 (en) * 2007-12-28 2012-09-18 Nikon Corporation Movable body drive method and apparatus, exposure method and apparatus, pattern formation method and apparatus, and device manufacturing method
US8237916B2 (en) * 2007-12-28 2012-08-07 Nikon Corporation Movable body drive system, pattern formation apparatus, exposure apparatus and exposure method, and device manufacturing method
TWI547769B (zh) 2007-12-28 2016-09-01 尼康股份有限公司 An exposure apparatus, a moving body driving system, a pattern forming apparatus, and an exposure method, and an element manufacturing method
JP5369443B2 (ja) 2008-02-05 2013-12-18 株式会社ニコン ステージ装置、露光装置、露光方法、及びデバイス製造方法
JP5344180B2 (ja) 2008-02-08 2013-11-20 株式会社ニコン 位置計測システム及び位置計測方法、移動体装置、移動体駆動方法、露光装置及び露光方法、パターン形成装置、並びにデバイス製造方法
JP2009218564A (ja) * 2008-02-12 2009-09-24 Canon Inc 露光装置及びデバイス製造方法
US20100039628A1 (en) * 2008-03-19 2010-02-18 Nikon Corporation Cleaning tool, cleaning method, and device fabricating method
JPWO2009125867A1 (ja) 2008-04-11 2011-08-04 株式会社ニコン ステージ装置、露光装置、及びデバイス製造方法
US8654306B2 (en) * 2008-04-14 2014-02-18 Nikon Corporation Exposure apparatus, cleaning method, and device fabricating method
US8786829B2 (en) 2008-05-13 2014-07-22 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8228482B2 (en) 2008-05-13 2012-07-24 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8817236B2 (en) 2008-05-13 2014-08-26 Nikon Corporation Movable body system, movable body drive method, pattern formation apparatus, pattern formation method, exposure apparatus, exposure method, and device manufacturing method
WO2009141720A1 (en) * 2008-05-22 2009-11-26 Micronic Laser Systems Ab Method and apparatus for overlay compensation between subsequently patterned layers on workpiece
JP5097166B2 (ja) * 2008-05-28 2012-12-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び装置の動作方法
TW201003053A (en) * 2008-07-10 2010-01-16 Nikon Corp Deformation measuring apparatus, exposure apparatus, jig for deformation measuring apparatus, position measuring method and device manufacturing method
JPWO2010050240A1 (ja) * 2008-10-31 2012-03-29 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
EP2189849B1 (en) 2008-11-21 2015-12-16 ASML Netherlands B.V. A lithographic apparatus provided with a swap bridge
EP2196857A3 (en) 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9062260B2 (en) 2008-12-10 2015-06-23 Chevron U.S.A. Inc. Removing unstable sulfur compounds from crude oil
US8773635B2 (en) * 2008-12-19 2014-07-08 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8599359B2 (en) 2008-12-19 2013-12-03 Nikon Corporation Exposure apparatus, exposure method, device manufacturing method, and carrier method
US8760629B2 (en) * 2008-12-19 2014-06-24 Nikon Corporation Exposure apparatus including positional measurement system of movable body, exposure method of exposing object including measuring positional information of movable body, and device manufacturing method that includes exposure method of exposing object, including measuring positional information of movable body
US8902402B2 (en) 2008-12-19 2014-12-02 Nikon Corporation Movable body apparatus, exposure apparatus, exposure method, and device manufacturing method
US8896806B2 (en) 2008-12-29 2014-11-25 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US20100196832A1 (en) * 2009-01-30 2010-08-05 Nikon Corporation Exposure apparatus, exposing method, liquid immersion member and device fabricating method
JP5482784B2 (ja) 2009-03-10 2014-05-07 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
US8202671B2 (en) 2009-04-28 2012-06-19 Nikon Corporation Protective apparatus, mask, mask forming apparatus, mask forming method, exposure apparatus, device fabricating method, and foreign matter detecting apparatus
US20100296074A1 (en) * 2009-04-30 2010-11-25 Nikon Corporation Exposure method, and device manufacturing method
TW201115047A (en) * 2009-05-07 2011-05-01 Nikon Corp Vibration control apparatus, vibration control method, exposure apparatus, and device manufacturing method
US8395758B2 (en) 2009-05-15 2013-03-12 Nikon Corporation Exposure apparatus and device manufacturing method
US20100323303A1 (en) * 2009-05-15 2010-12-23 Nikon Corporation Liquid immersion member, exposure apparatus, exposing method, and device fabricating method
US8792084B2 (en) * 2009-05-20 2014-07-29 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8970820B2 (en) * 2009-05-20 2015-03-03 Nikon Corporation Object exchange method, exposure method, carrier system, exposure apparatus, and device manufacturing method
IT1399285B1 (it) * 2009-07-03 2013-04-11 Applied Materials Inc Sistema di lavorazione substrato
WO2011016255A1 (ja) 2009-08-07 2011-02-10 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
WO2011016254A1 (ja) * 2009-08-07 2011-02-10 株式会社ニコン 移動体装置、露光装置及び露光方法、並びにデバイス製造方法
US8493547B2 (en) 2009-08-25 2013-07-23 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US8514395B2 (en) 2009-08-25 2013-08-20 Nikon Corporation Exposure method, exposure apparatus, and device manufacturing method
US20110102761A1 (en) * 2009-09-28 2011-05-05 Nikon Corporation Stage apparatus, exposure apparatus, and device fabricating method
US20110096312A1 (en) * 2009-09-28 2011-04-28 Nikon Corporation Exposure apparatus and device fabricating method
US20110096306A1 (en) * 2009-09-28 2011-04-28 Nikon Corporation Stage apparatus, exposure apparatus, driving method, exposing method, and device fabricating method
US20110096318A1 (en) * 2009-09-28 2011-04-28 Nikon Corporation Exposure apparatus and device fabricating method
US20110199591A1 (en) * 2009-10-14 2011-08-18 Nikon Corporation Exposure apparatus, exposing method, maintenance method and device fabricating method
WO2011055860A1 (en) 2009-11-09 2011-05-12 Nikon Corporation Exposure apparatus, exposure method, exposure apparatus maintenance method, exposure apparatus adjustment method and device manufacturing method
US20110128523A1 (en) * 2009-11-19 2011-06-02 Nikon Corporation Stage apparatus, exposure apparatus, driving method, exposing method, and device fabricating method
US20110123913A1 (en) * 2009-11-19 2011-05-26 Nikon Corporation Exposure apparatus, exposing method, and device fabricating method
WO2011081062A1 (ja) 2009-12-28 2011-07-07 株式会社ニコン 液浸部材、液浸部材の製造方法、露光装置、及びデバイス製造方法
EP2523210A1 (en) 2010-01-08 2012-11-14 Nikon Corporation Liquid-immersion member, exposing device, exposing method, and device manufacturing method
JP2011156678A (ja) * 2010-01-29 2011-08-18 Sony Corp 3次元造形装置、3次元造形物の製造方法及び3次元造形物
KR20120116329A (ko) 2010-02-20 2012-10-22 가부시키가이샤 니콘 광원 최적화 방법, 노광 방법, 디바이스 제조 방법, 프로그램, 노광 장치, 리소그래피 시스템, 광원 평가 방법 및 광원 변조 방법
US20110222031A1 (en) 2010-03-12 2011-09-15 Nikon Corporation Liquid immersion member, exposure apparatus, liquid recovering method, device fabricating method, program, and storage medium
NL2006506A (en) * 2010-04-28 2011-10-31 Asml Netherlands Bv A component of an immersion system, an immersion lithographic apparatus and a device manufacturing method.
US20120013863A1 (en) 2010-07-14 2012-01-19 Nikon Corporation Liquid immersion member, immersion exposure apparatus, liquid recovering method, device fabricating method, program, and storage medium
US20120013864A1 (en) 2010-07-14 2012-01-19 Nikon Corporation Liquid immersion member, immersion exposure apparatus, liquid recovering method, device fabricating method, program, and storage medium
US8937703B2 (en) 2010-07-14 2015-01-20 Nikon Corporation Liquid immersion member, immersion exposure apparatus, liquid recovering method, device fabricating method, program, and storage medium
US20120012191A1 (en) 2010-07-16 2012-01-19 Nikon Corporation Liquid recovery apparatus, exposure apparatus, liquid recovering method, device fabricating method, program, and storage medium
US20120019803A1 (en) 2010-07-23 2012-01-26 Nikon Corporation Cleaning method, liquid immersion member, immersion exposure apparatus, device fabricating method, program, and storage medium
US20120019802A1 (en) 2010-07-23 2012-01-26 Nikon Corporation Cleaning method, immersion exposure apparatus, device fabricating method, program, and storage medium
US20120019804A1 (en) 2010-07-23 2012-01-26 Nikon Corporation Cleaning method, cleaning apparatus, device fabricating method, program, and storage medium
US8418773B2 (en) 2010-09-10 2013-04-16 Jason Cerrano Fire-fighting control system
JP5510299B2 (ja) * 2010-12-13 2014-06-04 ウシオ電機株式会社 露光装置および露光方法
EP2469339B1 (en) * 2010-12-21 2017-08-30 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US20120188521A1 (en) 2010-12-27 2012-07-26 Nikon Corporation Cleaning method, liquid immersion member, immersion exposure apparatus, device fabricating method, program and storage medium
US20120162619A1 (en) 2010-12-27 2012-06-28 Nikon Corporation Liquid immersion member, immersion exposure apparatus, exposing method, device fabricating method, program, and storage medium
US9030057B2 (en) 2011-06-24 2015-05-12 Nikon Corporation Method and apparatus to allow a plurality of stages to operate in close proximity
US20130016329A1 (en) 2011-07-12 2013-01-17 Nikon Corporation Exposure apparatus, exposure method, measurement method, and device manufacturing method
US9329496B2 (en) 2011-07-21 2016-05-03 Nikon Corporation Exposure apparatus, exposure method, method of manufacturing device, program, and storage medium
US9256137B2 (en) 2011-08-25 2016-02-09 Nikon Corporation Exposure apparatus, liquid holding method, and device manufacturing method
US20130050666A1 (en) 2011-08-26 2013-02-28 Nikon Corporation Exposure apparatus, liquid holding method, and device manufacturing method
US8794610B2 (en) * 2011-09-20 2014-08-05 Mitutoyo Corporation Two-dimension precision transfer equipment, three-dimension precision transfer equipment, and coordinate measuring machine
NL2009345A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods.
JP6156147B2 (ja) 2011-11-17 2017-07-05 株式会社ニコン エンコーダ装置、光学装置、露光装置、及びデバイス製造方法
US20130135594A1 (en) 2011-11-25 2013-05-30 Nikon Corporation Liquid immersion member, immersion exposure apparatus, exposure method, device manufacturing method, program, and recording medium
US20130169944A1 (en) 2011-12-28 2013-07-04 Nikon Corporation Exposure apparatus, exposure method, device manufacturing method, program, and recording medium
US9207549B2 (en) 2011-12-29 2015-12-08 Nikon Corporation Exposure apparatus and exposure method, and device manufacturing method with encoder of higher reliability for position measurement
CN103199046B (zh) * 2012-01-05 2015-09-09 沈阳新松机器人自动化股份有限公司 晶圆缺口边缘中心预对准方法
US9268231B2 (en) 2012-04-10 2016-02-23 Nikon Corporation Liquid immersion member, exposure apparatus, exposing method, method for manufacturing device, program, and recording medium
US9323160B2 (en) 2012-04-10 2016-04-26 Nikon Corporation Liquid immersion member, exposure apparatus, exposure method, device fabricating method, program, and recording medium
WO2013153744A1 (ja) * 2012-04-13 2013-10-17 株式会社ニコン 移動体装置、露光装置、及びデバイス製造方法
US9823580B2 (en) 2012-07-20 2017-11-21 Nikon Corporation Liquid immersion member, exposure apparatus, exposing method, method for manufacturing device, program, and recording medium
KR102203305B1 (ko) 2012-10-02 2021-01-14 가부시키가이샤 니콘 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법
US9568828B2 (en) 2012-10-12 2017-02-14 Nikon Corporation Exposure apparatus, exposing method, device manufacturing method, program, and recording medium
US9494870B2 (en) 2012-10-12 2016-11-15 Nikon Corporation Exposure apparatus, exposing method, device manufacturing method, program, and recording medium
US9772564B2 (en) * 2012-11-12 2017-09-26 Nikon Corporation Exposure apparatus and exposure method, and device manufacturing method
WO2014080957A1 (ja) 2012-11-20 2014-05-30 株式会社ニコン 露光装置、移動体装置、及びデバイス製造方法
EP3866184A1 (en) 2012-11-30 2021-08-18 Nikon Corporation Carrier system, exposure apparatus and carry-in method
KR101450713B1 (ko) * 2012-12-05 2014-10-16 세메스 주식회사 기판 처리 장치
JP6074058B2 (ja) 2012-12-20 2017-02-01 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびその装置で使用するためのテーブル
JP6119242B2 (ja) 2012-12-27 2017-04-26 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
US9651873B2 (en) 2012-12-27 2017-05-16 Nikon Corporation Liquid immersion member, exposure apparatus, exposing method, method of manufacturing device, program, and recording medium
US9720331B2 (en) 2012-12-27 2017-08-01 Nikon Corporation Liquid immersion member, exposure apparatus, exposing method, method of manufacturing device, program, and recording medium
US9352073B2 (en) 2013-01-22 2016-05-31 Niko Corporation Functional film
US9057955B2 (en) 2013-01-22 2015-06-16 Nikon Corporation Functional film, liquid immersion member, method of manufacturing liquid immersion member, exposure apparatus, and device manufacturing method
JP5979302B2 (ja) 2013-02-28 2016-08-24 株式会社ニコン 摺動膜、摺動膜が形成された部材、及びその製造方法
JP5344105B1 (ja) 2013-03-08 2013-11-20 ウシオ電機株式会社 光配向用偏光光照射装置及び光配向用偏光光照射方法
JP6178092B2 (ja) * 2013-03-28 2017-08-09 株式会社荏原製作所 ステージ装置及び電子線応用装置
CN104377101B (zh) 2013-08-14 2017-08-08 Fei 公司 用于带电粒子束系统的电路探头
CN105229774B (zh) 2013-10-08 2019-01-11 株式会社尼康 液浸部件、曝光装置及曝光方法、以及器件制造方法
KR101827880B1 (ko) * 2013-10-09 2018-02-09 에이에스엠엘 네델란즈 비.브이. 편광 독립적 간섭계
US20150187540A1 (en) * 2013-12-27 2015-07-02 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
JP6481242B2 (ja) * 2014-10-29 2019-03-13 新シコー科技株式会社 レンズ駆動装置、カメラ装置及び電子機器
CN111158220A (zh) 2015-02-23 2020-05-15 株式会社尼康 测量装置及方法、光刻系统、曝光装置及方法
CN107278279B (zh) * 2015-02-23 2020-07-03 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
KR20230107706A (ko) 2015-02-23 2023-07-17 가부시키가이샤 니콘 계측 장치, 리소그래피 시스템 및 노광 장치, 그리고디바이스 제조 방법
US9927723B2 (en) * 2015-03-24 2018-03-27 Applied Materials, Inc. Apparatus and methods for on-the-fly digital exposure image data modification
EP3291011A4 (en) 2015-03-25 2019-02-27 Nikon Corporation LAYOUT METHOD, BRAND DETECTION METHOD, LIGHT EXPOSURE METHOD, MEASURING APPARATUS, LIGHT EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD
JP6700932B2 (ja) * 2016-04-20 2020-05-27 キヤノン株式会社 検出装置、検出方法、プログラム、リソグラフィ装置、および物品製造方法
JP6353487B2 (ja) * 2016-05-26 2018-07-04 株式会社サーマプレシジョン 投影露光装置及びその投影露光方法
EP3467591A4 (en) * 2016-05-31 2020-02-12 Nikon Corporation MARKING DETECTION DEVICE, MARKING DETECTION METHOD, MEASURING DEVICE, EXPOSURE DEVICE, EXPOSURE METHOD AND DEVICE MANUFACTURING METHOD
JP6929024B2 (ja) * 2016-07-06 2021-09-01 キヤノン株式会社 光学装置、露光装置及び物品の製造方法
KR102566162B1 (ko) * 2016-08-23 2023-08-10 삼성전자주식회사 웨이퍼 검사 장치 및 이를 이용한 웨이퍼 검사 방법
KR102556130B1 (ko) 2016-09-27 2023-07-14 가부시키가이샤 니콘 결정 방법 및 장치, 프로그램, 정보 기록 매체, 노광 장치, 레이아웃 정보 제공 방법, 레이아웃 방법, 마크 검출 방법, 노광 방법, 그리고 디바이스 제조 방법
NL2020344A (en) * 2017-02-03 2018-08-14 Asml Netherlands Bv Exposure apparatus
US11125814B2 (en) * 2017-02-22 2021-09-21 Sintokogio, Ltd. Test system
US10009119B1 (en) * 2017-03-06 2018-06-26 The Boeing Company Bandgap modulation for underwater communications and energy harvesting
TWI818915B (zh) 2017-07-14 2023-10-21 荷蘭商Asml荷蘭公司 度量衡裝置及基板載物台處置器系統
JP6985102B2 (ja) * 2017-10-31 2021-12-22 株式会社ディスコ レーザー加工装置
JP7060995B2 (ja) * 2018-03-30 2022-04-27 キヤノン株式会社 ステージ装置、リソグラフィ装置、および物品の製造方法
JP7212701B2 (ja) * 2018-05-31 2023-01-25 アプライド マテリアルズ インコーポレイテッド デジタルリソグラフィシステムでのマルチ基板処理
JP2020022010A (ja) 2018-07-30 2020-02-06 キヤノン株式会社 清掃装置及び清掃方法
CN110773513B (zh) * 2018-07-30 2022-12-20 佳能株式会社 清洁设备和清洁设备的控制方法
TWI721307B (zh) * 2018-09-21 2021-03-11 禾宬科技有限公司 半導體清洗裝置及方法
US11556066B2 (en) * 2019-02-28 2023-01-17 Asml Netherlands B.V. Stage system and lithographic apparatus
US11094499B1 (en) * 2020-10-04 2021-08-17 Borries Pte. Ltd. Apparatus of charged-particle beam such as electron microscope comprising sliding specimen table within objective lens

Family Cites Families (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US478661A (en) 1892-07-12 Henri tudor
US723963A (en) * 1902-10-01 1903-03-31 Howard H Willson Temporary binder.
GB1242527A (en) 1967-10-20 1971-08-11 Kodak Ltd Optical instruments
US3626560A (en) 1970-06-04 1971-12-14 Cogsdill Tool Prod Sizing and finishing device for external surfaces
US4026653A (en) 1975-05-09 1977-05-31 Bell Telephone Laboratories, Incorporated Proximity printing method
US4341164A (en) 1980-06-13 1982-07-27 Charles H. Ruble Folding camp table
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
US4509852A (en) 1980-10-06 1985-04-09 Werner Tabarelli Apparatus for the photolithographic manufacture of integrated circuit elements
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4465363A (en) 1981-11-27 1984-08-14 Hoechst Aktiengesellschaft Cleaning device for cleaning the peripheral surface of a photoconductive drum in an electrophotographic copier
JPS58202448A (ja) 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
JPS59228356A (ja) 1983-06-09 1984-12-21 Matsushita Electric Ind Co Ltd 空気電池の収納ケ−ス
DD221563A1 (de) 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
US4650983A (en) 1983-11-07 1987-03-17 Nippon Kogaku K. K. Focusing apparatus for projection optical system
DD224448A1 (de) 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6122249A (ja) 1984-07-11 1986-01-30 Tokyo Keiki Co Ltd 超音波探傷器
US4780617A (en) 1984-08-09 1988-10-25 Nippon Kogaku K.K. Method for successive alignment of chip patterns on a substrate
JPS6144429A (ja) 1984-08-09 1986-03-04 Nippon Kogaku Kk <Nikon> 位置合わせ方法、及び位置合せ装置
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
JPS62121417A (ja) 1985-11-22 1987-06-02 Hitachi Ltd 液浸対物レンズ装置
JPS63157419A (ja) 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
JP2940553B2 (ja) 1988-12-21 1999-08-25 株式会社ニコン 露光方法
JP2897355B2 (ja) 1990-07-05 1999-05-31 株式会社ニコン アライメント方法,露光装置,並びに位置検出方法及び装置
US5121256A (en) 1991-03-14 1992-06-09 The Board Of Trustees Of The Leland Stanford Junior University Lithography system employing a solid immersion lens
JPH04305917A (ja) 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305915A (ja) 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5243195A (en) * 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JP3203719B2 (ja) 1991-12-26 2001-08-27 株式会社ニコン 露光装置、その露光装置により製造されるデバイス、露光方法、およびその露光方法を用いたデバイス製造方法
JPH05304072A (ja) 1992-04-08 1993-11-16 Nec Corp 半導体装置の製造方法
US5469963A (en) 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JPH06208058A (ja) 1993-01-13 1994-07-26 Olympus Optical Co Ltd 顕微鏡対物レンズ
US5591958A (en) * 1993-06-14 1997-01-07 Nikon Corporation Scanning exposure method and apparatus
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
JP3747958B2 (ja) 1995-04-07 2006-02-22 株式会社ニコン 反射屈折光学系
JPH09311278A (ja) 1996-05-20 1997-12-02 Nikon Corp 反射屈折光学系
JP3747951B2 (ja) 1994-11-07 2006-02-22 株式会社ニコン 反射屈折光学系
US5636066A (en) 1993-03-12 1997-06-03 Nikon Corporation Optical apparatus
JP3635684B2 (ja) 1994-08-23 2005-04-06 株式会社ニコン 反射屈折縮小投影光学系、反射屈折光学系、並びに投影露光方法及び装置
JP3265503B2 (ja) 1993-06-11 2002-03-11 株式会社ニコン 露光方法及び装置
US5534970A (en) 1993-06-11 1996-07-09 Nikon Corporation Scanning exposure apparatus
EP0907217B1 (en) 1993-06-18 2006-02-15 Hitachi Maxell Ltd. Organic electrolytic solution cell
JP3212199B2 (ja) 1993-10-04 2001-09-25 旭硝子株式会社 平板型陰極線管
JPH07220990A (ja) 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US7365513B1 (en) 1994-04-01 2008-04-29 Nikon Corporation Positioning device having dynamically isolated frame, and lithographic device provided with such a positioning device
US6989647B1 (en) 1994-04-01 2006-01-24 Nikon Corporation Positioning device having dynamically isolated frame, and lithographic device provided with such a positioning device
US5874820A (en) 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
JP3395801B2 (ja) 1994-04-28 2003-04-14 株式会社ニコン 反射屈折投影光学系、走査型投影露光装置、及び走査投影露光方法
JP3555230B2 (ja) 1994-05-18 2004-08-18 株式会社ニコン 投影露光装置
JPH07335748A (ja) 1994-06-07 1995-12-22 Miyazaki Oki Electric Co Ltd 半導体素子の製造方法
US5715064A (en) * 1994-06-17 1998-02-03 International Business Machines Corporation Step and repeat apparatus having enhanced accuracy and increased throughput
KR0124189B1 (ko) * 1994-07-29 1997-11-25 배순훈 다중광학계를 갖춘 광픽업장치
USRE38438E1 (en) 1994-08-23 2004-02-24 Nikon Corporation Catadioptric reduction projection optical system and exposure apparatus having the same
JPH0883753A (ja) 1994-09-13 1996-03-26 Nikon Corp 焦点検出方法
US5623853A (en) 1994-10-19 1997-04-29 Nikon Precision Inc. Precision motion stage with single guide beam and follower stage
JPH08136475A (ja) 1994-11-14 1996-05-31 Kawasaki Steel Corp 板状材の表面観察装置
JP3387075B2 (ja) 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
JPH08171054A (ja) 1994-12-16 1996-07-02 Nikon Corp 反射屈折光学系
US5677758A (en) 1995-02-09 1997-10-14 Mrs Technology, Inc. Lithography System using dual substrate stages
US6008500A (en) 1995-04-04 1999-12-28 Nikon Corporation Exposure apparatus having dynamically isolated reaction frame
DE69604524T2 (de) * 1995-04-25 2000-04-13 Canon Kk Abtastbelichtungsapparat und Belichtungsverfahren unter Verwendung desselben
JPH08316125A (ja) 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
US5751404A (en) * 1995-07-24 1998-05-12 Canon Kabushiki Kaisha Exposure apparatus and method wherein alignment is carried out by comparing marks which are incident on both reticle stage and wafer stage reference plates
JP3526042B2 (ja) 1995-08-09 2004-05-10 株式会社ニコン 投影露光装置
JPH0954443A (ja) * 1995-08-18 1997-02-25 Nikon Corp 露光方法及び装置
JPH09232213A (ja) 1996-02-26 1997-09-05 Nikon Corp 投影露光装置
US5964441A (en) 1996-04-01 1999-10-12 Lear Corporation Linkage assembly with extruded hole member
JPH103039A (ja) 1996-06-14 1998-01-06 Nikon Corp 反射屈折光学系
JPH1020195A (ja) 1996-06-28 1998-01-23 Nikon Corp 反射屈折光学系
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
CN1244018C (zh) 1996-11-28 2006-03-01 株式会社尼康 曝光方法和曝光装置
JP4029182B2 (ja) 1996-11-28 2008-01-09 株式会社ニコン 露光方法
JP4029181B2 (ja) 1996-11-28 2008-01-09 株式会社ニコン 投影露光装置
JP4029183B2 (ja) 1996-11-28 2008-01-09 株式会社ニコン 投影露光装置及び投影露光方法
JP4029180B2 (ja) * 1996-11-28 2008-01-09 株式会社ニコン 投影露光装置及び投影露光方法
US5815246A (en) 1996-12-24 1998-09-29 U.S. Philips Corporation Two-dimensionally balanced positioning device, and lithographic device provided with such a positioning device
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
JPH10209039A (ja) 1997-01-27 1998-08-07 Nikon Corp 投影露光方法及び投影露光装置
JP3612920B2 (ja) 1997-02-14 2005-01-26 ソニー株式会社 光学記録媒体の原盤作製用露光装置
US6262796B1 (en) * 1997-03-10 2001-07-17 Asm Lithography B.V. Positioning device having two object holders
JPH10255319A (ja) 1997-03-12 1998-09-25 Hitachi Maxell Ltd 原盤露光装置及び方法
JP3747566B2 (ja) 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
US5900354A (en) 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
EP1028456A4 (en) 1997-09-19 2003-03-05 Nikon Corp PLATINUM, SCANNING ALIGNMENT DEVICE, AND SCANNING EXPOSURE METHOD, AND DEVICE MANUFACTURED THEREBY
JP2000106340A (ja) 1997-09-26 2000-04-11 Nikon Corp 露光装置及び走査露光方法、並びにステージ装置
JP4210871B2 (ja) 1997-10-31 2009-01-21 株式会社ニコン 露光装置
WO1999027568A1 (fr) 1997-11-21 1999-06-03 Nikon Corporation Graveur de motifs a projection et procede de sensibilisation a projection
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
US6897963B1 (en) * 1997-12-18 2005-05-24 Nikon Corporation Stage device and exposure apparatus
JP4264676B2 (ja) 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
KR20010033118A (ko) * 1997-12-18 2001-04-25 오노 시게오 스테이지 장치 및 노광장치
US6208407B1 (en) 1997-12-22 2001-03-27 Asm Lithography B.V. Method and apparatus for repetitively projecting a mask pattern on a substrate, using a time-saving height measurement
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
AU5650399A (en) 1998-09-17 2000-04-10 Nikon Corporation Method of adjusting optical projection system
IL143467A (en) * 1998-12-02 2005-05-17 Newport Corp Specimen holding robotic arm and effector
JP2000187338A (ja) * 1998-12-24 2000-07-04 Canon Inc 露光装置およびデバイス製造方法
JP2000216082A (ja) * 1999-01-27 2000-08-04 Nikon Corp ステ―ジ装置および露光装置
AU2325900A (en) 1999-03-12 2000-10-04 Nikon Corporation Exposure device, exposure method, and device manufacturing method
JP4365934B2 (ja) 1999-05-10 2009-11-18 キヤノン株式会社 露光装置、半導体製造装置およびデバイス製造方法
JP4504479B2 (ja) 1999-09-21 2010-07-14 オリンパス株式会社 顕微鏡用液浸対物レンズ
JP2001118773A (ja) 1999-10-18 2001-04-27 Nikon Corp ステージ装置及び露光装置
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
TW546551B (en) 1999-12-21 2003-08-11 Asml Netherlands Bv Balanced positioning system for use in lithographic apparatus
EP1111471B1 (en) 1999-12-21 2005-11-23 ASML Netherlands B.V. Lithographic projection apparatus with collision preventing device
TWI223734B (en) 1999-12-21 2004-11-11 Asml Netherlands Bv Crash prevention in positioning apparatus for use in lithographic projection apparatus
US6995930B2 (en) * 1999-12-29 2006-02-07 Carl Zeiss Smt Ag Catadioptric projection objective with geometric beam splitting
US7187503B2 (en) 1999-12-29 2007-03-06 Carl Zeiss Smt Ag Refractive projection objective for immersion lithography
JP2001267239A (ja) 2000-01-14 2001-09-28 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
SG107560A1 (en) 2000-02-25 2004-12-29 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
JP2001241439A (ja) 2000-02-25 2001-09-07 Canon Inc 静圧軸受を備えた移動装置
KR100945707B1 (ko) * 2000-02-28 2010-03-05 가부시키가이샤 니콘 스테이지장치와 홀더, 및 주사형 노광장치 그리고 노광장치
JP2001244177A (ja) 2000-02-28 2001-09-07 Nikon Corp ステージ装置とホルダ、および走査型露光装置並びに露光装置
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
US20020041377A1 (en) * 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP4503906B2 (ja) 2000-05-03 2010-07-14 エーエスエムエル ホールディング エヌ.ブイ. パージガスを用いた非接触型シール
TW591653B (en) * 2000-08-08 2004-06-11 Koninkl Philips Electronics Nv Method of manufacturing an optically scannable information carrier
JP4405071B2 (ja) 2000-10-23 2010-01-27 パナソニック株式会社 送り装置及びそれを具備する光ディスク原盤記録装置
KR100866818B1 (ko) * 2000-12-11 2008-11-04 가부시키가이샤 니콘 투영광학계 및 이 투영광학계를 구비한 노광장치
JP2002305140A (ja) 2001-04-06 2002-10-18 Nikon Corp 露光装置及び基板処理システム
WO2002091078A1 (en) 2001-05-07 2002-11-14 Massachusetts Institute Of Technology Methods and apparatus employing an index matching medium
JP2002339853A (ja) * 2001-05-16 2002-11-27 Nissan Motor Co Ltd 充電ステーション
KR100423783B1 (ko) * 2001-06-13 2004-03-22 제일모직주식회사 인조 대리석의 제조 공정
US6788385B2 (en) 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
WO2003010802A1 (fr) * 2001-07-26 2003-02-06 Nikon Corporation Appareil a etage, systeme et procede d'exposition et procede de production de dispositif
US6680774B1 (en) * 2001-10-09 2004-01-20 Ultratech Stepper, Inc. Method and apparatus for mechanically masking a workpiece
US6665054B2 (en) 2001-10-22 2003-12-16 Nikon Corporation Two stage method
US7134668B2 (en) 2001-10-24 2006-11-14 Ebara Corporation Differential pumping seal apparatus
JP2003249443A (ja) * 2001-12-21 2003-09-05 Nikon Corp ステージ装置、ステージ位置管理方法、露光方法及び露光装置、並びにデバイス製造方法
KR20040086313A (ko) * 2002-01-29 2004-10-08 가부시키가이샤 니콘 노광장치 및 노광방법
US20050003048A1 (en) * 2002-02-11 2005-01-06 Edizone, Lc Electrolyte-containing orally soluble films
DE10229249A1 (de) 2002-03-01 2003-09-04 Zeiss Carl Semiconductor Mfg Refraktives Projektionsobjektiv mit einer Taille
US7190527B2 (en) 2002-03-01 2007-03-13 Carl Zeiss Smt Ag Refractive projection objective
US7154676B2 (en) 2002-03-01 2006-12-26 Carl Zeiss Smt A.G. Very-high aperture projection objective
DE10210899A1 (de) 2002-03-08 2003-09-18 Zeiss Carl Smt Ag Refraktives Projektionsobjektiv für Immersions-Lithographie
DE10229818A1 (de) 2002-06-28 2004-01-15 Carl Zeiss Smt Ag Verfahren zur Fokusdetektion und Abbildungssystem mit Fokusdetektionssystem
US7092069B2 (en) * 2002-03-08 2006-08-15 Carl Zeiss Smt Ag Projection exposure method and projection exposure system
TW200305927A (en) * 2002-03-22 2003-11-01 Nippon Kogaku Kk Exposure apparatus, exposure method and manufacturing method of device
KR101013347B1 (ko) * 2002-04-09 2011-02-10 가부시키가이샤 니콘 노광방법, 노광장치, 및 디바이스 제조방법
KR20040104691A (ko) 2002-05-03 2004-12-10 칼 짜이스 에스엠테 아게 높은 개구를 갖는 투영 대물렌즈
JP4360064B2 (ja) * 2002-06-10 2009-11-11 株式会社ニコン ステージ装置および露光装置
CN100462844C (zh) 2002-08-23 2009-02-18 株式会社尼康 投影光学系统、微影方法、曝光装置及使用此装置的方法
TW559895B (en) * 2002-09-27 2003-11-01 Taiwan Semiconductor Mfg Exposure system and exposure method thereof
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US6988326B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US6788477B2 (en) * 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
EP1420299B1 (en) 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
US7372541B2 (en) 2002-11-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN101349876B (zh) * 2002-11-12 2010-12-01 Asml荷兰有限公司 光刻装置和器件制造方法
CN100568101C (zh) 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
US7110081B2 (en) 2002-11-12 2006-09-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG121822A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE60335595D1 (de) * 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
EP1420300B1 (en) 2002-11-12 2015-07-29 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG131766A1 (en) * 2002-11-18 2007-05-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE10253679A1 (de) * 2002-11-18 2004-06-03 Infineon Technologies Ag Optische Einrichtung zur Verwendung bei einem Lithographie-Verfahren, insbesondere zur Herstellung eines Halbleiter-Bauelements, sowie optisches Lithographieverfahren
DE10258718A1 (de) * 2002-12-09 2004-06-24 Carl Zeiss Smt Ag Projektionsobjektiv, insbesondere für die Mikrolithographie, sowie Verfahren zur Abstimmung eines Projektionsobjektives
JP4645027B2 (ja) * 2002-12-10 2011-03-09 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
JP4352874B2 (ja) 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
JP4232449B2 (ja) 2002-12-10 2009-03-04 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
CN101424883B (zh) 2002-12-10 2013-05-15 株式会社尼康 曝光设备和器件制造法
US6992750B2 (en) * 2002-12-10 2006-01-31 Canon Kabushiki Kaisha Exposure apparatus and method
JP4701606B2 (ja) * 2002-12-10 2011-06-15 株式会社ニコン 露光方法及び露光装置、デバイス製造方法
DE10257766A1 (de) 2002-12-10 2004-07-15 Carl Zeiss Smt Ag Verfahren zur Einstellung einer gewünschten optischen Eigenschaft eines Projektionsobjektivs sowie mikrolithografische Projektionsbelichtungsanlage
CN100370533C (zh) 2002-12-13 2008-02-20 皇家飞利浦电子股份有限公司 用于照射层的方法和用于将辐射导向层的装置
JP4364806B2 (ja) 2002-12-19 2009-11-18 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 層上にスポットを照射する方法及び装置
US7010958B2 (en) * 2002-12-19 2006-03-14 Asml Holding N.V. High-resolution gas gauge proximity sensor
CN1316482C (zh) 2002-12-19 2007-05-16 皇家飞利浦电子股份有限公司 照射层上斑点的方法和装置
US6781670B2 (en) * 2002-12-30 2004-08-24 Intel Corporation Immersion lithography
TWI247339B (en) 2003-02-21 2006-01-11 Asml Holding Nv Lithographic printing with polarized light
JP4604452B2 (ja) * 2003-02-26 2011-01-05 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
US7206059B2 (en) 2003-02-27 2007-04-17 Asml Netherlands B.V. Stationary and dynamic radial transverse electric polarizer for high numerical aperture systems
US6943941B2 (en) * 2003-02-27 2005-09-13 Asml Netherlands B.V. Stationary and dynamic radial transverse electric polarizer for high numerical aperture systems
US7029832B2 (en) * 2003-03-11 2006-04-18 Samsung Electronics Co., Ltd. Immersion lithography methods using carbon dioxide
US20050164522A1 (en) 2003-03-24 2005-07-28 Kunz Roderick R. Optical fluids, and systems and methods of making and using the same
EP1612850B1 (en) 2003-04-07 2009-03-25 Nikon Corporation Exposure apparatus and method for manufacturing a device
KR20110104084A (ko) 2003-04-09 2011-09-21 가부시키가이샤 니콘 액침 리소그래피 유체 제어 시스템
JP4656057B2 (ja) 2003-04-10 2011-03-23 株式会社ニコン 液浸リソグラフィ装置用電気浸透素子
EP1611486B1 (en) 2003-04-10 2016-03-16 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
KR101364889B1 (ko) 2003-04-10 2014-02-19 가부시키가이샤 니콘 액침 리소그래피 장치용 진공 배출을 포함하는 환경 시스템
JP4488005B2 (ja) 2003-04-10 2010-06-23 株式会社ニコン 液浸リソグラフィ装置用の液体を捕集するための流出通路
SG10201803122UA (en) 2003-04-11 2018-06-28 Nikon Corp Immersion lithography apparatus and device manufacturing method
KR101861493B1 (ko) 2003-04-11 2018-05-28 가부시키가이샤 니콘 액침 리소그래피 머신에서 웨이퍼 교환동안 투영 렌즈 아래의 갭에서 액침 액체를 유지하는 장치 및 방법
JP4582089B2 (ja) 2003-04-11 2010-11-17 株式会社ニコン 液浸リソグラフィ用の液体噴射回収システム
WO2004095135A2 (en) 2003-04-17 2004-11-04 Nikon Corporation Optical arrangement of autofocus elements for use with immersion lithography
JP4146755B2 (ja) 2003-05-09 2008-09-10 松下電器産業株式会社 パターン形成方法
JP4025683B2 (ja) 2003-05-09 2007-12-26 松下電器産業株式会社 パターン形成方法及び露光装置
TWI295414B (en) 2003-05-13 2008-04-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2004102646A1 (ja) * 2003-05-15 2004-11-25 Nikon Corporation 露光装置及びデバイス製造方法
TWI474380B (zh) 2003-05-23 2015-02-21 尼康股份有限公司 A method of manufacturing an exposure apparatus and an element
EP1480065A3 (en) 2003-05-23 2006-05-10 Canon Kabushiki Kaisha Projection optical system, exposure apparatus, and device manufacturing method
JP2004349645A (ja) 2003-05-26 2004-12-09 Sony Corp 液浸差動排液静圧浮上パッド、原盤露光装置および液侵差動排液による露光方法
TWI442694B (zh) * 2003-05-30 2014-06-21 Asml Netherlands Bv 微影裝置及元件製造方法
US7213963B2 (en) 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2261741A3 (en) * 2003-06-11 2011-05-25 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4084710B2 (ja) 2003-06-12 2008-04-30 松下電器産業株式会社 パターン形成方法
JP4054285B2 (ja) 2003-06-12 2008-02-27 松下電器産業株式会社 パターン形成方法
KR101686762B1 (ko) * 2003-06-19 2016-12-28 가부시키가이샤 니콘 노광 장치 및 디바이스 제조방법
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
JP4084712B2 (ja) 2003-06-23 2008-04-30 松下電器産業株式会社 パターン形成方法
JP4029064B2 (ja) 2003-06-23 2008-01-09 松下電器産業株式会社 パターン形成方法
JP2005019616A (ja) * 2003-06-25 2005-01-20 Canon Inc 液浸式露光装置
JP4343597B2 (ja) * 2003-06-25 2009-10-14 キヤノン株式会社 露光装置及びデバイス製造方法
US6809794B1 (en) 2003-06-27 2004-10-26 Asml Holding N.V. Immersion photolithography system and method using inverted wafer-projection optics interface
DE60308161T2 (de) * 2003-06-27 2007-08-09 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
JP3862678B2 (ja) 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
EP1498778A1 (en) * 2003-06-27 2005-01-19 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1494074A1 (en) * 2003-06-30 2005-01-05 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007527615A (ja) 2003-07-01 2007-09-27 株式会社ニコン 同位体特定流体の光学素子としての使用方法
WO2005010611A2 (en) 2003-07-08 2005-02-03 Nikon Corporation Wafer table for immersion lithography
US7738074B2 (en) * 2003-07-16 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7384149B2 (en) 2003-07-21 2008-06-10 Asml Netherlands B.V. Lithographic projection apparatus, gas purging method and device manufacturing method and purge gas supply system
EP1500982A1 (en) * 2003-07-24 2005-01-26 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7006209B2 (en) 2003-07-25 2006-02-28 Advanced Micro Devices, Inc. Method and apparatus for monitoring and controlling imaging in immersion lithography systems
US7309345B2 (en) * 2003-07-25 2007-12-18 Boston Scientific-Scimed, Inc. Method and system for delivering an implant utilizing a lumen reducing member
JP4492239B2 (ja) 2003-07-28 2010-06-30 株式会社ニコン 露光装置及びデバイス製造方法、並びに露光装置の制御方法
US7175968B2 (en) * 2003-07-28 2007-02-13 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US7326522B2 (en) * 2004-02-11 2008-02-05 Asml Netherlands B.V. Device manufacturing method and a substrate
EP1503244A1 (en) * 2003-07-28 2005-02-02 ASML Netherlands B.V. Lithographic projection apparatus and device manufacturing method
KR101343720B1 (ko) 2003-07-28 2013-12-20 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법, 그리고 노광 장치의제어 방법
US7779781B2 (en) * 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005057294A (ja) * 2003-08-07 2005-03-03 Asml Netherlands Bv インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
US7700267B2 (en) * 2003-08-11 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion fluid for immersion lithography, and method of performing immersion lithography
US7579135B2 (en) * 2003-08-11 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography apparatus for manufacture of integrated circuits
US7061578B2 (en) 2003-08-11 2006-06-13 Advanced Micro Devices, Inc. Method and apparatus for monitoring and controlling imaging in immersion lithography systems
US7085075B2 (en) 2003-08-12 2006-08-01 Carl Zeiss Smt Ag Projection objectives including a plurality of mirrors with lenses ahead of mirror M3
US6844206B1 (en) 2003-08-21 2005-01-18 Advanced Micro Devices, Llp Refractive index system monitor and control for immersion lithography
US6954256B2 (en) * 2003-08-29 2005-10-11 Asml Netherlands B.V. Gradient immersion lithography
TWI263859B (en) 2003-08-29 2006-10-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7070915B2 (en) * 2003-08-29 2006-07-04 Tokyo Electron Limited Method and system for drying a substrate
TWI245163B (en) * 2003-08-29 2005-12-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7014966B2 (en) * 2003-09-02 2006-03-21 Advanced Micro Devices, Inc. Method and apparatus for elimination of bubbles in immersion medium in immersion lithography systems
JP4288426B2 (ja) 2003-09-03 2009-07-01 株式会社ニコン 液浸リソグラフィのための流体の供給装置及び方法
JP4378136B2 (ja) 2003-09-04 2009-12-02 キヤノン株式会社 露光装置及びデバイス製造方法
JP3870182B2 (ja) * 2003-09-09 2007-01-17 キヤノン株式会社 露光装置及びデバイス製造方法
US6961186B2 (en) * 2003-09-26 2005-11-01 Takumi Technology Corp. Contact printing using a magnified mask image
DE60302897T2 (de) * 2003-09-29 2006-08-03 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
EP1519230A1 (en) * 2003-09-29 2005-03-30 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7158211B2 (en) 2003-09-29 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7369217B2 (en) * 2003-10-03 2008-05-06 Micronic Laser Systems Ab Method and device for immersion lithography
JP2005136374A (ja) * 2003-10-06 2005-05-26 Matsushita Electric Ind Co Ltd 半導体製造装置及びそれを用いたパターン形成方法
EP1524558A1 (en) * 2003-10-15 2005-04-20 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1524557A1 (en) * 2003-10-15 2005-04-20 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7678527B2 (en) * 2003-10-16 2010-03-16 Intel Corporation Methods and compositions for providing photoresist with improved properties for contacting liquids
US7352433B2 (en) * 2003-10-28 2008-04-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7411653B2 (en) 2003-10-28 2008-08-12 Asml Netherlands B.V. Lithographic apparatus
JP2005159322A (ja) * 2003-10-31 2005-06-16 Nikon Corp 定盤、ステージ装置及び露光装置並びに露光方法
WO2005050324A2 (en) 2003-11-05 2005-06-02 Dsm Ip Assets B.V. A method and apparatus for producing microchips
US7924397B2 (en) * 2003-11-06 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-corrosion layer on objective lens for liquid immersion lithography applications
JP2005150290A (ja) 2003-11-13 2005-06-09 Canon Inc 露光装置およびデバイスの製造方法
EP1531362A3 (en) * 2003-11-13 2007-07-25 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus and pattern formation method
JP4295712B2 (ja) * 2003-11-14 2009-07-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び装置製造方法
US7545481B2 (en) 2003-11-24 2009-06-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8854602B2 (en) 2003-11-24 2014-10-07 Asml Netherlands B.V. Holding device for an optical element in an objective
DE10355301B3 (de) * 2003-11-27 2005-06-23 Infineon Technologies Ag Verfahren zur Abbildung einer Struktur auf einen Halbleiter-Wafer mittels Immersionslithographie
US7125652B2 (en) * 2003-12-03 2006-10-24 Advanced Micro Devices, Inc. Immersion lithographic process using a conforming immersion medium
JP2005175016A (ja) * 2003-12-08 2005-06-30 Canon Inc 基板保持装置およびそれを用いた露光装置ならびにデバイス製造方法
JP2005175034A (ja) * 2003-12-09 2005-06-30 Canon Inc 露光装置
WO2005106589A1 (en) 2004-05-04 2005-11-10 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus and immersion liquid therefore
EP1700163A1 (en) 2003-12-15 2006-09-13 Carl Zeiss SMT AG Objective as a microlithography projection objective with at least one liquid lens
EP1697798A2 (en) 2003-12-15 2006-09-06 Carl Zeiss SMT AG Projection objective having a high aperture and a planar end surface
JP4308638B2 (ja) * 2003-12-17 2009-08-05 パナソニック株式会社 パターン形成方法
JP2005183656A (ja) * 2003-12-19 2005-07-07 Canon Inc 露光装置
US7460206B2 (en) 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US20050185269A1 (en) * 2003-12-19 2005-08-25 Carl Zeiss Smt Ag Catadioptric projection objective with geometric beam splitting
JP4323946B2 (ja) 2003-12-19 2009-09-02 キヤノン株式会社 露光装置
WO2005059645A2 (en) 2003-12-19 2005-06-30 Carl Zeiss Smt Ag Microlithography projection objective with crystal elements
US7394521B2 (en) * 2003-12-23 2008-07-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7589818B2 (en) * 2003-12-23 2009-09-15 Asml Netherlands B.V. Lithographic apparatus, alignment apparatus, device manufacturing method, and a method of converting an apparatus
DE10360788A1 (de) 2003-12-23 2005-07-28 Marconi Communications Gmbh Optisches Kommunikationsnetz und Komponente dafür
US7119884B2 (en) 2003-12-24 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050147920A1 (en) * 2003-12-30 2005-07-07 Chia-Hui Lin Method and system for immersion lithography
US7088422B2 (en) * 2003-12-31 2006-08-08 International Business Machines Corporation Moving lens for immersion optical lithography
JP4371822B2 (ja) * 2004-01-06 2009-11-25 キヤノン株式会社 露光装置
JP4429023B2 (ja) * 2004-01-07 2010-03-10 キヤノン株式会社 露光装置及びデバイス製造方法
US20050153424A1 (en) * 2004-01-08 2005-07-14 Derek Coon Fluid barrier with transparent areas for immersion lithography
KR101309242B1 (ko) 2004-01-14 2013-09-16 칼 짜이스 에스엠티 게엠베하 반사굴절식 투영 대물렌즈
KR101233879B1 (ko) 2004-01-16 2013-02-15 칼 짜이스 에스엠티 게엠베하 편광변조 광학소자
WO2005069078A1 (en) 2004-01-19 2005-07-28 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus with immersion projection lens
CN1938646B (zh) 2004-01-20 2010-12-15 卡尔蔡司Smt股份公司 曝光装置和用于投影透镜的测量装置
US7026259B2 (en) * 2004-01-21 2006-04-11 International Business Machines Corporation Liquid-filled balloons for immersion lithography
US7391501B2 (en) * 2004-01-22 2008-06-24 Intel Corporation Immersion liquids with siloxane polymer for immersion lithography
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US8054947B2 (en) * 2004-02-02 2011-11-08 Eicon Networks Corporation Apparatus and method for multiplexing communication signals
KR101377815B1 (ko) 2004-02-03 2014-03-26 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
EP1723467A2 (en) 2004-02-03 2006-11-22 Rochester Institute of Technology Method of photolithography using a fluid and a system thereof
US7050146B2 (en) * 2004-02-09 2006-05-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005076084A1 (en) 2004-02-09 2005-08-18 Carl Zeiss Smt Ag Projection objective for a microlithographic projection exposure apparatus
EP1714192A1 (en) 2004-02-13 2006-10-25 Carl Zeiss SMT AG Projection objective for a microlithographic projection exposure apparatus
EP1721201A1 (en) 2004-02-18 2006-11-15 Corning Incorporated Catadioptric imaging system for high numerical aperture imaging with deep ultraviolet light
JP2005236087A (ja) 2004-02-20 2005-09-02 Nikon Corp 露光装置
JP2005259789A (ja) 2004-03-09 2005-09-22 Nikon Corp 検知システム及び露光装置、デバイス製造方法
US20050205108A1 (en) * 2004-03-16 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for immersion lithography lens cleaning
JP2005268700A (ja) 2004-03-22 2005-09-29 Nikon Corp ステージ装置及び露光装置
US7027125B2 (en) * 2004-03-25 2006-04-11 International Business Machines Corporation System and apparatus for photolithography
JP2005285881A (ja) * 2004-03-29 2005-10-13 Nikon Corp ステージ装置及び露光装置
US7084960B2 (en) * 2004-03-29 2006-08-01 Intel Corporation Lithography using controlled polarization
US7034917B2 (en) 2004-04-01 2006-04-25 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby
US7227619B2 (en) 2004-04-01 2007-06-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7295283B2 (en) 2004-04-02 2007-11-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7712905B2 (en) 2004-04-08 2010-05-11 Carl Zeiss Smt Ag Imaging system with mirror group
US7898642B2 (en) 2004-04-14 2011-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7271878B2 (en) 2004-04-22 2007-09-18 International Business Machines Corporation Wafer cell for immersion lithography
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7379159B2 (en) 2004-05-03 2008-05-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8054448B2 (en) 2004-05-04 2011-11-08 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US7091502B2 (en) 2004-05-12 2006-08-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Apparatus and method for immersion lithography
US8107162B2 (en) 2004-05-17 2012-01-31 Carl Zeiss Smt Gmbh Catadioptric projection objective with intermediate images
US7616383B2 (en) 2004-05-18 2009-11-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7486381B2 (en) 2004-05-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8605257B2 (en) 2004-06-04 2013-12-10 Carl Zeiss Smt Gmbh Projection system with compensation of intensity variations and compensation element therefor
KR101257960B1 (ko) 2004-06-04 2013-04-24 칼 짜이스 에스엠테 게엠베하 광학적 결상 시스템의 결상 품질을 측정하기 위한 시스템
US7057702B2 (en) 2004-06-23 2006-06-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7463330B2 (en) 2004-07-07 2008-12-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3919782B2 (ja) * 2004-10-08 2007-05-30 キヤノン株式会社 露光装置及びデバイス製造方法
JP4488006B2 (ja) 2004-10-15 2010-06-23 株式会社ニコン 露光装置及びデバイス製造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7700365B2 (en) * 2004-10-29 2010-04-20 Mayo Foundation For Medical Education And Research Vitamin D deficiencies
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403261B2 (en) * 2004-12-15 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004061462A1 (de) * 2004-12-17 2006-07-06 Delphi Technologies, Inc., Troy Verfahren und Vorrichtung zur Motorsteuerung bei einem Kraftfahrzeug
US7528931B2 (en) 2004-12-20 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG124351A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7161659B2 (en) 2005-04-08 2007-01-09 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
TW200815933A (en) 2006-05-23 2008-04-01 Nikon Corp Maintenance method, exposure method and apparatus, and device manufacturing method
JP4442904B2 (ja) * 2006-07-21 2010-03-31 キヤノン株式会社 露光装置及びデバイス製造方法
JP5304072B2 (ja) 2007-07-18 2013-10-02 ヤマハ株式会社 力覚制御装置、鍵盤楽器、力覚制御方法およびプログラム
TWI452546B (zh) * 2012-12-28 2014-09-11 Univ Chienkuo Technology Hybrid large - scale collapse model

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI556014B (zh) * 2009-12-15 2016-11-01 卡爾蔡司Smt有限公司 用於極紫外線波長範圍的反射鏡、此反射鏡的基底、包含此反射鏡或基底的微影投影物鏡以及包含此投影物鏡的微影投影曝光裝置

Also Published As

Publication number Publication date
US20090296067A1 (en) 2009-12-03
TWI390358B (zh) 2013-03-21
IL226840A (en) 2015-10-29
KR20110036122A (ko) 2011-04-06
US20070211235A1 (en) 2007-09-13
JP5935929B2 (ja) 2016-06-15
KR101824373B1 (ko) 2018-01-31
JP2010098333A (ja) 2010-04-30
KR20140002077A (ko) 2014-01-07
HK1151144A1 (zh) 2012-01-20
TWI499870B (zh) 2015-09-11
US20150192865A1 (en) 2015-07-09
KR101698290B1 (ko) 2017-01-19
HK1151630A1 (zh) 2012-02-03
KR101187615B1 (ko) 2012-10-08
CN101685263A (zh) 2010-03-31
TWI498680B (zh) 2015-09-01
EP2267759A3 (en) 2012-10-31
EP2287893A3 (en) 2012-10-31
KR101288139B1 (ko) 2013-07-19
SG152294A1 (en) 2009-05-29
EP2998982A1 (en) 2016-03-23
KR101476015B1 (ko) 2014-12-23
US8724079B2 (en) 2014-05-13
JP5761430B2 (ja) 2015-08-12
US9684248B2 (en) 2017-06-20
US8547528B2 (en) 2013-10-01
WO2005074014A1 (ja) 2005-08-11
US9632431B2 (en) 2017-04-25
TWI437376B (zh) 2014-05-11
KR101673826B1 (ko) 2016-11-07
US20090296069A1 (en) 2009-12-03
IL226839A (en) 2015-10-29
EP2960927A3 (en) 2016-03-16
EP2980834A1 (en) 2016-02-03
EP3139401A1 (en) 2017-03-08
JP4952802B2 (ja) 2012-06-13
US20110058149A1 (en) 2011-03-10
KR101187618B1 (ko) 2012-10-08
US9665016B2 (en) 2017-05-30
HK1093606A1 (en) 2007-03-02
TW201535072A (zh) 2015-09-16
JP6222301B2 (ja) 2017-11-01
KR20170007548A (ko) 2017-01-18
TW201716884A (zh) 2017-05-16
EP2960927B1 (en) 2016-11-16
EP2998982B1 (en) 2017-08-09
US20070247607A1 (en) 2007-10-25
TW200944961A (en) 2009-11-01
JP2014212330A (ja) 2014-11-13
SG185342A1 (en) 2012-11-29
JP4910394B2 (ja) 2012-04-04
IL226838A0 (en) 2013-07-31
KR20120099792A (ko) 2012-09-11
KR20110025884A (ko) 2011-03-11
US20070127006A1 (en) 2007-06-07
KR20060120693A (ko) 2006-11-27
HK1217249A1 (zh) 2016-12-30
EP1713113A4 (en) 2007-05-02
US10139737B2 (en) 2018-11-27
IL177221A (en) 2013-07-31
TW201418906A (zh) 2014-05-16
US20120212713A1 (en) 2012-08-23
JP2011211222A (ja) 2011-10-20
JP5287897B2 (ja) 2013-09-11
JP2013145918A (ja) 2013-07-25
TWI436170B (zh) 2014-05-01
EP2284866A2 (en) 2011-02-16
KR101191061B1 (ko) 2012-10-15
JPWO2005074014A1 (ja) 2007-09-13
KR20110038140A (ko) 2011-04-13
US20110051104A1 (en) 2011-03-03
EP2287893B1 (en) 2016-04-20
KR20120099508A (ko) 2012-09-10
TW200944962A (en) 2009-11-01
JP2012142604A (ja) 2012-07-26
HK1219172A1 (zh) 2017-03-24
IL226838A (en) 2015-09-24
KR20180011877A (ko) 2018-02-02
US20110025998A1 (en) 2011-02-03
US20150378267A1 (en) 2015-12-31
US7589822B2 (en) 2009-09-15
TWI443475B (zh) 2014-07-01
JP4952803B2 (ja) 2012-06-13
JP2010098332A (ja) 2010-04-30
US8045136B2 (en) 2011-10-25
US20190086815A1 (en) 2019-03-21
KR101276512B1 (ko) 2013-06-24
KR101590742B1 (ko) 2016-02-01
JP5344061B2 (ja) 2013-11-20
US10007196B2 (en) 2018-06-26
TWI596440B (zh) 2017-08-21
EP2267759B1 (en) 2014-11-26
TW200537254A (en) 2005-11-16
JP2015135967A (ja) 2015-07-27
KR20150120530A (ko) 2015-10-27
US20090231564A1 (en) 2009-09-17
US8711328B2 (en) 2014-04-29
EP2980834B1 (en) 2016-10-12
KR101235523B1 (ko) 2013-02-20
HK1139469A1 (en) 2010-09-17
TW200944960A (en) 2009-11-01
KR101276423B1 (ko) 2013-06-19
EP2287894A2 (en) 2011-02-23
US8736808B2 (en) 2014-05-27
CN101685263B (zh) 2013-04-17
US20110051105A1 (en) 2011-03-03
JP6052439B2 (ja) 2016-12-27
JP5287932B2 (ja) 2013-09-11
US20100182584A1 (en) 2010-07-22
SG195559A1 (en) 2013-12-30
EP2287894A3 (en) 2012-11-07
EP2284866A3 (en) 2012-11-07
EP2267759A2 (en) 2010-12-29
KR101187614B1 (ko) 2012-10-08
HK1221071A1 (zh) 2017-05-19
DE602005025596D1 (de) 2011-02-10
KR20110036121A (ko) 2011-04-06
ATE493753T1 (de) 2011-01-15
JP6327385B2 (ja) 2018-05-23
JP5287896B2 (ja) 2013-09-11
EP1713113B1 (en) 2010-12-29
JP2016184181A (ja) 2016-10-20
US8553203B2 (en) 2013-10-08
EP2960927A2 (en) 2015-12-30
EP2284866B1 (en) 2016-04-20
IL226841A (en) 2015-09-24
JP2017151479A (ja) 2017-08-31
IL177221A0 (en) 2006-12-10
KR20140098165A (ko) 2014-08-07
JP2016106257A (ja) 2016-06-16
KR20150016413A (ko) 2015-02-11
JP2011097112A (ja) 2011-05-12
KR20110038141A (ko) 2011-04-13
TW201316142A (zh) 2013-04-16
EP2287893A2 (en) 2011-02-23
JP2011124606A (ja) 2011-06-23
HK1151631A1 (zh) 2012-02-03
KR101187616B1 (ko) 2012-10-05
TWI578114B (zh) 2017-04-11
JP5930083B2 (ja) 2016-06-08
IL226840A0 (en) 2013-07-31
JP5333622B2 (ja) 2013-11-06
SG185343A1 (en) 2012-11-29
TW200944964A (en) 2009-11-01
TWI437375B (zh) 2014-05-11
TWI564673B (zh) 2017-01-01
TWI627511B (zh) 2018-06-21
IL226839A0 (en) 2013-07-31
EP2287894B1 (en) 2016-09-28
TW201544916A (zh) 2015-12-01
KR101539877B1 (ko) 2015-07-28
SG152291A1 (en) 2009-05-29
JP2012142603A (ja) 2012-07-26
US8705002B2 (en) 2014-04-22
JP2015222427A (ja) 2015-12-10
TWI521312B (zh) 2016-02-11
TWI437374B (zh) 2014-05-11
EP1713113A1 (en) 2006-10-18
KR20110137389A (ko) 2011-12-22
KR20120092676A (ko) 2012-08-21
JP5488741B2 (ja) 2014-05-14
TW201823875A (zh) 2018-07-01
TW201229690A (en) 2012-07-16
IL226841A0 (en) 2013-07-31
JP2014099631A (ja) 2014-05-29
TW201229689A (en) 2012-07-16
JP5630557B2 (ja) 2014-11-26

Similar Documents

Publication Publication Date Title
TW200944963A (en) Stage drive method and stage unit, exposure apparatus, and device manufacturing method
TWI420248B (zh) Pattern forming apparatus, mark detecting apparatus, exposure apparatus, pattern forming method, exposure method, and element manufacturing method
TWI463277B (zh) Measuring apparatus and method, pattern forming apparatus, exposure apparatus and method, and component manufacturing method
TWI403855B (zh) Pattern forming apparatus and pattern forming method, moving body driving system and moving body driving method, exposure apparatus and exposure method, and component manufacturing method
JP5626443B2 (ja) 露光装置、露光方法、及びデバイス製造方法
TWI435183B (zh) An exposure apparatus and an exposure method, and an element manufacturing method
TW201802617A (zh) 曝光裝置、移動體驅動系統、圖案形成裝置、及曝光方法、以及元件製造方法
TW201835972A (zh) 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
TW201633016A (zh) 移動體驅動系統及移動體驅動方法、圖案形成裝置及方法、曝光裝置及方法、元件製造方法、以及決定方法
WO2007018127A1 (ja) ステージ装置及び露光装置
TW200931196A (en) Exposure apparatus and exposure method
JP4479911B2 (ja) 駆動方法、露光方法及び露光装置、並びにデバイス製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees