KR20100137016A - 기화기, 기화기 사용 방법, 기화 장치 사용 방법, 용기, 기화기 유닛 및 반도체 프로세스 챔버용 증기 발생 방법 - Google Patents

기화기, 기화기 사용 방법, 기화 장치 사용 방법, 용기, 기화기 유닛 및 반도체 프로세스 챔버용 증기 발생 방법 Download PDF

Info

Publication number
KR20100137016A
KR20100137016A KR1020107026815A KR20107026815A KR20100137016A KR 20100137016 A KR20100137016 A KR 20100137016A KR 1020107026815 A KR1020107026815 A KR 1020107026815A KR 20107026815 A KR20107026815 A KR 20107026815A KR 20100137016 A KR20100137016 A KR 20100137016A
Authority
KR
South Korea
Prior art keywords
ampoule
container
source material
vaporizer
vessel
Prior art date
Application number
KR1020107026815A
Other languages
English (en)
Other versions
KR101178002B1 (ko
Inventor
존 그레그
스코트 배틀
제프리아이 밴톤
돈 나이토
마리안 퓨에르
라비 케이. 락스만
Original Assignee
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Publication of KR20100137016A publication Critical patent/KR20100137016A/ko
Application granted granted Critical
Publication of KR101178002B1 publication Critical patent/KR101178002B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C3/00Vessels not under pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C3/00Vessels not under pressure
    • F17C3/02Vessels not under pressure with provision for thermal insulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2203/00Vessel construction, in particular walls or details thereof
    • F17C2203/03Thermal insulations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/30Hydrogen technology
    • Y02E60/32Hydrogen storage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S261/00Gas and liquid contact apparatus
    • Y10S261/65Vaporizers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

본 발명은 기화가능한 소스 물질을 보유하기 위한 복수의 수직 적층된 용기를 포함하는 반도체 제조 프로세스에서의 사용을 위한 기화기 이송 시스템을 개시한다. 수직으로 적층된 용기의 각각은 각각의 적층된 용기의 내부로 연장되는 복수의 채널 형성된 돌기를 포함하여, 인접한 수직 적층된 용기 사이에 캐리어 가스를 통과시키기 위한 채널을 제공한다.

Description

기화기, 기화기 사용 방법, 기화 장치 사용 방법, 용기, 기화기 유닛 및 반도체 프로세스 챔버용 증기 발생 방법{VAPORIZER, METHOD UTILIZING A VAPORIZER APPARATUS, CONTAINER, METHOD FOR GENERATING VAPOR FOR A SEMICONDUCTOR PROCESS CHAMBER}
본 발명은 기화기에 관한 것으로서, 보다 상세하게는 액체 및 고체 물질, 예컨대 화학적 증착법(chemical vapor deposition; CVD), 원자층 화학적 증착법(atomic layer chemical vapor deposition; ALCVD) 및 이온 주입 프로세스(ion implantation process)에 이용되는 액체 및 고체 소스 시약(source reagent)의 기화를 위해 증가된 표면적을 제공하는 다수의 용기(container)를 갖는 기화기 이송 시스템(vaporizer delivery system)에 관한 것이다.
화학적 증착법(CVD)은 반도체 웨이퍼 프로세싱(semiconductor wafer processing)에서 필름 및 코팅의 준비를 위해 광범위하게 이용되고 있다. 예컨대 CVD는 비교적 빠른 처리 시간 내에 고도의 등각(conformal) 및 고품질의 필름을 제공할 수 있기 때문에, 여러 측면에서 선호되는 증착 프로세스이다. 또한, CVD는, 깊은 접촉부 및 다른 개구에 있어서도, 고도의 등각 필름의 제공을 포함하는 불규칙한 형상의 기판을 코팅하는데 유리하다.
통상적으로, CVD 기술은 소정 반응의 열역학에 적합한 온도 및 압력 조건 하에서 화학 반응이 일어나는 기판의 표면으로의 기상 반응물(gaseous reactant)의 이송을 포함한다. CVD를 이용하여 형성될 수 있는 층의 타입 및 조성은 반응물 또는 반응물 전구체를 기판의 표면으로 이송하는 능력에 의해 제한된다. 다양한 액체 반응물 및 전구체는, 캐리어 가스로 액체 반응물을 이송함으로써 CVD 적용예에 성공적으로 이용되고 있다. 액체 반응물 CVD 시스템에서, 캐리어 가스는 일반적으로 액체 반응물의 용기를 통해 제어된 비율로 버블링(bubbling)되어 액체 반응물로 캐리어 가스가 포화되며, 그 후에 포화된 캐리어는 반응 챔버로 운반된다.
CVD 반응 챔버에 고체 반응물을 이송하기 위한 유사한 시도가 있었지만, 그다지 큰 성공을 거두지는 못했다. CVD 처리에서의 고체 전구체의 이송은, 전구체가 보통 승화기/버블러 저장소(sublimator/bubbler reservoir) 내에 위치된 다음, 전구체의 승화 온도(sublimation temperature)까지 가열되어 기상 화합물(gaseous compound)로 변환되고, 수소, 헬륨, 아르곤 또는 질소와 같은 캐리어 가스와 함께 CVD 반응기 내로 운반되는 승화기/버블러 방법을 이용하여 수행된다. 그러나, 이러한 절차는 여러가지 이유로 인하여 고체 전구체를 반응 챔버에 확실하고 재현적으로 이송함에 있어서 성공적인 것은 아니었다. 이 기술에 대한 주요 문제점은 기화된 고체 전구체의 재현가능한 유동이 프로세스 챔버까지 이송될 수 있도록 제어된 비율로 고체를 일관되게 기화시킬 수 없다는 것에 모아지고 있다. 또한, 최대의 승화를 제공하기 위한 균일한 온도의 결여 및 기화기 시스템에서 고체 전구체의 노출된 표면적의 제한된 양 때문에, 빠르게 유동하는 캐리어 가스 스트림(stream)의 완전한 포화를 보장하는 것이 어렵다.
불순물(dopant) 요소가 이온화되고 그 이후에 주입을 위한 워크피스(workpiece) 표면으로 지향되는 이온 비임(ion beam)을 형성하도록 가속되는 이온원(ion source)을 포함하는 종래의 이온 주입 시스템에서도 유사한 문제가 본래 있었다. 고체 불순물 물질이 이용될 때, 통상적으로 기화기 내부에 위치되어 가열되고, 이후에 형성된 증기는 이온화 및 이후의 이온 비임 형성을 위해 이온원의 내부로 운반된다.
안전성의 이유로 고체 이온원 물질이 가장 바람직하지만, 고체 반도체 불순물은 심각한 기술상 및 작동상 문제점을 나타내고 있다. 예컨대, 기화기 내에서의 고체 전구체 물질의 사용은 기기 장치의 중단 시간의 증가, 악화된 제품 품질 및 기화기 내의 증착물 축적을 야기한다.
종래의 기화기 시스템은 기화기 내에 응축된 물질의 축적 및 기화기 내의 균일한 가열 결여로 인한 기화기 내부에서의 "냉점(cold spot)"의 형성을 포함하는 여러가지 단점을 갖는다. 원치 않는 증착물의 축적은 회전하는 각각의 바이얼(vial) 및/또는 소스 물질의 웰(well)을 위한 내부 이동 표면을 필요로 하는 기화기 시스템에서 악화된다. 이들 내부 메카니즘은 기화기 내에 추가적인 "냉점"을 도입하고, 기화된 물질의 추가적인 증착을 제공한다. 추가적으로, 내부 이동 메카니즘 상의 증착물의 축적으로 인해, 이들 기화기의 작동은 효율적이거나 신뢰성이 있지 않다. 특히, 종래의 기화기의 결점은 낮은 증기압에서 온도-민감성인 고체 소스 물질에서 현저하다. 따라서, 기화된 고체 전구체의 재현가능한 유동이 하류의 증착 시스템까지 이송될 수 있도록 제어된 비율로 고체를 기화시키는 것은 어렵다.
따라서, 소스 물질의 열해리(thermal disassociation), 기화기 내의 증착물 축적으로 인한 내부 이동 부품의 작동 불능, 기화기 내의 "냉점"으로 인한 낮은 증기압 화합물의 응축 및/또는 하류의 증착 시스템으로의 일관되지 않는 증기 유동과 같은, 종래에 수반되는 단점을 갖지 않는 고체 및/또는 액체 화학적 소스를 효율적으로 기화시키는 기화기 시스템이 기술 분야에서 요구되고 있다.
본 발명은 반도체 제조 적용예를 위한 특정 유용성을 갖는 고체 및 액체 화학적 소스를 기화시키기 위한 기화기 시스템 및 방법에 관한 것이다.
일 태양에서, 본 발명은, 일반적인 증착 적용을 위한 필요한 유량을 충족시키기 위해 균일한 캐리어 가스 유동을 갖는 충분한 표면적을 제공하는 소스 물질의 기화 및 이송을 위한 증기 이송 시스템에 관한 것이며, 상기 증기 이송 시스템은,
(a) 기화가능한 소스 물질을 보유하기 위한 적어도 하나의 용기와;
(b) 용기 내에 위치되고, 기화가능한 소스 물질의 통과를 위한 채널을 제공하는 복수의 구멍 형성된 돌기(vented protuberance)와;
(c) 캐리어 가스의 스트림을 도입하여 용기를 통과하도록 하는 캐리어 가스 튜브를 포함한다.
다른 태양에서, 본 발명은, 전구체의 기화 및 이송을 위한 증기 이송 시스템을 제공하며, 상기 증기 이송 시스템은,
(a) 앰플 바닥부, 측벽 및 제거가능한 상단부를 포함하여 내부 앰플실(internal ampoule compartment)을 형성하는 앰플과;
(b) 앰플에 연통식으로 연결된 가스 입구 및 가스 출구와;
(c) 내부 앰플실 내에 위치되고, 용기 바닥부 및 측벽을 포함하여 용기 캐비티(container cavity)를 형성하는 적어도 하나의 용기와;
(d) 적어도 용기 바닥부에 위치되어 용기 바닥부를 통하는 채널을 제공하며, 용기 캐비티 내로 연장되는 복수의 구멍 형성된 돌기를 포함한다.
이하에 더욱 상세히 기술되는 바와 같이, 전구체는 고체 또는 액체 소스 물질을 포함할 수 있다. 전구체는 데카보레인(decaborane), 붕소, 인, 갈륨, 인듐, 구리, 안티몬, 사염화하프늄(hafnium tetrachloride), 사염화지르코늄(zirconium tetrachloride), 비소, 삼염화인듐(indium trichloride), 유기수은 β-디케톤 복합체(metalorganic β-diketonate complex), 사이클로펜타디에닐사이클로헵타트리에닐-티타늄(cyclopentadienylcycloheptatrienyl-titanium ; C p TiCht), 삼염화알루미늄, 요오드화티타늄(titanium iodide), 사이클로옥타테트라에네사이클로-펜타디에닐티타늄(cyclooctatetraenecyclo-pentadienyltitanium), 비스사이클로펜타디에닐티타늄디아자이드(biscyclopentadienyltitaniumdiazide) 및 텅스텐 카르보닐(tungsten carbonyl)을 포함하지만 이에 한정되지는 않는 고체 전구체이다.
또 다른 태양에서, 본 발명은 프로세싱 툴(processing tool)을 최소한으로 개량하여 종래의 앰플 내로 쉽게 삽입될 수 있는 기화기 유닛에 관한 것이며, 상기 기화기 유닛은,
(a) 소스 물질을 보유하기 위한 캐비티를 각각 갖는 복수의 수직 적층된 용기와;
(b) 수직 적층된 용기 각각에 위치되고 각각의 캐비티 내로 연장되는 복수의 구멍 형성된 돌기로서, 상기 구멍 형성된 돌기는 인접하는 수직 적층된 용기 사이에 캐리어 가스의 통과를 위한 채널을 형성하는, 상기 복수의 채널 형성된 돌기와;
(c) 복수의 수직 적층된 용기와 접촉하고, 수직 적층된 용기 각각의 캐비티를 통해 연장되는 캐리어 가스 튜브를 포함한다.
또 다른 태양에서, 본 발명은 기화기를 제공하며, 상기 기화기는,
(a) 앰플 바닥부 및 앰블 측벽을 구비하여 내실을 형성하는 앰플과;
(b) 내실을 둘러싸는 앰플 상단부와;
(c) 앰플 상단부에 연통식으로 연결된 가스 입구 및 가스 출구와;
(d) 내실 내에 위치되는 복수의 수직 적층되어 접촉하는 용기로서, 각각의 용기는 용기 바닥부 및 용기 측벽을 포함하여 고체의 전구체 물질을 보유하기 위한 용기 캐비티를 형성하며, 각각의 용기 측벽은 앰플 측벽과 접촉하는, 상기 복수의 수직 적층되어 접촉하는 용기와;
(e) 각각의 용기 바닥부 상에 위치되어, 인접하는 수직 적층되어 접촉하는 용기 사이에 채널을 제공하며, 용기 캐비티 내로 연장되는 복수의 구멍 형성된 돌기와;
(f) 내실 내에 위치되고, 캐리어 가스를 수직 적층된 용기 아래로 지향시키기 위해 가스 입구에 연통식으로 연결된 캐리어 가스 딥 튜브와;
(g) 앰플을 가열하기 위한 수단을 포함한다.
다른 태양에서, 본 발명은 테이퍼진 돌기가 용기 캐비티 내로 연장됨에 따라 좁아지는 원뿔 형상의 기공(pore)을 갖는 복수의 테이퍼진 돌기를 포함하는 용기에 관한 것이다. 이러한 돌기의 형상은 앰플 내에 위치된 복수의 수직 적층된 용기를 통해 캐리어 가스의 본질적으로 일 방향의 유동을 제공한다.
또 다른 태양에서, 본 발명은 기화된 소스 물질을 기화시켜서 다운스트림(downstream) 프로세스 챔버로 이송하기 위한 방법을 제공하며, 상기 방법은,
(a) 기화가능한 소스 물질을 내부에 수용하는 복수의 상호연결된 수직 적층된 용기를 제공하는 단계로서, 각각의 상호연결된 수직 적층된 용기는 복수의 채널 형성된 돌기를 포함함하는, 상기 복수의 상호연결된 수직 적층된 용기를 제공하는 단계와;
(b) 상호연결된 수직 적층된 용기를 밀봉가능한 앰플 내에 위치시키는 단계와;
(c) 밀봉가능한 앰플을 충분한 양으로 가열하여 밀봉가능한 앰플 내부의 소스 물질을 기화시키는 단계와;
(d) 기화된 소스 물질을 밀봉가능한 앰플을 통해 프로세스 챔버로 이동시키기 위해 밀봉가능한 앰플 내로 캐리어 가스를 도입하는 단계를 포함한다.
본 발명의 다른 태양 및 특징은 하기의 상세한 설명 및 첨부된 특허청구범위로부터 더욱 명백해질 것이다.
도 1은 본 발명의 일 실시예에 따른 기화기의 사시도,
도 2는 본 발명에 따른 앰플 내에 위치된 용기 내에 위치된 복수의 구멍 형성된 돌기의 평면도,
도 3은 본 발명의 복수의 원통 형상의 돌기를 도시하는 용기의 측면도,
도 4는 본 발명의 복수의 원뿔 형상의 돌기를 묘사한 용기의 측면도,
도 5는 본 발명의 복수의 수직 적층된 용기의 측면도,
도 6은 본 발명의 기화기 이송 시스템을 간략하게 도식적으로 나타낸 도면,
도 7은 앰플을 가열하여 본 발명의 앰플을 통해 캐리어 가스가 유동될 때 용기 유닛 내의 온도를 감지한 결과를 나타내는 그래프,
도 8은 앰플을 가열하여 본 발명의 앰플 내의 용기 유닛 및 돌기의 온도를 감지한 가열한 결과를 나타내는 그래프,
도 9는 측면 배출구를 포함하는 복수의 원뿔 형상의 돌기를 도시하는 용기의 측면도,
도 10은 본 발명의 변형적인 실시예를 도시하는 도면.
본 발명은, 기화기 시스템에서 이용되는 특정 소스 물질이 일반적인 증착 적용예에 필요한 유량을 충족시키기에 충분한 양으로 적절하게 기화되지 않는다는 사실에 근거한 것이다. 몇몇의 경우에서, 필요한 유량을 얻기 위해 200℃를 초과하는 고온이 소스 물질의 분해(decomposition)를 야기할 수 있는 승화율을 증가시키는데 이용되고 있다.
도 1에 도시된 본 발명의 일 실시예에 따른 기화기는 종래의 기화기의 결점을 극복한다. 기화기 이송 시스템(10)은, 예컨대 은, 은 합금, 구리, 구리 합금, 알루미늄, 알루미늄 합금, 납, 니켈 클래드(nickel clad), 스테인레스 스틸, 흑연 및/또는 세라믹 물질과 같은 적절한 열전도성 재료로 제작된 앰플(12)을 포함한다. 앰플은 바닥부(14) 및 측벽(16)을 포함하여 내실을 형성한다. 앰플은, 도시된 원통 형상과 같이, 앰플을 통해 캐리어 가스의 균일한 유동을 용이하게 하는 임의의 형상일 수 있다. 앰플 베이스의 바람직한 형상은 정밀하게 기계 가공된 용기에 대하여 1인치의 1/1000 내지 3/1000의 간극만을 허용하는 매우 엄격한 허용 오차로 기계 가동된 원통 형상이다. 용기 설치는 용기의 각 측벽이 앰플 베이스의 내벽에 대하여 우수한 열접촉(thermal contact)을 갖는 것을 보장하기 위하여, 벽이 매우 평행인 것을 필요로 한다. 이해하기 쉽게, 앰플 내벽과 용기의 일정한 접촉을 보장하기 위해 필요한 엄격한 허용 오차 때문에, 용기 유닛의 설치 및 제거 프로세스는 용이한 설치를 허용하기 위해 베이스 및 용기 각각의 가열 및/또는 냉각을 필요로 할 수 있다.
캐리어 가스 입구(20)는 앰플 상단부(18) 상에 위치되고 캐리어 가스를 앰플 내로 도입하기 위해 앰플에 연통식으로 연결되는 것이 바람직하다.
복수의 수직 적층된 용기(22)가 앰플의 내실 내에 위치된다. 적층된 용기는 용이한 세정 및 보충을 위해 서로로부터 분리되어 앰플로부터 제거될 수 있다. 가스 입구(20)에 연결(용접)되어, 캐리어 가스를 내실의 바닥부로 및 수직 적층된 용기 내의 가장 낮은 곳에 있는 용기 아래까지 캐리어 가스를 지향시키는 내부 캐리어 가스 부재(23)가 앰플 내에 위치된다. 도 1에서, 내부 캐리어 가스 부재(23)는 용기 캐비티(27)(도 3에 도시됨) 및 용기 바닥부(24) 각각을 통과한다. 그러나, 내부 캐리어 가스 부재가 용기 바닥부와 교차하는 지점에서의 누출 방지 시일을 보장하기 위하여, 특히 소스 물질이 액체인 경우, 밀봉용 O-링(38)(도 5에 도시됨)이 용기 사이에 위치될 수 있는 것으로 이해되어야 한다. 각 용기[트레이(tray)] 측벽의 상단면 상에서 용기 사이를 밀봉하기 위해 외부의 O-링의 추가도 고려될 수 있다.
또한, 고체 전구체에 따라서, 캐리어 가스 유동에서 고체의 비말 동반(entrainment)을 중단할 필요가 있을 수 있다. 고순도(high purity) 스테인레스 프릿(frit)(대개 1 내지 100 미크론의 포어 사이즈)이 캐리어 가스 유량에 임의의 위치에서 추가될 수 있다. 프릿은 각각의 돌기의 '입구(inlet)'에 설치될 수 있고, 큰 디스크의 프릿이 상단 트레이에 추가되어 앰플 상에 또는 출구 가스 유동 통로 상에 리드(lid)를 설치함으로써 생긴 압력에 의해 그 내에 밀봉될 수 있다.
도 3에 도시되는 바와 같이, 개별 용기(22) 각각은 바닥부(24) 및 측벽(26)을 포함하여 바람직한 소스 물질(28)을 배치하기 위한 용기 캐비티(27)를 형성한다. 용기는, 예컨대 은, 은 합금, 구리, 구리 합금, 알루미늄, 알루미늄 합금, 납, 니켈 클래드, 스테인레스 스틸, 흑연 및/또는 세라믹 재료와 같은 비반응성 열전도성 재료로 제작되는 것이 바람직하다.
개별 용기 각각은 복수의 돌기를 더 포함하며, 각 돌기는 돌기를 통해 캐리어 가스가 이동하기 위한 통로(32)를 포함한다. 돌기의 형상은 돌기를 통한 가스의 유동을 용이하게 하는 임의의 형상일 수 있다. 바람직하게, 도 3 및 도 4에 도시되는 바와 같이, 돌기는 원통 형상 또는 원뿔 형상이다.
도 4는 테이퍼진 돌기가 용기 캐비티(27) 내로 연장됨에 따라 좁아지는 원뿔 형상 포어를 갖는 대체로 깔때기 형상을 갖는 돌기를 도시한다. 이러한 원뿔 형상 포어는 인접한 하측 용기로부터 보다 큰 포어 개구(34)를 통해 용기 캐비티[보다 작은 포어(36)] 내로 캐리어 가스가 통과할 수 있도록 하는 한편, 하측의 인접한 용기 내로의 캐리어 가스의 역류(backflow)를 감소시킨다. 중요하게, 적층된 용기를 통한 일 방향 유동을 유지하는 것은 많은 반도체 프로세싱 시스템에 의해 요구되는 유량에서 기화된 소스 물질로 포화된 캐리어 가스의 제거를 증가시킨다. 기화된 소스 물질을 함유하는 캐리어 가스가 앰플의 바닥부로부터 테이퍼진 돌기를 통해 상측으로 이동됨에 따라, 가스 분자가 돌기의 벽에 대하여 가압되는 월풀 효과(whrilpool effect)가 야기된다. 이러한 월풀 효과는 기화된 소스 물질이 테이퍼진 돌기의 가열된 벽과 접촉할 수 있도록 하면서 캐리어 가스를 돌기를 통해 신속하게 이동시킨다. 그러므로, 기화된 소스 물질은 가열된 용기와의 접촉을 유지하며, 기화된 물질의 침전을 야기할 수 있고 그리고/또는 돌기 내의 채널을 막을 수 있는 냉점의 부수적인 감소를 동반한다.
돌기는, 프로세스 또는 승화율을 최적화하기 위하여 조정 가능성을 허용하는 상업적으로 이용 가능한 구멍 형성된 스테인레스 스틸 스크류와 같이, 용기 표면에 장착되는 연장부일 수 있다. 변형적으로, 돌기는 용기 바닥부의 일부로서 일체로 형성되고, 상기 돌기까지 최대의 열전달을 가능하게 한다. 바람직하게, 각각의 돌기의 높이는 용기 측벽의 높이와 대략 동일하거나 이보다 낮다. 보다 바람직하게, 각각의 돌기의 높이는 용기 측벽의 높이보다 낮아서, 각각의 용기 내에서의 가스의 분산 및 순환을 위해 돌기의 단부 위에 헤드 스페이스(head space)를 제공한다. 변형적으로, 용기 및 돌기는 각각의 용기 내에 유동화된 베드(bed)를 생성하도록 구성될 수 있다. 캐리어 가스 유동은 고체의 표면 아래에 있는 용기로 진입할 수 있으며, 도 10에 도시되는 바와 같이, 포어 사이즈가 각각의 용기 내에 고체 전구체를 보유하기에 충분하다는 것이 이해된다. 도 9에 도시되는 바와 같이, 다른 실시예는 고체 전구체의 유동화를 위해 캐리어 가스가 고체 전구체를 통해 전달되도록 그 내에 구멍을 포함하는 측벽을 갖는 돌기에 관한 것이다.
돌기는 액체이든지 또는 고체이든지 충분한 양의 소스 물질의 배치를 위해 누출 방지 구역을 제공하는 높이를 가져서, 돌기의 개방 포어(open pore)(32)를 통해 아래에 놓인 용기 내로의 누출을 야기하지 않으면서 필요한 기화 물질을 제공해야 한다. 바람직하게, 각각의 돌기는 용기의 바닥부로부터 약 0㎜ 내지 약 5㎜로, 보다 바람직하게는 약 1.5㎜ 내지 약 3.0㎜로 수직으로 연장된다.
도 1에 도시되는 바와 같이, 각각의 용기 내의 돌기의 위치설정은 인접한 용기 내의 돌기로부터 약간 오프셋되어, 캐리어 가스가 돌기를 통해 다음 레벨의 용기로 운반되기 전에, 기화된 소스 물질과 접촉하도록 캐리어 가스를 가압하여 용기 내에서 순환시킨다. 유리하게, 캐리어 가스의 다중 레벨의 접촉은 기화기 시스템에서 소스 물질의 증가한 양으로 인해 증가한 속도로 캐리어 가스의 포화를 제공한다.
기화기 이송 시스템(10)의 크기는 다운스트림 CVD 장치 및 이온 주입 시스템에 공급되는 기화된 가스의 양에 따라 상이하다. 기화기는 통상적으로 약 3인치 내지 약 6인치, 바람직하게는 약 3.75인치의 내경을 갖는 실린더에 대응하는 크기를 갖는다. 적층된 용기를 포함하는 용기의 양은 기화기의 크기에 따라 결정될 것이며, 3개 내지 5개의 용기가 앰플 내에 둘러싸이는 것이 바람직하다.
복수의 내부 용기를 포함하는 본 발명의 앰플은 소스 물질의 타입 및 공급량, 기화된 가스의 농도 및 다른 작동 조건에 따라서 소정의 온도로 가열되어 유지될 수 있다. 상기 가열은 기화기 주위에 감겨진 리본 히터(ribbon heater), 기화기를 덮는 형상을 갖는 축열 히터(block heater)를 이용하여, 또는 고온 공기 또는 액체 열 매체의 순환에 의해 실시될 수 있다. 가열 방법은 기화기가 가열되어 소정의 온도로 정확하게 유지되는 한 특별히 한정되지 않는다. 하측의 용기가 고온에서 승화되고 막힘(clogging)을 야기할 수 있는 응축이 일어날 장소가 될 수 있는 보다 저온의 상측 용기를 형성하는 가능성을 감소시키기 위해, 바닥부에 대해 측벽으로부터 앰플을 가열하는 것이 바람직하다. 바람직한 열전달은 앰플의 측벽으로부터 용기의 측벽으로 전달되는 것이다. 캐리어 가스의 예열(preheating)은 가열 방법, 가열된 캐리어 가스 입구 배관(tubing)의 길이 및 캐리어 가스 유량에 따라 필요할 수도 있다.
유리하게, 본 발명의 기화기 이송 시스템은 가열된 표면적을 증가시키는 복수의 가열된 돌기를 더 제공하는 일련의 가열된 용기를 제공하여, 증가된 열 분배로 인해 고체 소스 물질의 승화를 가능하게 한다. 앰플은 처리시 더욱 일정한 온도를 유지시키는 큰 열량(thermal mass)을 갖는 것이 바람직하다. 본 발명은 고체 상태에서 증기 상태로의 고체 소스 물질의 승화를 지속하기 위해서 열이 필요하다는 사실에 근거하여 큰 열량을 갖도록 설계되었다. 주어진 온도에서, 고체의 증기압은 경계면에 있어서 그 물질의 분압(partial pressure)이며, 즉 주어진 시간 주기에 있어서 표면으로부터 승화하는 분자의 개수와 같은 개수의 분자가 고체 표면상에 응축된다. 기체 상태의 분자가 캐리어 가스에 의해 고체/가스 경계면으로부터 제거되면, 평형은 깨진다. 명백하게, 고체 표면에 충분한 열이 공급되어 승화의 잠열(latent heat)을 보충하는 경우, 평형을 회복하기 위해 승화가 고속으로 발생한다. 복수의 가열된 돌기를 제공함으로써, 전체의 전도성 용기는 가열된 표면으로 작용하여 승화율을 증가시키고, 포화된 캐리어 가스의 유량을 증가시키며, 채널 형성된 돌기를 막을 수 있는 기화된 소스 물질의 침전을 감소시킨다.
기화기의 온도는 다운스트림 CVD 장치 혹은 이온 주입 시스템의 작동 조건과 소스 물질의 증기압 및 양에 따라 상이하다. 상기 온도는 통상적으로 약 40℃ 내지 약 300℃이다.
본 발명의 기화기 이송 시스템은 캐리어 가스를 공급하고 기화기에 연결된 기화된 가스를 운송하기 위한 라인(line)과; 압력 및 온도 측정을 위한 기기 및 조정 밸브를 더 포함할 수 있다. 기화된 물질을 다운스트림 반도체 제조 프로세스 챔버로 운송하는 라인 및 가스 공급 라인에서 온도를 유지하기 위하여 히터가 제공될 수 있다. 라인에서의 응축을 방지하기 위하여 앰플보다 5℃ 내지 10℃ 정도 고온의 다운스트림 라인을 갖는 것이 바람직하다.
본 발명의 기화기 시스템을 사용하는 방법은 앰플 내에 적층되기 전에 용기 내로 소스 물질을 도입하는 단계를 포함한다. 소스 물질은 고체, 액체 또는 용매에 용해된 고체일 수 있다. 또한, 소스 물질은, 가열에 의해 금속 복합물(metal complex)를 녹이는 단계와, 녹인 금속 복합물을 지지체(support)에 도포한 다음 냉각시키는 단계를 포함하는 방법을 포함하여, 임의의 다수의 방법에 의해 필름의 형태로 용기 캐비티 내의 용기 및 돌기의 표면상에 코팅될 수 있다. 또한, 금속 복합물이 용매에 용해되고, 용기 및 돌기의 표면에 도포되며, 이어서 감소된 압력 하에서 용매를 제거할 수 있다. 다른 소스 물질이 다운스트림 프로세싱 시스템에서 사용되면, 본 발명은 수직 적층된 용기 내의 다른 용기 내로 다른 소스 물질을 도입하는 능력을 제공한다.
도 5에 도시되는 바와 같이, 내부 가스 캐리어 부재(23)와 접촉하는 복수의 수직 적층된 용기를 포함하는 기화기 유닛(44)은 앰플 내에 위치된다. 앰플 상단 리드(18)(도 1 및 도 2에 도시됨)는 전도성 앰플 상단부 상에 위치되어 있으며, O-링 요소[O-링은 테플론(teflon), 엘라스토머(elastomer) 또는 금속 시일] 및/또는 스크류와 같은 기계적 패스너에 의해 앰플에 밀봉된다. 앰플을 가열하는 수단이 결합되어, 앰플 및 접촉 용기 내의 내부 온도는 둘러싸인 소스 물질을 기화시키기에 충분한 온도로 상승된다. 승화율은, 그 위의 용기에는 부분적으로 또는 전체적으로 포화된 캐리어 가스가 진입하는데 대해 트레이에는 순수 캐리어(pure carrier)가 진입함으로써, 첫번째 또는 가장 낮은 용기상에서 최대일 수 있다. 이와 같이, 바닥부 용기 상에 보다 많은 전구체를 적재하고, 그리고/또는 프로세스 툴에서 앰플의 수명 동안 보다 균일한 표면적을 허용하도록 용기의 높이 치수를 증가시킬 필요가 있을 수 있다.
소스 물질로서 이용되는 고체는 승화 프로세스를 통해 기화되고, 전도성 재료에 의해 제작된 복수의 수직 적층된 용기와 바람직하게 접촉하는 전도성 앰플의 벽을 가열함으로써 달성된다. 승화 프로세스는 중간 액체 상태에 진입하지 않고 고체 상태로부터 증기 상태로 고체(예컨대, 데카보레인)의 변환을 수반한다. 본 발명은 임의의 적절한 고체 소스 물질(예컨대, 약 20℃ 내지 약 300℃ 사이의 범위의 승화 온도에 의해 특징지어지고 약 10-2 Torr 내지 약 103 Torr의 범위의 증기압을 갖는 고체 물질)과 사용하는데 있어 효과적이다.
온도는 제어된 온도 조작을 위해 구성되고 배치된, 스트립 히터(strip heater), 복사 히터, 가열된 인클로저(heated enclosure), 순환 유체 히터, 저항 가열 시스템, 유도 가열 시스템 등을 포함하지만 이에 한정되지는 않는, 임의의 열 조절 시스템에 의해 기화기 내에서 제어될 수 있다. 또한, 앰플 내의 온도는 열전대(thermocouple), 서미스터(thermistor) 또는 열전도성 앰플 및/또는 용기의 표면에 접촉하도록 배치된 임의의 다른 적절한 온도 감지 접합부 또는 디바이스에 의해 감지될 수 있다.
용기에서 소스 물질이 고갈되는 시기를 판정하기 위해, 본 발명자들은, 용기의 바닥면 상의 반사면에 연통식으로 연결되어 용기가 거의 고갈되거나 고갈되었을 때 신호의 변화를 제공하는 광학 센서와 같은, 상단 트레이 및/또는 바닥 트레이 내의 고체 또는 액체의 양을 판정하는 레벨 센서 모니터링 시스템을 고려한다.
도 6은 캐리어 가스를 기화기(10)에 공급하기 위한 단순화된 기화기 이송 시스템(66)을 도시한다. 캐리어 가스 소스(64)는 캐리어 가스를 제공하기 위하여 기화기(10)에 연결되어 있다. 소스 물질을 도입하는 변형적인 모드에서, 액체 소스 물질은 액체 소스 용기(67)로부터 도입될 수 있다. 캐리어 가스의 유량은 캐리어 가스 이송 라인 및 프로세싱 챔버(70)에 기화된 소스 물질을 운반하는 라인 내에 배치된 유량계(68)에 의해 모니터링되고 제어될 수 있다. 가스 이송 라인은 높은 유량 속도를 허용할 수 있도록, 폴리머와 같이 낮은 마찰 계수를 갖는 물질로 제조되는 것이 바람직하다. 바람직하게는, 기화기 이송 시스템은 기화기 유닛(10)에 연통식으로 연결된 적어도 하나의 가열 수단(72)에 의해 발생된 열에너지를 전달하는 열전도성 재료로 제조된다.
완전한 기화를 위해 요구되는 전력량은 소스 물질 및 캐리어 가스의 화학적 성질(chemistry) 및 혼합물의 유량의 함수이다. 본 발명에 따르면, 기화기로 이동되는 열 파워(thermal power)는 최적 등온도(isothermal temperature)를 제공하기 위해 약 100W 내지 약 3000W이다. 이러한 방식에서, 유동하는 혼합물에 의해 흡수되는 가열 파워(heating power)은 이용 가능한 가열 파워의 적은 부분이다. 그러므로, 가스 증기에 의해 흡수되는 파워는 이용 가능한 가열 파워에 대해 하찮은 교란을 나타내어, 전도성 가열 표면의 이상적인 등온도를 실질적으로 유지할 수 있게 한다.
작동시, 전구체 소스 물질은 건조 박스(dry box) 또는 글러브 박스(glove box) 내에서 용기 유닛에 적재되어 앰플이 개방되어 있는 동안 산소 및 습기와의 전구체의 반응을 제거하는 것이 바람직하다. 가스 소스(64)로부터의 캐리어 가스는 1sccm 내지 약 500sccm의 가스 유동으로 가스 입구(20)에서 기화기 유닛 내로 도입된다. 캐리어 가스는 수직 적층된 용기의 돌기를 통해 가압되는 캐리어 가스의 정상 유동(steady flow)을 제공하기 위한 압력으로 기화기 내로 운반된다. 캐리어 가스가 상이한 레벨의 수직 적층된 용기를 통해 상측으로 가로질러 이동되므로, 캐리어 가스는 기화된 소스 물질로 포화되어 가스 출구 밸브(40)에 있는 기화기 유닛에서 프로세스 챔버(70)로 운반된다.
본 발명의 장점은 하기 실시예와 관련하여 보다 상세하게 설명된다.
실시예 1
도 1에 도시되는 바와 같은 본 발명의 앰플 내에 5개의 적층된 용기를 갖는 용기 유닛을 포함하는 본 발명의 기화기는 앰플로부터 용기로의 열전도성의 유효성을 판정하기 위하여 테스트되었다. 앰플은 우수한 스테인레스 스틸로 제조되었고, 앰플 주위의 둘레에 딱 맞는 형상을 갖는 축열 히터에 연결하였다. 히터는 가변 AC 전압의 소스이고 가열 정도를 제어하는 가변 변압기에 연결되었다. 앰플로부터 용기로의 열 이동의 유효성 및 가열의 균일성을 판정하기 위해, 앰플 내에 위치된 개별 용기 중 몇 개인 용기 1 및 용기 5가 온도 센서에 연결되었다. 앰플은 앰플 내로 도입되어 약 500sccm으로 용기 유닛을 통과하는 캐리어 가스의 소스에 연결되었다. 도 7에 도시되는 바와 같이, 앰플에 장착된 히터에서 온도가 증가됨에 따라, 용기 내에서 감지되는 내부 온도도 열전도성의 유효성에 따라 상승되었다. 용기 1 및 용기 5에서 감지되는 온도는 적층된 용기를 통한 가열의 균일성을 나타내는 앰플의 온도와 동등했다. 그러므로, 개별 용기는 전구체 물질을 기화시키기 위하여 균일하게 가열되는 추가적인 표면적을 제공한다.
도 8은 앰플로부터 용기 5 내에 위치된 돌기로의 열의 분배를 도시한다. 온도는 130℃까지 상승되고, 앰플 내의 열 분배는 최초 1시간의 기화 후에 거의 일정하였다. 복수의 용기가 전구체 물질의 배치를 위해 증가된 영역을 제공하기 때문에, 보다 많은 제품이 앰플의 보충 없이 생산될 수 있고, 따라서 기기의 오프 타임(off-time)을 감소시킨다.

Claims (31)

  1. 기화기에 있어서,
    (a) 가스 입구와,
    (b) 복수의 수직 적층된 소스 물질 용기로서, 각각의 소스 물질 용기는, 용기 캐비티(container cavity)를 형성하기 위한 용기 바닥부 및 측벽을 포함하고, 상기 용기 바닥부 내에 위치되어 상기 용기 캐비티 내로 연장되는 복수의 돌기를 포함하는, 상기 복수의 수직 적층된 소스 물질 용기와,
    (c) 각각의 소스 물질 용기의 상기 용기 바닥부를 통해 연장되고 상기 가스 입구로부터 가스를 수용하도록 배치된 내부 가스 운반 부재를 포함하는
    기화기.
  2. 제 1 항에 있어서,
    각각의 소스 물질 용기는 상기 용기 바닥부를 통해 캐리어 가스의 상측 유동을 허용하도록 배치된 복수의 구멍(vent)을 포함하는
    기화기.
  3. 제 1 항에 있어서,
    상기 기화기는 적어도 하나의 다공성 프릿(frit)을 더 포함하는
    기화기.
  4. 기화가능한 소스 물질이 복수의 적층된 소스 물질 용기 내에 배치된 제 1 항에 기재된 기화기를 사용하는 방법에 있어서,
    가스 입구 및 내부 가스 이송 부재를 통해 상기 복수의 적층된 소스 물질 용기에 캐리어 가스를 공급하는 단계와,
    상기 복수의 적층된 소스 물질 용기를 가열하여, 상기 기화가능한 소스 물질의 적어도 일부를 기화시켜 기화된 물질을 생성하는 단계와,
    상기 기화기의 가스 출구를 통해 상기 기화된 물질을 배기하는 단계를 포함하는
    기화기 사용 방법.
  5. 제 4 항에 있어서,
    상기 캐리어 가스를 예열하는 단계를 더 포함하는
    기화기 사용 방법.
  6. 기화가능한 소스 물질을 보유하기 위한 복수의 용기를 포함하는 기화 장치를 사용하는 방법으로서, 복수의 수직 적층된 용기의 각각의 용기는 용기 바닥부와, 상기 용기 바닥부로부터 상측으로 연장되는 용기 측벽을 포함하며, 상기 용기 바닥부 및 상기 용기 측벽은 기화가능한 소스 물질을 보유하도록 배치된 용기 캐비티를 형성하며, 상기 용기 바닥부를 통해 가스의 유동을 허용하도록 복수의 구멍이 제공되는, 기화 장치 사용 방법에 있어서,
    기화가능한 소스 물질을 상기 복수의 용기 내에 적재하는 단계와,
    상기 복수의 용기를 수직으로 적층시키는 단계를 포함하는
    기화 장치 사용 방법.
  7. 제 6 항에 있어서,
    상기 소스 물질 적재 단계는 상기 용기의 수직 적층 단계 이전에 수행되는
    기화 장치 사용 방법.
  8. 제 6 항에 있어서,
    상기 기화가능한 소스 물질은 고체의 기화가능한 소스 물질을 포함하는
    기화 장치 사용 방법.
  9. 제 6 항에 있어서,
    상기 기화가능한 소스 물질은 기화가능한 소스 물질 및 용매의 용액을 포함하는
    기화 장치 사용 방법.
  10. 제 6 항에 있어서,
    상기 기화가능한 소스 물질은 고체 필름을 포함하는
    기화 장치 사용 방법.
  11. 제 6 항에 있어서,
    앰플 내에 상기 복수의 용기를 수직으로 적층하는 단계를 더 포함하며,
    각각의 용기 측벽의 적어도 일부는 상기 앰플의 측벽에 접촉하도록 배치되는
    기화 장치 사용 방법.
  12. 제 6 항에 있어서,
    (a) 상기 복수의 수직 적층된 용기를 가열하는 단계와,
    (b) 가열된 캐리어 가스를 상기 복수의 수직 적층된 용기에 공급하여, 상기 기화가능한 소스 물질의 적어도 일부를 기화시키는 단계, 중 적어도 하나를 더 포함하는
    기화 장치 사용 방법.
  13. 제 6 항 내지 제 12 항 중 어느 한 항에 있어서,
    기화된 물질을 이용하여, 화학적 증착법, 원자층 화학적 증착법 및 이온 주입법으로 이루어진 그룹으로부터 선택된 증착 프로세스를 수행하도록 구성된 프로세스 설비에 상기 기화된 물질을 이송하는 단계를 더 포함하는
    기화 장치 사용 방법.
  14. 기화가능한 소스 물질을 보유하기 위한 복수의 수직 적층된 용기를 포함하는 기화 장치를 사용하는 방법으로서, 상기 복수의 수직 적층된 용기의 각각의 용기는 용기 바닥부와, 상기 용기 바닥부로부터 상측으로 연장되는 용기 측벽을 포함하며, 상기 용기 바닥부 및 상기 용기 측벽은 기화가능한 소스 물질을 보유하도록 배치된 용기 캐비티를 형성하며, 상기 용기 바닥부를 통해 가스의 유동을 허용하도록 복수의 구멍이 제공되는, 기화 장치 사용 방법에 있어서,
    캐리어 가스를 예열하는 단계와,
    상기 예열된 캐리어 가스를 상기 복수의 수직 적층된 용기에 공급하여 상기 복수의 수직 적층된 용기 내에 보유된 기화가능한 소스 물질과 접촉시키는 단계를 포함하는
    기화 장치 사용 방법.
  15. 제 14 항에 있어서,
    상기 복수의 수직 적층된 용기에 열을 공급하도록 배치된 가열 요소를 작동시키는 단계를 더 포함하는
    기화 장치 사용 방법..
  16. 기화가능한 소스 물질을 보유하여 기화가능한 소스 물질의 기화를 촉진시키는 용기에 있어서,
    용기 바닥부와, 상기 용기 바닥부로부터 상측으로 연장되는 용기 측벽으로서, 상기 용기 바닥부 및 상기 용기 측벽은 기화가능한 소스 물질을 보유하도록 배치된 용기 캐비티를 형성하는, 상기 용기 바닥부 및 상기 용기 측벽과,
    상기 용기 캐비티 내로 연장되는 복수의 돌기와,
    상기 용기 바닥부를 통해 연장되는 내부 가스 이송 부재와,
    상기 용기 바닥부를 통해 캐리어 가스의 상측 유동을 허용하도록 배치된 복수의 구멍을 포함하는
    용기.
  17. 제 16 항에 있어서,
    상기 내부 가스 이송 부재는 캐리어 가스 입구와 유체 연통하여 상기 용기 바닥부를 통해 캐리어 가스의 하측 유동을 허용하는
    용기.
  18. 방법에 있어서,
    기화가능한 소스 물질을 복수의 고체 물질 홀더 내로 적재하는 단계로서, 각각의 고체 물질 홀더는 고체 물질 지지면과 상기 고체 물질 지지면 위로 연장되는 열전도성 홀더를 갖는, 상기 기화가능한 소스 물질 적재 단계와,
    상기 복수의 고체 물질 홀더를 열전도성 앰플 벽을 포함하는 앰플 내로 삽입하는 단계로서, 각각의 고체 물질 홀더는 기화기 작동 온도에서 상기 열전도성 앰플 벽과 실질적으로 열 접촉하며, 상기 앰플은 상기 열전도성 앰플 벽을 통해 각각의 고체 물질 홀더의 열전도성 홀더 측벽으로 열이 이동되도록 구성되는, 상기 복수의 고체 물질 홀더 삽입 단계를 포함하는
    방법.
  19. 제 18 항에 있어서,
    상기 복수의 고체 물질 홀더 삽입 단계는 상기 앰플 내에 상기 복수의 고체 물질 홀더를 적층시키는 단계를 포함하는
    방법.
  20. 제 18 항에 있어서,
    리드(lid)로 상기 앰플을 폐쇄하는 단계를 더 포함하며, 상기 리드는 가스 입구 및 가스 출구를 포함하는
    방법.
  21. 제 18 항에 있어서,
    상기 기화가능한 소스 물질은 기화가능한 소스 물질 및 용매의 용액을 포함하는
    방법.
  22. 제 18 항에 있어서,
    상기 기화가능한 소스 물질은 고체 필름을 포함하는
    방법.
  23. 기화가능한 소스 물질을 보유하기 위한 복수의 수직 적층된 용기를 포함하는 기화 장치를 사용하는 방법으로서, 상기 복수의 수직 적층된 용기의 각각의 용기는 용기 바닥부와, 상기 용기 바닥부로부터 상측으로 연장되는 용기 측벽을 포함하며, 상기 용기 바닥부 및 상기 용기 측벽은 기화가능한 소스 물질을 보유하도록 배치된 용기 캐비티를 형성하며, 상기 용기 바닥부를 통해 가스의 유동을 허용하도록 복수의 구멍이 제공되는, 기화 장치 사용 방법에 있어서,
    상기 복수의 수직 적층된 용기를 서로 분리시키는 단계와,
    상기 분리된 용기를 세정하는 단계를 포함하는
    기화 장치 사용 방법.
  24. 제 23 항에 있어서,
    상기 기화 장치는 상기 복수의 수직 적층된 용기를 수납하도록 배치된 내부 앰플실(internal ampoule compartment)을 갖는 앰플을 포함하며,
    상기 기화 장치 사용 방법은 상기 복수의 수직 적층된 용기의 적어도 일부의 용기를 상기 내부 앰플실로부터 제거하는 단계를 더 포함하는
    기화기 유닛.
  25. 앰플을 포함하는 기화기에 있어서,
    상기 앰플은,
    (a) 내부 앰플실을 둘러싸는 열전도성 앰플 측벽과,
    (b) 기화된 물질을 상기 내부 앰플실로부터 수용하도록 구성된 가스 출구와,
    (c) 상기 앰플 내에 배치된 복수의 고체 물질 홀더를 구비하며,
    각각의 고체 물질 홀더는 고체를 포함하는 고체 물질을 지지하도록 배치된 고체 물질 지지면과, 열전도성 홀더를 갖고, 상기 복수의 고체 물질 홀더의 적어도 하나의 고체 물질 홀더는 상기 고체 물질 지지면 위로 연장되는 홀더 측벽을 포함하며,
    각각의 홀더 측벽은 앰플 작동 온도에서 상기 앰플 측벽과 실질적으로 열 접촉하도록 구성되며,
    상기 앰플은 상기 앰플 측벽을 통해 각각의 열전도성 홀더 측벽으로 열을 이동시키도록 구성되는
    기화기.
  26. 제 25 항에 있어서,
    상기 앰플은 내부 체적에 가스를 공급하도록 구성된 가스 입구를 갖는
    기화기.
  27. 제 25 항에 있어서,
    상기 앰플은 제 1 단부를 갖고, 가스 입구 및 가스 출구는 상기 제 1 단부를 따라 위치되는
    기화기.
  28. 제 25 항에 있어서,
    상기 앰플은 내부 체적 내에서 하방으로 연장되고 가스 입구에 연통식으로 연결되는 튜브를 포함하는
    기화기.
  29. 제 25 항에 있어서,
    상기 적어도 하나의 고체 물질 홀더의 고체 물질 지지면은 복수의 가스 유동 통로를 형성하는
    기화기.
  30. 제 25 항에 있어서,
    상기 적어도 하나의 고체 물질 홀더는 상기 앰플 내로 제거가능하게 삽입될 수 있는
    기화기.
  31. 반도체 프로세스 챔버용 증기를 발생시키는 방법에 있어서,
    캐리어 가스를 예열시키는 단계와,
    고체 전구체 물질을 보유하는 앰플 내로 가스 입구를 통해 상기 캐리어 가스를 공급하는 단계와,
    승화에 의해 상기 고체 전구체 물질로부터 증기를 발생시키는 단계를 포함하는
    반도체 프로세스 챔버용 증기 발생 방법.
KR1020107026815A 2002-07-23 2003-07-01 기화기, 기화기 사용 방법, 기화 장치 사용 방법, 용기, 기화기 유닛 및 반도체 프로세스 챔버용 증기 발생 방법 KR101178002B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/201,518 US6921062B2 (en) 2002-07-23 2002-07-23 Vaporizer delivery ampoule
US10/201,518 2002-07-23
PCT/US2003/020825 WO2004010463A2 (en) 2002-07-23 2003-07-01 Vaporizer delivery ampoule

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057001240A Division KR101152715B1 (ko) 2002-07-23 2003-07-01 증기 이송 장치, 기화기, 기화기 유닛 및 기화된 소스 물질 이송 방법

Publications (2)

Publication Number Publication Date
KR20100137016A true KR20100137016A (ko) 2010-12-29
KR101178002B1 KR101178002B1 (ko) 2012-08-28

Family

ID=30769655

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020057001240A KR101152715B1 (ko) 2002-07-23 2003-07-01 증기 이송 장치, 기화기, 기화기 유닛 및 기화된 소스 물질 이송 방법
KR1020107026815A KR101178002B1 (ko) 2002-07-23 2003-07-01 기화기, 기화기 사용 방법, 기화 장치 사용 방법, 용기, 기화기 유닛 및 반도체 프로세스 챔버용 증기 발생 방법
KR1020087024621A KR20080098448A (ko) 2002-07-23 2003-07-01 베이퍼라이저 전달 앰플
KR1020127003114A KR101178030B1 (ko) 2002-07-23 2003-07-01 증기 이송 시스템, 기화기, 기화기 유닛 및 기화된 공급원 물질 이송 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020057001240A KR101152715B1 (ko) 2002-07-23 2003-07-01 증기 이송 장치, 기화기, 기화기 유닛 및 기화된 소스 물질 이송 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020087024621A KR20080098448A (ko) 2002-07-23 2003-07-01 베이퍼라이저 전달 앰플
KR1020127003114A KR101178030B1 (ko) 2002-07-23 2003-07-01 증기 이송 시스템, 기화기, 기화기 유닛 및 기화된 공급원 물질 이송 방법

Country Status (9)

Country Link
US (8) US6921062B2 (ko)
EP (2) EP2361672A3 (ko)
JP (5) JP4843218B2 (ko)
KR (4) KR101152715B1 (ko)
CN (3) CN101476115A (ko)
AU (1) AU2003245757A1 (ko)
SG (3) SG167665A1 (ko)
TW (1) TWI303461B (ko)
WO (1) WO2004010463A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170000379A (ko) * 2015-05-07 2017-01-02 (주)지오엘리먼트 모세관 현상을 이용한 고효율 기화기
KR20240074489A (ko) 2022-11-21 2024-05-28 (주)지오엘리먼트 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
KR20240074503A (ko) 2022-11-21 2024-05-28 (주)지오엘리먼트 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법

Families Citing this family (545)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) * 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US7211454B2 (en) * 2003-07-25 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a light emitting device including moving the source of the vapor deposition parallel to the substrate
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7494905B2 (en) * 2003-08-21 2009-02-24 Texas Instruments Incorporated Method for preparing a source material including forming a paste for ion implantation
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
EP1695369A4 (en) * 2003-12-12 2009-11-04 Semequip Inc METHOD AND DEVICE FOR EXTENDING DEVICE TERMINATION IN ION IMPLANTATION
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
FR2878453B1 (fr) * 2004-11-30 2007-03-16 Centre Nat Rech Scient Cnrse Dispositif de fourniture de vapeurs d'un precurseur solide a un appareil de traitement
CN101495190B (zh) * 2005-03-16 2013-05-01 高级技术材料公司 用于从固体源递送试剂的系统
US8197898B2 (en) 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7566477B2 (en) 2005-03-31 2009-07-28 Tokyo Electron Limited Method for saturating a carrier gas with precursor vapor
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7132128B2 (en) 2005-03-31 2006-11-07 Tokyo Electron Limited Method and system for depositing material on a substrate using a solid precursor
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
DE102005030862B4 (de) * 2005-07-01 2009-12-24 Sintec Keramik Gmbh Erstbenetzungshilfsmaterial für einen Verdampferkörper, seine Verwendung zum Herrichten der Verdampferfläche eines Verdampferkörpers und ein elektrisch beheizbarer keramischer Verdampferkörper
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
EP1933992B1 (en) 2005-08-30 2014-09-24 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
GB2432371B (en) * 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
JP4960720B2 (ja) * 2006-02-10 2012-06-27 東京エレクトロン株式会社 膜前駆体蒸発システムにおいて使用される膜前駆体のトレーおよびその使用方法
US7297719B2 (en) 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
JP2010503977A (ja) * 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR20090018986A (ko) * 2006-06-02 2009-02-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 구리 박막 형성을 위한 구리(i) 아미디네이트 및 구아니디네이트
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
EP2094406B1 (en) 2006-11-22 2015-10-14 Soitec Method, apparatus and gate valve assembly for forming monocrystalline group iii-v semiconductor material
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
JP5656184B2 (ja) * 2006-11-22 2015-01-21 ソイテック 三塩化ガリウムの噴射方式
JP5575482B2 (ja) 2006-11-22 2014-08-20 ソイテック 単結晶iii−v族半導体材料のエピタキシャル堆積法、及び堆積システム
US9580836B2 (en) * 2006-11-22 2017-02-28 Soitec Equipment for high volume manufacture of group III-V semiconductor materials
WO2008064077A2 (en) 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Methods for high volume manufacture of group iii-v semiconductor materials
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
US8524931B2 (en) * 2007-01-17 2013-09-03 Advanced Technology Materials, Inc. Precursor compositions for ALD/CVD of group II ruthenate thin films
US7955649B2 (en) * 2007-01-17 2011-06-07 Visichem Technology, Ltd. Forming thin films using a resealable vial carrier of amphiphilic molecules
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
WO2009064427A2 (en) * 2007-11-13 2009-05-22 Mckinley James J Variable concentration dynamic headspace vapor source generator
US8899556B2 (en) * 2007-11-15 2014-12-02 Lawrence Livermore National Security, Llc. Systems and methods for generation of hydrogen peroxide vapor
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
TWI494975B (zh) 2008-02-11 2015-08-01 Advanced Tech Materials 在半導體處理系統中離子源之清洗
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
WO2009117440A1 (en) * 2008-03-17 2009-09-24 Applied Materials, Inc. Heated valve manifold for ampoule
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
WO2011053505A1 (en) 2009-11-02 2011-05-05 Sigma-Aldrich Co. Evaporator
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
US8758515B2 (en) 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8776821B2 (en) 2011-05-24 2014-07-15 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2012255193A (ja) * 2011-06-09 2012-12-27 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013028854A (ja) * 2011-07-29 2013-02-07 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
JP5913888B2 (ja) 2011-09-30 2016-04-27 国立大学法人東北大学 気化器
US8724974B2 (en) * 2011-09-30 2014-05-13 Fujikin Incorporated Vaporizer
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
WO2013126323A1 (en) * 2012-02-23 2013-08-29 Applied Materials, Inc. Method and apparatus for precursor delivery
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
KR20210135341A (ko) 2012-05-31 2021-11-12 엔테그리스, 아이엔씨. 배취식 침착을 위한 고 물질 플럭스를 갖는 유체의 소스 시약-기반 수송
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
FR2996628B1 (fr) * 2012-10-04 2014-12-26 Commissariat Energie Atomique Reservoir de stockage d'hydrogene a hydrures metalliques de fabrication simplifiee et dispositif de stockage comportant au moins un tel reservoir
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102024830B1 (ko) * 2013-05-09 2019-09-25 (주)지오엘리먼트 기화기
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015029457A1 (en) * 2013-09-02 2015-03-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for producing pyromellitic dianhydride, pyromellitic dianhydride produced by the method, and apparatus therefor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9334566B2 (en) 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9343315B2 (en) * 2013-11-27 2016-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating semiconductor structure, and solid precursor delivery system
US9957612B2 (en) 2014-01-17 2018-05-01 Ceres Technologies, Inc. Delivery device, methods of manufacture thereof and articles comprising the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102387359B1 (ko) * 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
WO2015164029A1 (en) * 2014-04-21 2015-10-29 Entegris, Inc. Solid vaporizer
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6301867B2 (ja) * 2015-03-31 2018-03-28 東芝メモリ株式会社 気化システム
JP6752779B2 (ja) * 2015-04-30 2020-09-09 芝浦メカトロニクス株式会社 錠剤印刷装置および錠剤印刷方法
KR102269079B1 (ko) * 2015-05-08 2021-06-25 (주)지오엘리먼트 충진이 용이하고 기화 효율이 향상된 기화기
KR101725959B1 (ko) 2015-05-08 2017-04-21 (주)지오엘리먼트 충진이 용이하고 기화 효율이 향상된 기화기
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US20170023235A1 (en) * 2015-07-21 2017-01-26 Apple Inc. Sublimator/vaporizer
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10982319B2 (en) 2015-08-21 2021-04-20 Flisom Ag Homogeneous linear evaporation source
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017184368A1 (en) * 2016-04-19 2017-10-26 Entegris, Inc. Tamper-resistant chemical reagent package
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9928983B2 (en) * 2016-06-30 2018-03-27 Varian Semiconductor Equipment Associates, Inc. Vaporizer for ion source
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11946131B2 (en) * 2017-05-26 2024-04-02 Universal Display Corporation Sublimation cell with time stability of output vapor pressure
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10676370B2 (en) * 2017-06-05 2020-06-09 Axcelis Technologies, Inc. Hydrogen co-gas when using aluminum iodide as an ion source material
JP6324609B1 (ja) * 2017-06-21 2018-05-16 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11104993B2 (en) * 2017-07-28 2021-08-31 Entegris, Inc. Modular tray ampoule
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10597773B2 (en) * 2017-08-22 2020-03-24 Praxair Technology, Inc. Antimony-containing materials for ion implantation
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
WO2019058969A1 (ja) * 2017-09-21 2019-03-28 株式会社Kokusai Electric 貯留容器、気化器、基板処理装置および半導体装置の製造方法
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10895347B2 (en) * 2017-10-20 2021-01-19 Entegris, Inc. Heat transfer to ampoule trays
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6895372B2 (ja) * 2017-12-12 2021-06-30 東京エレクトロン株式会社 原料容器
US20190186003A1 (en) * 2017-12-14 2019-06-20 Entegris, Inc. Ampoule vaporizer and vessel
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10832913B2 (en) * 2018-02-14 2020-11-10 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for forming semiconductor structure
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
EP3841388A4 (en) 2018-05-23 2022-07-27 Senseer Ltd DEVICE, SYSTEM AND METHOD FOR MONITORING THE OPERATION OF A PROJECTILE LAUNCHER
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7376278B2 (ja) 2018-08-16 2023-11-08 エーエスエム・アイピー・ホールディング・ベー・フェー 固体原料昇華器
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP2021536528A (ja) * 2018-09-03 2021-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 薄膜堆積のための直接液体注入システム
KR102576431B1 (ko) * 2018-09-10 2023-09-08 삼성디스플레이 주식회사 유기물 제조장치 및 이를 이용한 제조방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7240881B2 (ja) * 2019-01-18 2023-03-16 Jx金属株式会社 塩化金属の昇華容器
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219702A1 (en) * 2019-04-26 2020-10-29 Entegris, Inc. Vaporization vessel and method
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20210155812A (ko) * 2019-05-31 2021-12-23 어플라이드 머티어리얼스, 인코포레이티드 기판들 상에 막들을 형성하기 위한 방법들 및 시스템들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US20210123134A1 (en) * 2019-10-24 2021-04-29 Entegris, Inc. Sublimation ampoule with level sensing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021118935A1 (en) * 2019-12-11 2021-06-17 Lam Research Corporation Liquid precursor vaporizer
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
CN113454262A (zh) * 2020-01-28 2021-09-28 株式会社爱发科 沉积源及沉积装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP7478028B2 (ja) 2020-05-27 2024-05-02 大陽日酸株式会社 固体材料供給装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112097114A (zh) * 2020-08-07 2020-12-18 安徽亚格盛电子新材料有限公司 一种精确制备液态mo源和氢气混合气的装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4056730A1 (en) 2021-03-10 2022-09-14 UMICORE AG & Co. KG Container for feeding a precursor material
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
CN115896744A (zh) * 2021-08-17 2023-04-04 北京北方华创微电子装备有限公司 半导体工艺设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113897593B (zh) * 2021-09-13 2023-08-11 浙江陶特容器科技股份有限公司 一种固态前驱体源存储升华器
JP7045743B1 (ja) 2021-10-11 2022-04-01 株式会社リンテック 気化器
CN114318300B (zh) * 2021-12-30 2024-05-10 拓荆科技股份有限公司 一种半导体加工设备及其反应腔室、工艺管路穿腔模块
WO2024051920A1 (en) 2022-09-06 2024-03-14 Sk Inc. Container for feeding a precursor material

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1265863A (en) * 1915-01-13 1918-05-14 William G Abbott Jr Evaporator.
US2447789A (en) * 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US2721064A (en) * 1951-10-03 1955-10-18 Hugo O Reichardt Carbonating device
US2769624A (en) * 1953-07-16 1956-11-06 Okey S Burnside Air cleaner and moistener for carburetors
US2902574A (en) * 1958-02-03 1959-09-01 Hughes Aircraft Co Source for vapor deposition
US3405251A (en) * 1966-05-31 1968-10-08 Trw Inc Vacuum evaporation source
US3647197A (en) * 1970-04-27 1972-03-07 Ford Motor Co Vacuum deposition
US3740043A (en) * 1970-05-26 1973-06-19 Republic Steel Corp Apparatus for vaporizing molten metal
US3834682A (en) * 1972-06-19 1974-09-10 American Hospital Supply Corp Mixing column for medical humidifier and method of humidifying inhalable gases
US3920882A (en) * 1973-04-16 1975-11-18 Owens Illinois Inc N-type dopant source
JPS58519B2 (ja) 1975-07-25 1983-01-06 タナカキキンゾクコウギヨウ カブシキガイシヤ ロジウムメツキヨク
DE2536013A1 (de) * 1975-08-13 1977-03-03 Bosch Gmbh Robert Verfahren zur verbesserung der haltbarkeit von aus siliciumoxiden bestehenden schutzschichten
GB1559978A (en) 1976-12-01 1980-01-30 Gen Electric Co Ltd Chemical vapour deposition processes
US4190965A (en) * 1979-01-15 1980-03-04 Alternative Pioneering Systems, Inc. Food dehydrator
JPS55160424A (en) * 1979-05-31 1980-12-13 Fujitsu Ltd Vapor phase epitaxial device
JPS58126973A (ja) * 1982-01-22 1983-07-28 Hitachi Ltd 薄膜形成用ソ−ス供給装置
JPS6070176A (ja) * 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
JPH01147254A (ja) 1987-12-04 1989-06-08 Matsushita Electric Ind Co Ltd 強制給排気式温風機
JPH0817804B2 (ja) * 1987-12-23 1996-02-28 雪印乳業株式会社 殺菌剤気化装置
DE3801147A1 (de) * 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JPH0623565Y2 (ja) * 1988-03-28 1994-06-22 日本電気株式会社 Cvd装置用原料収納容器
JPH0269389A (ja) * 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
JP2711327B2 (ja) 1988-10-14 1998-02-10 住友電気工業株式会社 気相エピタキシヤル成長用クラスト形成装置
JPH0726364Y2 (ja) * 1989-06-23 1995-06-14 日本酸素株式会社 気相成長装置用の固形原料供給装置
JPH0372387A (ja) 1989-08-11 1991-03-27 Brother Ind Ltd 現像電極清掃装置
US5104695A (en) * 1989-09-08 1992-04-14 International Business Machines Corporation Method and apparatus for vapor deposition of material onto a substrate
JP2611009B2 (ja) * 1989-09-12 1997-05-21 株式会社エステック 有機金属化合物の気化供給装置
DE3931189A1 (de) 1989-09-19 1991-03-28 Philips Patentverwaltung Vorrichtung und verfahren zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
DE69027496T2 (de) * 1989-09-26 1996-10-31 Canon Kk Gasversorgungsvorrichtung und ihre Verwendung für eine Filmabscheidungsanlage
JP2614338B2 (ja) * 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
JPH0436469A (ja) * 1990-06-01 1992-02-06 Sharp Corp Cvd原料供給方法及びこれに用いる固体原料
US5840897A (en) * 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
JPH04228562A (ja) 1990-12-27 1992-08-18 Mitsubishi Electric Corp 薄膜形成装置
JP3174351B2 (ja) * 1991-03-19 2001-06-11 三菱電線工業株式会社 超電導mocvd用ガス化容器
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
JPH0598445A (ja) 1991-07-05 1993-04-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 有機金属化学気相蒸着用原料容器
JP2885969B2 (ja) 1991-07-08 1999-04-26 富士写真フイルム株式会社 マイクロフィルムリーダ
JP2559880Y2 (ja) * 1991-08-15 1998-01-19 三菱電線工業株式会社 超電導mocvd用蒸発器
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
CA2125657A1 (en) 1991-12-13 1993-06-24 Peter S. Kirlin Apparatus and method for delivery of involatile reagents
JPH05214537A (ja) * 1992-01-30 1993-08-24 Nec Corp 固体昇華用の気化器
KR100320762B1 (ko) 1993-03-18 2002-08-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 증발가능한액체또는비증기특성의원료시약을증발시키는장치및방법
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) * 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
KR960010901A (ko) * 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
US5553188A (en) * 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
JPH0940489A (ja) * 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
JPH08279497A (ja) * 1995-04-07 1996-10-22 Hitachi Ltd 半導体製造装置および半導体装置
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5764849A (en) * 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5917140A (en) * 1996-05-21 1999-06-29 Advanced Technology Materials, Inc. Sorbent-based fluid storage and dispensing vessel with enhanced heat transfer means
DE19638100C1 (de) 1996-09-18 1998-03-05 Fraunhofer Ges Forschung Vorrichtung zum Erzeugen eines dampfförmigen Reaktionsproduktes aus Feststoffteilen
US5874131A (en) * 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US6130160A (en) * 1996-10-02 2000-10-10 Micron Technology, Inc. Methods, complexes and system for forming metal-containing films
US6413476B1 (en) * 1996-12-05 2002-07-02 Mary F. Barnhart Aromatic diffuser with replaceable cartridge
JP3645682B2 (ja) * 1997-03-18 2005-05-11 三菱電機株式会社 Cu成膜用CVD装置
US6409839B1 (en) * 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
AU8487298A (en) * 1997-07-18 1999-02-10 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6018065A (en) * 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6143191A (en) * 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
JP3967455B2 (ja) 1998-03-30 2007-08-29 Dowaホールディングス株式会社 カリウム含有薄膜及びその製法
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
JPH11302286A (ja) * 1998-04-17 1999-11-02 Kojundo Chem Lab Co Ltd バリウムストロンチウムβ−ジケトネートとその製造 方法及びそれを用いたバリウムストロンチウム含有酸 化物誘電体薄膜の製造方法
US6620256B1 (en) * 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6107634A (en) 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
JPH11342328A (ja) * 1998-06-01 1999-12-14 Japan Pionics Co Ltd 気化器及び気化供給方法
JP2000012218A (ja) * 1998-06-23 2000-01-14 Tdk Corp 有機el素子の製造装置および製造方法
US6210485B1 (en) * 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
JP2000104172A (ja) 1998-07-28 2000-04-11 Toshiba Corp 成膜方法,成膜装置及び固形原料
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6281124B1 (en) * 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6202591B1 (en) * 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
JP2000192243A (ja) * 1998-12-24 2000-07-11 Nissin Electric Co Ltd 気化器メンテナンス方法
JP2000239843A (ja) * 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
WO2000065127A1 (en) 1999-04-27 2000-11-02 Tokyo Electron Limited Apparatus and method for delivery of vapor to a cvd chamber
US6184403B1 (en) * 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
JP2000345345A (ja) * 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
JP2001059161A (ja) 1999-08-20 2001-03-06 Tdk Corp 有機薄膜の製造装置および製造方法
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6473564B1 (en) * 2000-01-07 2002-10-29 Nihon Shinku Gijutsu Kabushiki Kaisha Method of manufacturing thin organic film
DE10005820C1 (de) * 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP4233724B2 (ja) 2000-02-25 2009-03-04 株式会社デンソー 薄膜の形成方法
US6237529B1 (en) * 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
WO2001083084A1 (en) 2000-05-03 2001-11-08 Advanced Technology Materials, Inc. Gas cabinet assembly comprising sorbent-based gas storage and delivery system
DE60106675T2 (de) 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US6581915B2 (en) * 2000-07-27 2003-06-24 The Procter & Gamble Company Dispensing device for dispensing scents
US6887337B2 (en) * 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
US6443435B1 (en) * 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
JP2002270523A (ja) * 2001-03-13 2002-09-20 Ricoh Co Ltd 窒素化合物供給装置および窒素化合物供給方法および成膜装置
US6561498B2 (en) * 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US6431118B1 (en) * 2001-05-21 2002-08-13 Imagine Gold, L.L.C. Apparatus and method for providing humidified air to a terrarium
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6701066B2 (en) * 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP3932874B2 (ja) 2001-11-27 2007-06-20 三菱マテリアル株式会社 有機金属化学蒸着法用ルテニウム化合物及び該化合物により得られたルテニウム含有薄膜
TW200300701A (en) * 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
US20030111014A1 (en) * 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
US6620225B2 (en) * 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7524374B2 (en) * 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
JP3945455B2 (ja) 2002-07-17 2007-07-18 株式会社豊田中央研究所 粉末成形体、粉末成形方法、金属焼結体およびその製造方法
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US6797337B2 (en) * 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US6841141B2 (en) * 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6779378B2 (en) * 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US6863021B2 (en) * 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
US6991671B2 (en) * 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR20050004379A (ko) * 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US6837939B1 (en) * 2003-07-22 2005-01-04 Eastman Kodak Company Thermal physical vapor deposition source using pellets of organic material for making OLED displays
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005046941A (ja) 2003-07-31 2005-02-24 Canon Inc ケーブル微動ユニット付きステージ装置
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7109113B2 (en) * 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
WO2006009872A1 (en) 2004-06-22 2006-01-26 Arkema Inc. Direct injection chemical vapor deposition method
US20060037540A1 (en) * 2004-08-20 2006-02-23 Rohm And Haas Electronic Materials Llc Delivery system
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7722720B2 (en) 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US20070042119A1 (en) * 2005-02-10 2007-02-22 Larry Matthysse Vaporizer for atomic layer deposition system
CN101495190B (zh) * 2005-03-16 2013-05-01 高级技术材料公司 用于从固体源递送试剂的系统
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US20070194470A1 (en) 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
EP1860208B1 (en) 2006-05-22 2014-10-15 Rohm and Haas Electronic Materials LLC Film deposition method
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US9109287B2 (en) 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8708320B2 (en) 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
KR20080076173A (ko) 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
JP6070176B2 (ja) 2012-12-27 2017-02-01 大日本印刷株式会社 転写箔

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170000379A (ko) * 2015-05-07 2017-01-02 (주)지오엘리먼트 모세관 현상을 이용한 고효율 기화기
KR20240074489A (ko) 2022-11-21 2024-05-28 (주)지오엘리먼트 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
KR20240074503A (ko) 2022-11-21 2024-05-28 (주)지오엘리먼트 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법

Also Published As

Publication number Publication date
AU2003245757A8 (en) 2004-02-09
US20040016404A1 (en) 2004-01-29
AU2003245757A1 (en) 2004-02-09
US20150337436A1 (en) 2015-11-26
JP6157025B2 (ja) 2017-07-05
KR101178030B1 (ko) 2012-08-29
SG179319A1 (en) 2012-04-27
US20120153048A1 (en) 2012-06-21
KR20120032554A (ko) 2012-04-05
KR101178002B1 (ko) 2012-08-28
JP5538857B2 (ja) 2014-07-02
US7828274B2 (en) 2010-11-09
EP2361672A2 (en) 2011-08-31
KR20050021558A (ko) 2005-03-07
US9004462B2 (en) 2015-04-14
US20090136668A1 (en) 2009-05-28
EP2361672A3 (en) 2011-11-23
WO2004010463A3 (en) 2004-08-19
EP1539336B1 (en) 2012-12-05
JP2014111842A (ja) 2014-06-19
JP2006503178A (ja) 2006-01-26
KR101152715B1 (ko) 2012-06-15
WO2004010463A2 (en) 2004-01-29
EP1539336A4 (en) 2008-04-09
TW200405484A (en) 2004-04-01
CN101476115A (zh) 2009-07-08
SG10201502354RA (en) 2015-05-28
US6921062B2 (en) 2005-07-26
US10465286B2 (en) 2019-11-05
JP4843218B2 (ja) 2011-12-21
CN100438960C (zh) 2008-12-03
US8444120B2 (en) 2013-05-21
US20150218696A1 (en) 2015-08-06
CN101033537A (zh) 2007-09-12
CN1671466A (zh) 2005-09-21
JP2016104912A (ja) 2016-06-09
US20110052482A1 (en) 2011-03-03
JP2010111946A (ja) 2010-05-20
JP6293699B2 (ja) 2018-03-14
US9469898B2 (en) 2016-10-18
EP1539336A2 (en) 2005-06-15
TWI303461B (en) 2008-11-21
KR20080098448A (ko) 2008-11-07
JP2015158015A (ja) 2015-09-03
US20130228476A1 (en) 2013-09-05
SG167665A1 (en) 2011-01-28
JP6230431B2 (ja) 2017-11-15
US8128073B2 (en) 2012-03-06
US20170029946A1 (en) 2017-02-02

Similar Documents

Publication Publication Date Title
KR101178002B1 (ko) 기화기, 기화기 사용 방법, 기화 장치 사용 방법, 용기, 기화기 유닛 및 반도체 프로세스 챔버용 증기 발생 방법
JP6133954B2 (ja) ガスと蒸発材料との接触を促進するのを助ける方法及び装置
US20030111014A1 (en) Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
KR20210032279A (ko) 반응물 증발 시스템용 가열 구역 분리

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150724

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160726

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170725

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 7