JP2016104912A - 蒸発器配送アンプル - Google Patents

蒸発器配送アンプル Download PDF

Info

Publication number
JP2016104912A
JP2016104912A JP2016011999A JP2016011999A JP2016104912A JP 2016104912 A JP2016104912 A JP 2016104912A JP 2016011999 A JP2016011999 A JP 2016011999A JP 2016011999 A JP2016011999 A JP 2016011999A JP 2016104912 A JP2016104912 A JP 2016104912A
Authority
JP
Japan
Prior art keywords
container
ampoule
vertically stacked
delivery system
carrier gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016011999A
Other languages
English (en)
Other versions
JP6157025B2 (ja
Inventor
グレッグ,ジョン
John Gregg
バトル,スコット
Scott Battle
バントン,ジェフリー,アイ.
Jeffrey I Banton
ナイトー,ドン
Donn Naito
フイエラー,マリアンヌ
Marianne Fuierer
ラクスマン,ラビ,ケー.
Ravi K Laxman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2016104912A publication Critical patent/JP2016104912A/ja
Application granted granted Critical
Publication of JP6157025B2 publication Critical patent/JP6157025B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C3/00Vessels not under pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C3/00Vessels not under pressure
    • F17C3/02Vessels not under pressure with provision for thermal insulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2203/00Vessel construction, in particular walls or details thereof
    • F17C2203/03Thermal insulations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/30Hydrogen technology
    • Y02E60/32Hydrogen storage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S261/00Gas and liquid contact apparatus
    • Y10S261/65Vaporizers

Abstract

【課題】固体および/または液体ケミカルソースを効率的に蒸発させる蒸発器システム及び方法を提供する。【解決手段】可蒸発ソース材料を保持するための複数の垂直に積重された容器を備え、半導体製造プロセスで用いるための蒸発器配送システム。垂直に積重された容器のそれぞれが、各積重された容器の内部へ延伸する複数の孔付き突出部を備え、それによって、近接し垂直に積重された容器間に、キャリヤガスが通過するための通路を提供する。【選択図】図1

Description

発明の分野
本発明は蒸発器に関し、より詳細には、たとえば、化学気相成長(CVD)法、原子層化学気相成長(ALCVD)法およびイオン注入法において用いられる液体および固体ソース試薬などの液体および固体材料の蒸発のために、拡大した表面積を提供する多数の容器を有する蒸発器配送システムに関する。
関連技術の説明
化学気相成長法(CVD)は、半導体ウエハ処理において、フィルムおよび被膜の前処理用として広範に用いられてきた。CVDは、たとえば、比較的迅速な処理時間において、非常にコンフォーマルで高質なフィルムを提供するその能力のために、多くの点で好まれている堆積法である。さらに、CVDは、深いコンタクトおよび他の開口部に関してさえも、非常にコンフォーマルなフィルムを提供することを始めとして、不整な形状の基板を被膜するのに有益である。
一般に、CVD技法には、所望する反応の熱力学に好適な温度および圧力条件の下で化学反応が起こる基板の表面に、ガス状の反応物を配送することが伴う。CVDを用いて形成可能な層のタイプおよび組成は、反応物または反応前駆体を、基板の表面に配送する能力によって制限される。様々な液体反応物および前駆体が、液体反応物をキャリヤガスで配送することによって、CVD用途においてうまく用いられている。液体反応物CVDシステムにおいては、典型的には、キャリヤガスを、液体反応物の容器を通して、制御された速度でバブリングし、キャリヤガスを液体反応物で飽和させるようにし、次に、飽和したキャリヤを反応チャンバに移送する。固体反応物をCVD反応チャンバに配送するために類似の試みがなされてきたが、あまり成功していない。CVD処理における固体前駆体の配送は、昇華器/バブラ法を用いて実行されるが、この方法において、前駆体は、通常、昇華器/バブラ槽に置かれ、この槽が、次に、前駆体の昇華温度にまで加熱されて、前駆体をガス状の化合物に変換し、この化合物が、水素、ヘリウム、アルゴンまたは窒素などのキャリヤガスとともにCVD反応器に移送される。しかしながら、この手順は、確実にかつ再現可能に固体前駆体を反応チャンバに配送することにおいては、多くの理由で成功していない。この技法に関する主な問題は、蒸発された固体前駆体の再現可能なフローを処理チャンバに配送できるような、制御された速度で固体を一貫して蒸発させることができないことに集中する。また、急速に流れるキャリヤガスストリームの完全な飽和を保証することが困難である。なぜなら、蒸発器システムにおける固体前駆体の曝された表面積の量が制限され、最大の昇華をもたらす均一な温度が欠如しているからである。
ドーパント要素が、イオン化され、次に続いて、注入用にワークピース表面に向けられるイオンビームを形成するために加速されるイオン源を含む従来のイオン注入システムにおいても、類似の問題は固有のものである。固体ドーパント材料が用いられるときには、それは、一般に、加熱のために蒸発器に置かれ、続いて形成される蒸気が、イオン化およびその後のイオンビーム形成のために、イオン源の内部に移送される。
固体イオン源材料は、安全上の理由で、大いに好まれているが、固体半導体ドーパントは、深刻な技術的および操作上の問題を呈してきた。たとえば、蒸発器における固体前駆体材料の利用によって、器械類のダウンタイムの拡大、製品品質の劣化および蒸発器内における堆積物の蓄積などが引き起こされる。
先行技術の蒸発器システムには、蒸発器内における凝結された材料の蓄積、および蒸発器における均一な加熱の欠如による蒸発器内部での「冷点」の形成を始めとする数々の不都合がある。不要な堆積物の蓄積は、回転個別バイアルおよび/またはソース材料のウェルのための内部可動表面を必要とする蒸発器システムにおいて、激化させられる。これらの内部機構は、蒸発器内に追加的な「冷点」を生じ、蒸発された材料のさらなる堆積をもたらす。さらに、内部可動機構への堆積物の蓄積のために、これらの蒸発器の動作は、効率的でも信頼できるものでもない。先行技術による蒸発器の欠点は、低い蒸気圧で温度感受性の固体ソース材料に特に顕著である。かくして、蒸発された固体前駆体の再現可能なフローを下流の堆積システムに配送できるように、制御された速度で固体を蒸発させることは困難である。
したがって、ソース材料の熱解離、蒸発器内における堆積物の蓄積による内部可動部品の動作不能、蒸発器内における「冷点」による低蒸気圧化合物の凝結および/または下流堆積システムへの一貫しない蒸気フローなどの、先行技術に付随する不都合なしに、固体および/または液体ケミカルソースを効率的に蒸発させる蒸発器システムが、当該技術分野で必要とされている。
発明の概要
本発明は、半導体製造用途のために特定の有用性を有する固体および液体ケミカルソースを蒸発させるための蒸発器システムおよび方法に関する。
一の態様において、本発明は、典型的な堆積用途に必要とされるフローレートを満たす均一なキャリヤガスフローを、十分な表面積に供給する、ソース材料の蒸発および配送のための蒸気配送システムに関し、この蒸気配送システムは、
a)可蒸発ソース材料を保持するための少なくとも1つの容器と、
b)容器に配置された複数の孔付き突出部であって、可蒸発ソース材料が通過するための通路を提供する孔付き突出部と、
c)容器を通過するためのキャリヤガスストリームを導入するキャリヤガス管と、
を備える。
別の態様において、本発明は、前駆体の蒸発および配送のための蒸気配送システムを提供し、この蒸気配送システムは、
a)アンプル底部と、側壁と、着脱自在の上端部とを含み、内部アンプル室を形成するアンプルと、
b)アンプルに連通して結合したガス入口およびガス出口と、
c)内部アンプル室内に配置された少なくとも1つの容器であって、容器底部および側壁を含み、容器キャビティを形成する容器と、
d)少なくとも容器底部に配置され、容器底部を通して通路を提供する複数の孔付き突出部であって、容器キャビティ内に延伸する孔付き突出部と、
を備える。
以下により完全に説明するように、前駆体には、固体または液体ソース材料を含んでもよい。前駆体は、限定するわけではないが、デカボラン、ホウ素、燐、ガリウム、インジウム、銅、アンチモン、四塩化ハフニウム、四塩化ジルコニウム、ヒ素、三塩化インジウム、有機金属β−ジケトン錯体、シクロペンタジエニルシクロヘプタトリエニル−チタン(CpTiCht)、三塩化アルミニウム、ヨウ化チタン、シクロオクタテトラエンシクロ−ペンタジエニルチタン、ビスシクロペンタジエニルチタンジアジド、タングステンカルボニルを始めとする固体前駆体であることが好ましい。
さらに別の態様において、本発明は、処理ツールの最小限の後付で従来のアンプルに容易に挿入できる蒸発器に関し、この蒸発器は、
a)各容器がソース材料を保持するためのキャビティを有している複数の垂直に積重された容器と、
b)垂直に積重された容器のそれぞれに配置され、各それぞれのキャビティ内に延伸する複数の孔付き突出部であって、近接し垂直に積重された容器間に、キャリヤガスが通過するための通路を形成する孔付き突出部と、
c)複数の垂直に積重された容器と接触し、かつ各垂直に積重された容器のキャビティを通して延伸するキャリヤガス管と、
を備える。
さらなる態様において、本発明は、蒸発器を提供し、この蒸発器は、
a)アンプル底部およびアンプル側壁を有して、内室を形成するアンプルと、
b)内室を密閉するアンプル上端部と、
c)アンプル上端部に連通して結合したガス入口および出口と、
d)内室内に配置された、複数の垂直に積重され接触している容器であって、各容器が、容器底部および容器側壁を含み、固体前駆体材料を保持するための容器キャビティを形成し、各容器側壁が、アンプル側壁と接触している容器と、
e)各容器底部に配置され、かつ、近接し垂直に積重され接触している容器間に通路を提供する複数の孔付き突出部であって、容器キャビティ内に延伸する孔付き突出部と、
f)内室内に配置され、かつキャリヤガスを垂直に積重され接触している容器の下へ導くために、ガス入口に連通されているキャリヤガス浸漬管と、
g)アンプルを加熱するための手段と、
を備える。
別の態様において、本発明は、複数の先細の突出部を含む容器に関するが、これらの先細の突出部は、先細の突出部が容器キャビティ内に延伸するにつれて狭くなる円錐形状の孔を有している。突出部のこの構成によって、アンプル内に配置された複数の垂直に積重された容器を通して、キャリヤガスの実質的に一方向のフローが提供される。
さらに別の態様において、本発明は、ソース材料を蒸発させ、それを下流のプロセスチャンバに配送するための方法を提供するが、この方法は、
a)蒸発可能ソース材料を自身に含む複数の相互接続され垂直に積重された容器を提供することであって、相互接続され垂直に積重された容器のそれぞれが、複数の通路付き突出部を含むことと、
b)相互接続され垂直に積重された容器を、封止可能アンプル内に配置することと、
c)封止可能アンプル内のソース材料を蒸発させるのに十分な量で、封止可能アンプルに熱を印加することと、
d)蒸発されたソース材料を、封止可能アンプルを通してプロセスチャンバに移動させるために、キャリヤガスを封止可能アンプルに導入することと、
を含む。
本発明の他の態様および特徴は、続く開示および添付の特許請求の範囲から、より完全に明らかとなるであろう。
本発明の一の実施形態による蒸発器の斜視図である。 本発明によるアンプル内に配置された容器に配置された複数の孔付き突出部の上面図である。 本発明の複数の円筒形状の突出部を示す、容器の側面図である。 本発明の複数の円錐形状の突出部を示す、容器の側面図である。 本発明の複数の垂直に積重された容器の側面図である。 本発明の蒸発器配送システムの簡略化された概略図である。 アンプルを加熱し、かつキャリヤガスが本発明のアンプルを通って流れるのにつれて、容器ユニット内の温度を検知した結果を示すグラフである。 アンプルを加熱し、かつ本発明のアンプル内における容器ユニットおよび突出部内の温度を検知した結果を示すグラフである。 側部の孔を含む複数の円錐形状の突出部を示す、容器の側面図である。 本発明の代替実施形態を示す。
発明および発明の好ましい実施形態の詳細な説明
本発明は、次の知見に基づいている。すなわち、蒸発器システムで用いられるある一定のソース材料は、典型的な堆積用途に必要とされるフローレートを満たすのに十分な量で適切に蒸発されないということである。場合によっては、必要なフローレートを達成するために、200℃を超える高温を用いて、ソース材料の分解を引き起こす可能性のある昇華速度を増加した。
本発明の一の実施形態による、図1に示す蒸発器は、先行技術による蒸発器の欠陥を克服する。この蒸発器配送システム10には、たとえば、銀、銀合金、銅、銅合金、アルミニウム、アルミニウム合金、鉛、ニッケルクラッド、ステンレス鋼、黒鉛および/またはセラミック材料などの適切な熱伝導材料で製作されるアンプル12が含まれる。アンプルには、内室を形成する底部14および側壁16が含まれる。アンプルは、図示の円筒形状など、アンプルを通してキャリヤガスの均一なフローを容易にする任意の形状とすることができる。アンプルベースの好ましい形状は、正確に機械加工された容器に対して、1インチの1/1000〜3/1000の隙間のみを許す非常に厳しい許容値にまで機械加工された円筒形状である。容器の取り付けには壁が極めて平行であることを必要とするが、これは、容器の側壁がそれぞれアンプルベースの内壁と良好な熱接触を有することを保証するためである。理解できるように、容器とアンプル内壁とのむらのない接触を保証するために必要な厳重な許容値のゆえに、容器ユニットの取り付けおよび取り外しプロセスには、簡単な取り付けを可能とするために、ベースおよび容器それぞれの加熱および/または冷却を必要とする可能性がある。
キャリヤガス入口20は、アンプル上端部18に配置され、キャリヤガスをアンプルに導入するために、アンプルに連通されるのが好ましい。
複数の垂直に積重された容器22が、アンプルの内室内に配置されている。積重された容器は、容易な洗浄および補充のために互いに分離可能でアンプルから着脱自在である。内部キャリヤガス部材23がアンプル内に配置されているが、この内部キャリヤガス部材23は、キャリヤガス入口20に接続(溶接)され、内室の底部および垂直に積重された容器における最も下側の容器の下にキャリヤガスを導く。図1において、内部キャリヤガス部材23は、各容器キャビティ27(図3に示す)および容器底部24を通過している。しかしながら、内部キャリヤガス部材が容器底部と交差する箇所で漏れのない封止を保証するために、特にソース材料が液体の場合には、封止用O−リング38(図5に示す)を容器間に配置してもよいことを理解すべきである。各容器(トレイ)側壁の上端面で容器間を封止するために、外側O−リングを追加することもまた考えられる。
また、固体前駆体しだいで、キャリヤガスフローにおける固体の飛沫同伴を止める必要があるかもしれない。高純度ステンレスフリット(おそらく細孔径1〜100ミクロン)が、キャリヤガスフローレートにおいて任意の位置で付着し得る。フリットは、各突出部の「入口」に付着する可能性があり、大きなディスク状のフリットが、上端トレイに付着し、蓋をアンプルに据え付けることによる圧力によって上端トレイに閉じ込められるか、または出口のガスフロー通路に付着する可能性がある。
図3に示すように、個別容器22は、それぞれ、底部24および側壁26を備えて、好ましいソース材料28を配置するための容器キャビティ27を形成する。容器は、たとえば、銀、銀合金、銅、銅合金、アルミニウム、アルミニウム合金、鉛、ニッケルクラッド、ステンレス鋼、黒鉛および/またはセラミック材料などの非反応性の熱伝導材料で製作するのが好ましい。
個別容器のそれぞれには、複数の突出部30が含まれ、各突出部には、突出部を通してキャリヤガスが移動するための通路32が含まれる。突出部の形状は、そこを通してガスが容易に流れるような備えをする任意の構成としてもよい。突出部は、たとえば図3および図4に示すように、形状が円筒状かまたは円錐状であるのが好ましい。
図4に、円錐形状の孔を備えた略漏斗様構成を有する突出部30を示すが、この孔は、先細の突出部が容器キャビティ27へ延伸するのにつれて細くなる。円錐形状の孔は、近接する下側容器から、より大きな孔開口部34を通って容器キャビティ(より小さな孔36)へ、キャリヤガスの通過をもたらし、一方で、キャリヤガスの、下側近接容器への逆流を低減させる。重要なことだが、積重された容器を通して一方向のフローを維持することは、多くの半導体処理システムによって必要とされるフローレートにおいて、蒸発されたソース材料で飽和したキャリヤガスの移動を増進する。蒸発されたソース材料を含むキャリヤガスが、先細の突出部を通して、アンプルの底部から上方へ移動するにつれて、ガス分子が突出部の壁に押し付けられる渦巻き効果が起きる。この渦巻き効果は、蒸発されたソース材料を先細の突出部の加熱された壁と接触させながら、突出部を通して迅速にキャリヤガスを移動させる。かくして、蒸発されたソース材料は、加熱された容器との接触を維持して、蒸発された材料の沈殿を引き起こす可能性があり、および/または突出部における通路を詰まらせる可能性のある冷点の付随的な低減が伴う。
突出部は、プロセスまたは昇華速度を最適化するための調整可能性を考慮した、市販の孔付きステンレス鋼ねじなど、容器表面に装着された延長部とすることができる。代替として、突出部は、容器底部の一部として一体的に形成され、これらの突出部への最大の熱移動を可能にする。突出部それぞれの高さは、容器側壁の高さとほぼ同じかまたは低いのが好ましい。各突出部の高さは、容器側壁の高さよりも低く、それによって、それぞれの容器内において、ガスの分散および循環のために、突出部の端部上に頭隙を設けることがより好ましい。代替として、容器および突出部は、容器それぞれに流動化ベッドを生成するように構成することが可能である。キャリヤガスフローは、固体表面の下から容器に入ることができるので、細孔径は、図10に示す各容器に固体前駆体を保持するのに十分なものであることが理解される。別の実施形態は、図9に示すものなど、固体前駆体の流動化のために固体前駆体を通してキャリヤガスを送るように、自身に孔を含む側壁を有する突出部に関する。
突出部は、液体でも固体でも十分な量のソース材料を配置するために、漏れのない領域を提供する高さがあり、漏れを引き起こすことなく、突出部の開いた孔32を通して、下にある容器へ、必要な蒸発された材料を供給するようにすべきである。各突出部は、容器の底部から垂直に、約0mmから約5mm延伸するのが好ましく、約1.5mmから約3.0mm延伸するのがより好ましい。
図1に示すように、各容器における突出部の位置決めは、近接する容器の突出部からわずかにオフセットされ、それによって、キャリヤガスは、蒸発されたソース材料と接触するために容器内で循環するようにされ、その後突出部を通して次のレベルの容器に移送される。有利なことに、キャリヤガスが多数のレベルで接触し、また蒸発器システムには増加した量のソース材料があるので、増進された速度でのキャリヤガスの飽和がもたらされる。
蒸発器配送システム10のサイズは、下流のCVD装置またはイオン注入システムに供給される蒸発ガスの量に依存して異なる。蒸発器のサイズは、一般に、約3〜6インチ、好ましくは約3.75インチの内径を有するシリンダに対応する。積重された容器を含む容器の量は、蒸発器のサイズによって決定され、3つから5つの容器をアンプルに密閉するのが好ましい。
複数の内部容器を含む、本発明のアンプルは、ソース材料のタイプおよび供給量、蒸発ガスの濃度ならびに他の動作条件に従い、加熱して所望の温度に保つことができる。加熱は、蒸発器の回りに巻かれたリボンヒータか蒸発器を覆う形状を有するブロックヒータを用いて、または熱風もしくは液体熱媒体の循環によって行うことができる。加熱方法は、蒸発器が加熱され、所望の温度に正確に保たれる限り、特に限定されない。アンプルは、底部に対して側壁から加熱するのが望ましいが、これは、次の可能性を低減するためである。すなわち、下側の容器がより高い温度で昇華すること、および結果として詰まりをもたらす可能性のある、起こり得る凝結の箇所となり得るより低温の上側容器を形成することの可能性である。好ましい熱移動は、アンプルの側壁から容器の側壁へとなる。加熱方法、加熱されたキャリヤガスの入口管の長さおよびキャリヤガスのフローレートに依存して、キャリヤガスの予熱がまた、必要となる可能性がある。
有利なことに、本発明の蒸発器配送システムは、一連の加熱された容器を提供し、これらの容器が、加熱された表面積を増加する複数の加熱された突出部をさらに提供し、それによって、増加した熱の配分により、固体ソース材料の昇華を可能とする。アンプルが、処理中に、より一貫した温度を提供し維持する大きな熱量を有することは、望ましい可能性がある。本発明は、大きな熱量を備えた設計とされたが、これは、固体状態から蒸気状態へと、固体ソース材料の昇華を持続するためには、熱が必要だという事実に基づいている。所与の温度において、固体の蒸気圧は、界面におけるその材料の分圧である。すなわち、所与の期間において、表面から昇華する分子の数と同じ数の分子が、固体表面に凝結する。ガス状態における分子が、キャリヤガスによって、固体/ガス界面から取り去られた場合には、平衡が破壊される。明らかに、十分な熱が固体表面に供給され、昇華の潜熱を補う場合には、平衡を回復するために、昇華が、より速い速度で起こる。複数の加熱された突出部を設けることによって、伝導容器全体は、加熱された表面として作用し、それによって、昇華速度を増加し、飽和キャリヤガスのフローレートの増加をもたらし、通路付きの突出部を詰まらせる可能性のある蒸発されたソース材料の沈殿を低減する。
蒸発器の温度は、下流のCVD装置またはイオン注入システムの動作条件、ならびに蒸気圧およびソース材料の量に依存して異なる。温度は、一般に、約40〜約300℃である。
本発明の蒸発器配送システムには、キャリヤガスを供給するためおよび蒸発器に接続された蒸発ガスを移動させるためのライン、ならびに圧力および温度測定用の調整バルブおよび器械がさらに含まれる。ガス供給ライン、および下流の半導体製造プロセスチャンバへ蒸発された材料を移動させるラインにおいて温度を維持するために、ヒータを設けてもよい。ラインにおける凝結を防ぐために、下流ラインをアンプルよりも5〜10℃高くすることが望ましい。
本発明の蒸発器システムを利用する方法には、ソース材料を容器に導入し、その後容器をアンプル内に積重することが含まれる。ソース材料は、固体、液体または溶剤に溶解された固体であってもよい。さらに、ソース材料は、任意の様々な方法により、フィルムの形状で、容器キャビティ内の容器および突出部の表面に被膜してもよく、これらの方法には、加熱により金属錯体を溶解し、溶解された金属錯体を支持体に塗布し、次に冷却する方法が含まれる。また、金属錯体を溶剤に溶解して、容器および突出部の表面に塗布し、続いて減圧下で溶剤を除去することができる。下流処理システムにおいて種々のソース材料が用いられることになる場合には、本発明によって、垂直に積重された容器の異なる容器に、異なるソース材料を導入する能力が提供される。
内部ガスキャリヤ部材23と接触している複数の垂直に積重された容器を含む、図5に示す蒸発器ユニット44は、アンプルに配置される。アンプル上端部蓋18(図1および2に示す)は、伝導アンプルの上端部に配置され、そしてO−リング要素(O−リングは、テフロン、エラストマまたは金属シールで製作してもよい)および/またはねじなどの機械的固定具などによって、アンプルに締め付けられる。アンプルを加熱するための手段が連結され、アンプルおよび接触している容器内の内部温度が、密閉されたソース材料を蒸発させるために十分な温度へ高められる。昇華速度は、第1のすなわち最も下側の容器で最大であろう。なぜなら、そのトレイには、純粋なキャリヤが入るのに対して、その上の容器には、部分的または完全に飽和したキャリヤガスが入るからである。したがって、底部容器にはより多くの前駆体を入れること、および/または容器の高さ寸法を増やして、プロセスツールにおけるアンプルの耐用期間を通して、より均一な表面積を考慮することが必要となる可能性がある。
ソース材料として用いられる固体は、昇華プロセスを通して蒸発されるが、このことは、伝導材料で製作された複数の垂直に積重された容器と好ましくは接触している伝導アンプルの壁を加熱することによって達成される。昇華プロセスには、固体、たとえば、デカボランを、中間の液体状態に入ることなく、固体状態から蒸気状態へ変換することが含まれる。本発明は、任意の適切な固体ソース材料、たとえば、約20℃〜約300℃間の範囲における昇華温度で特徴付けられ、かつ約10−2トル〜約10トルの範囲における蒸気圧を有する固体材料と用いると効率的である。
温度は、限定するわけではないが、制御された温度操作のために組み立てられおよび構成されたストリップヒータ、放射ヒータ、加熱されたエンクロージャ、循環流体ヒータ、抵抗加熱システム、誘導加熱システム等を始めとする任意の熱調節システムによって、蒸発器内で制御してもよい。さらに、アンプル内の温度は、熱電対、サーミスタ、または熱伝導アンプルおよび/または容器の表面に接触するように構成された任意の他の適切な温度検知接合部または装置によって検知することができる。
容器からソース材料が使い尽くされたときを判定するために、本発明者らは、上端トレイおよび/または底部トレイにおける固体または液体の量を判定するレベルセンサ監視システムを考えているが、これは、容器の底面における反射面に連通され、容器がほとんど空かまたは空のときに、信号の変化を生じる光センサなどである。
図6は、蒸発器10にキャリヤガスを供給するための簡略化された蒸発器配送システム66を示す。キャリヤガスソース64は、蒸発器10に接続されてキャリヤガスを供給する。ソース材料を導入する代替モードにおいて、液体ソース材料は、液体ソース容器67から導入してもよい。キャリヤガスのフローレートは、フローメータ68によって監視および制御してもよく、これらのフローメータ68は、キャリヤガス配送ラインおよび蒸発されたソース材料を処理チャンバ70に移送するラインに配設される。ガス配送ラインは、高フローレートを考慮して、ポリマーなど低い摩擦係数を有する材料で製造するのが好ましい。蒸発器配送システムは、蒸発器ユニット10に連通された少なくとも1つの加熱手段72によって発生される熱エネルギの伝送をもたらす熱伝導材料で製造するのが好ましい。
完全な蒸発のために必要なパワー量は、ソース材料およびキャリヤガスの化学的性質ならびにそれらの混合物のフローレートの関数である。本発明によると、蒸発器に移動される熱パワーは、最適な恒温温度を提供するために、約100W〜約3000Wの間である。このように、流れている混合物によって吸収される加熱パワーは、利用可能な加熱パワーの少量の部分である。したがって、ガス蒸気によって吸収されるパワーは、利用できる加熱パワーに対して、取るに足らない外乱であり、伝導加熱表面の理想的な恒温温度を実質的に維持することが可能とされる。
操作において、前駆体ソース材料は、乾燥ボックスまたはグローブボックスに入れて容器ユニットに装荷し、アンプルが開いている間の、前駆体と酸素および湿気との反応を除くのが好ましい。ガスソース64からのキャリヤガスは、1sccm〜約500sccmのガスフローで、ガス入口20から蒸発器ユニットに導入される。キャリヤガスは、キャリヤガスの安定したフローをもたらす圧力で蒸発器内へ移送され、このフローが、垂直に積重された容器の突出部を通して押し込まれる。キャリヤガスは、垂直に積重された容器の異なるレベルを通して上方へと渡って行くので、キャリヤガスは、蒸発されたソース材料で飽和され、ガス出口バルブ40で蒸発器ユニットからプロセスチャンバ70へ移送される。
本発明の利点は、次の実施例を参照して、より完全に例証される。
実施例1
図1に示すような、本発明のアンプル内に積重された5つの容器を有する容器ユニットを含む本発明の蒸発器をテストし、アンプルから容器への熱伝導性の有効性を判定した。アンプルは、良質なステンレス鋼で製造され、アンプル周囲の回りにぴったり合う形状を有するブロックヒータに接続された。ヒータは、可変AC電圧源でありかつ加熱の程度を制御する可変トランスに接続された。アンプル内に配置された個別容器のいくつか、すなわち、容器1および5が、加熱の均一性およびアンプルから容器への熱移動の有効性を判定するために、温度センサに接続された。アンプルに導入され、かつ約500sccmで容器ユニットを通過するキャリヤガスのソースに、アンプルを接続した。図7に示すように、アンプルに装着されたヒータの温度が上昇するにつれて、容器で検知された内部温度もまた、熱伝導の有効性に応じて上昇した。容器1および5で検知された温度は、アンプルの温度と同等であり、容器の積重を通した加熱の均一性を示した。かくして、個別容器は、前駆体材料を蒸発させるために均一に加熱される追加表面積を提供する。
図8は、アンプルから、容器5に配置された突出部への熱の配分を示す。温度は130℃に上昇され、アンプル内の熱配分は、最初の1時間の蒸発の後で、ほぼ一定であった。多数の容器が、前駆体材料を配置するための増加した領域を提供するので、アンプルへの補給なしに、より多くの製品の製造が可能であり、それによって、器械のオフタイムを低減する。

Claims (32)

  1. ソース材料の蒸発および配送のための蒸気配送システムであって、
    a)アンプル底部と、側壁と、着脱自在の上端部とを含み、内部アンプル室を形成するアンプルと、
    b)前記アンプルに連通して結合されたガス入口およびガス出口と、
    c)前記内部アンプル室内に配置された少なくとも1つのソース材料容器であって、容器底部および側壁を含み、容器キャビティを形成する容器と、
    d)少なくとも前記容器底部に配置され、前記容器底部を通して通路を提供する複数の孔付き突出部であって、前記容器キャビティ内に延伸する孔付き突出部と、
    を備えるシステム。
  2. 前記内室内に配置され、前記容器底部を通って延伸し、かつ前記ガス入口に連通して結合した内部ガス搬送部材をさらに備える、請求項1に記載の蒸気配送システム。
  3. 前記内部ガス搬送部材は、前記容器底部の中央を通って延伸する、請求項2に記載の蒸気配送システム。
  4. 前記容器側壁は、容器内に固体前駆体を保持するために十分に高い、請求項2に記載の蒸気配送システム。
  5. 前記複数の孔付き突出部は、前記容器側壁に配置されている、請求項2に記載の蒸気配送システム。
  6. 前記容器底部、前記側壁および前記複数の孔付き突出部は、前記アンプルにおける前記内室の表面積と略同等の表面積を有している、請求項1に記載の蒸気配送システム。
  7. 前記内室に配置された複数の垂直に積重された容器を含む、請求項5に記載の蒸気配送システム。
  8. 各容器側壁は、上に重なり垂直に近接する容器と接触するのに十分な高さがあり、容器間にガス保持スペースを形成する、請求項7に記載の蒸気配送システム。
  9. 前記孔付き突出部の高さは、前記容器側壁の高さよりも低い、請求項8に記載の蒸気配送システム。
  10. 前記内部ガス搬送部材は、前記複数の垂直に積重された容器を通して延伸する、請求項9に記載の蒸気配送システム。
  11. 前記複数の垂直に積重された容器それぞれの前記容器側壁は、前記アンプル側壁と接触する、請求項10に記載の蒸気配送システム。
  12. 前記内部ガス搬送部材と、前記複数の垂直に積重された容器それぞれを通して延伸する箇所との間に配置された封止用O−リングをさらに含む、請求項11に記載の蒸気配送システム。
  13. 前記ガス入口および前記ガス出口は、前記アンプル上端部に配置されている、請求項12に記載の蒸気配送システム。
  14. 前記ガス入口は、前記アンプル上端部の略中央に配置されている、請求項13に記載の蒸気配送システム。
  15. 前記内部ガス搬送部材は、キャリヤガス浸漬管である、請求項14に記載の蒸気配送システム。
  16. 前記キャリヤガス浸漬管は、前記複数の垂直に積重された容器を通って、前記内室の底部に延伸する、請求項15に記載の蒸気配送システム。
  17. 前記孔付き突出部は、第2の端部よりも小さい直径を備えた第1の端部を有する漏斗形状をしている、請求項15に記載の蒸気配送システム。
  18. より小さい直径を備えた前記第1の端部が前記容器キャビティ内に延伸し、もって、キャリヤガスを前記ガス出口に導き、キャリヤガスの逆流を低減する、請求項17に記載の蒸気配送システム。
  19. 前記アンプルおよび前記複数の垂直に積重された容器は、熱伝導材料から構成されている、請求項15に記載の蒸気配送システム。
  20. 前記アンプルを加熱するための手段をさらに備える、請求項1に記載の蒸気配送システム。
  21. a)アンプル底部およびアンプル側壁を含み、内室を形成するアンプルと、
    b)前記内室を密閉するアンプル上端部と、
    c)前記アンプル上端部に連通して結合したガス入口およびガス出口と、
    d)前記内室内に配置された、複数の垂直に積重され接触している容器であって、各容器が、容器底部および容器側壁を含み、固体前駆体材料を保持するための容器キャビティを形成し、各容器側壁が、前記アンプル側壁と接触している容器と、
    e)各容器底部に配置され、かつ、近接し垂直に積重され接触している容器間に通路を提供する複数の孔付き突出部であって、前記容器キャビティ内に延伸する孔付き突出部と、
    f)前記内室内に配置され、かつ、キャリヤガスを前記垂直に積重され接触している容器の下へ導くために前記ガス入口に連通して結合しているキャリヤガス浸漬管と、
    g)前記アンプルを加熱するための手段と、
    を備える蒸発器。
  22. a)各容器がソース材料を保持するためのキャビティを有する複数の垂直に積重された容器と、
    b)前記垂直に積重された容器のそれぞれに配置され、かつ、各それぞれのキャビティ内に延伸する複数の孔付き突出部であって、近接し垂直に積重された容器間でキャリヤガスが通過するための通路を形成する孔付き突出部と、
    c)前記複数の垂直に積重された容器と接触し、かつ、各垂直に積重された容器の前記キャビティを通して延伸するキャリヤガス管と、
    を備える蒸発器ユニット。
  23. 前記垂直に積重された容器のそれぞれが、少なくとも1つの近接する容器と接触している、請求項22に記載の蒸発器ユニット。
  24. 前記突出部は漏斗形状であり、かつ、前記容器キャビティ内に延伸するにつれて狭くなる、請求項22に記載の蒸発器ユニット。
  25. 前記ソース材料が、固体前駆体である、請求項22に記載の蒸発器ユニット。
  26. 前記突出部は、前記固体前駆体を流動化するために、前記固体前駆体を通してキャリヤガスを移動させるための孔を自身に含む側壁を有する、請求項25に記載の蒸発器ユニット。
  27. a)可蒸発ソース材料を保持するための少なくとも1つの容器と、
    b)前記容器に配置された複数の孔付き突出部であって、前記可蒸発ソース材料の通過のための通路を提供する孔付き突出部と、
    c)前記容器を通過するための前記キャリヤガスのストリームを導入するキャリヤガス管と、
    を備える蒸発器ユニット。
  28. 蒸発されたソース材料を、下流のプロセスチャンバに配送するための方法であって、
    a)可蒸発ソース材料を自身に含む複数の相互接続され垂直に積重された容器を提供することであって、相互接続され垂直に積重された容器のそれぞれが、複数の通路付き突出部を含むことと、
    b)前記相互接続され垂直に積重された容器を、封止可能アンプル内に配置することと、
    c)前記封止可能アンプル内の前記ソース材料を蒸発させるのに十分な量で、前記封止可能アンプルに熱を印加することと、
    d)前記蒸発されたソース材料を、前記封止可能アンプルを通して前記プロセスチャンバに移動させるために、キャリヤガスを前記封止可能アンプルに導入することと、
    を含む方法。
  29. 前記孔付き容器は、複数の孔付き突出部を含み、近接し垂直に積重された容器間で通路を形成する、請求項28に記載の方法。
  30. 前記垂直に積重された容器が、少なくとも1つの近接する容器と接触している、請求項28に記載の方法。
  31. 前記ソース材料が、固体前駆体である、請求項28に記載の方法。
  32. a)アンプル底部およびアンプル側壁を含み、内室を形成するアンプルと、
    b)前記内室を密閉するアンプル上端部と、
    c)前記アンプル上端部に連通して結合されたガス入口およびガス出口と、
    d)前記内室内に配置された、複数の垂直に積重され接触している容器であって、各容器が、容器底部および容器側壁を含み、固体前駆体材料を保持するための容器キャビティを形成し、各容器側壁が、前記アンプル側壁と接触している容器と、
    e)各容器底部に配置され、近接し垂直に積重され接触している容器間で通路を提供する複数の孔と、
    f)前記内室内に配置され、かつ、キャリヤガスを前記垂直に積重され接触している容器の下へ導くために前記ガス入口に連通して結合されたキャリヤガス浸漬管と、
    g)前記アンプルを加熱するための手段と、
    を備える蒸発器。
JP2016011999A 2002-07-23 2016-01-26 蒸発器配送アンプル及びその利用方法 Expired - Lifetime JP6157025B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/201,518 2002-07-23
US10/201,518 US6921062B2 (en) 2002-07-23 2002-07-23 Vaporizer delivery ampoule

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014013897A Division JP6230431B2 (ja) 2002-07-23 2014-01-29 蒸発器配送アンプル

Publications (2)

Publication Number Publication Date
JP2016104912A true JP2016104912A (ja) 2016-06-09
JP6157025B2 JP6157025B2 (ja) 2017-07-05

Family

ID=30769655

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2004523054A Expired - Lifetime JP4843218B2 (ja) 2002-07-23 2003-07-01 蒸発器配送アンプル
JP2009283359A Expired - Lifetime JP5538857B2 (ja) 2002-07-23 2009-12-14 蒸発器配送アンプル
JP2014013897A Expired - Lifetime JP6230431B2 (ja) 2002-07-23 2014-01-29 蒸発器配送アンプル
JP2015079307A Expired - Lifetime JP6293699B2 (ja) 2002-07-23 2015-04-08 蒸発器配送アンプル
JP2016011999A Expired - Lifetime JP6157025B2 (ja) 2002-07-23 2016-01-26 蒸発器配送アンプル及びその利用方法

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2004523054A Expired - Lifetime JP4843218B2 (ja) 2002-07-23 2003-07-01 蒸発器配送アンプル
JP2009283359A Expired - Lifetime JP5538857B2 (ja) 2002-07-23 2009-12-14 蒸発器配送アンプル
JP2014013897A Expired - Lifetime JP6230431B2 (ja) 2002-07-23 2014-01-29 蒸発器配送アンプル
JP2015079307A Expired - Lifetime JP6293699B2 (ja) 2002-07-23 2015-04-08 蒸発器配送アンプル

Country Status (9)

Country Link
US (8) US6921062B2 (ja)
EP (2) EP1539336B1 (ja)
JP (5) JP4843218B2 (ja)
KR (4) KR20080098448A (ja)
CN (3) CN100438960C (ja)
AU (1) AU2003245757A1 (ja)
SG (3) SG167665A1 (ja)
TW (1) TWI303461B (ja)
WO (1) WO2004010463A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019104959A (ja) * 2017-12-12 2019-06-27 東京エレクトロン株式会社 原料容器
JP2021114467A (ja) * 2017-08-22 2021-08-05 プラクスエア・テクノロジー・インコーポレイテッド イオン注入のためのアンチモン含有材料
JP7392137B2 (ja) 2019-10-24 2023-12-05 インテグリス・インコーポレーテッド レベルセンサ付き昇華アンプル

Families Citing this family (526)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) * 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US7211454B2 (en) * 2003-07-25 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a light emitting device including moving the source of the vapor deposition parallel to the substrate
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7494905B2 (en) * 2003-08-21 2009-02-24 Texas Instruments Incorporated Method for preparing a source material including forming a paste for ion implantation
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
EP1695369A4 (en) * 2003-12-12 2009-11-04 Semequip Inc METHOD AND DEVICE FOR EXTENDING DEVICE TERMINATION IN ION IMPLANTATION
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
FR2878453B1 (fr) * 2004-11-30 2007-03-16 Centre Nat Rech Scient Cnrse Dispositif de fourniture de vapeurs d'un precurseur solide a un appareil de traitement
KR101300266B1 (ko) * 2005-03-16 2013-08-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 시약의 고체 소스로부터 시약을 운반하기 위한 시스템
US8197898B2 (en) 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7566477B2 (en) 2005-03-31 2009-07-28 Tokyo Electron Limited Method for saturating a carrier gas with precursor vapor
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US7132128B2 (en) 2005-03-31 2006-11-07 Tokyo Electron Limited Method and system for depositing material on a substrate using a solid precursor
DE102005030862B4 (de) * 2005-07-01 2009-12-24 Sintec Keramik Gmbh Erstbenetzungshilfsmaterial für einen Verdampferkörper, seine Verwendung zum Herrichten der Verdampferfläche eines Verdampferkörpers und ein elektrisch beheizbarer keramischer Verdampferkörper
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
TWI476292B (zh) 2005-08-30 2015-03-11 尖端科技材料股份有限公司 利用選擇性氟化硼前驅物之硼離子植入方法,及供植入用之大群氫化硼之形成方法
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
GB2432371B (en) * 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
JP4960720B2 (ja) * 2006-02-10 2012-06-27 東京エレクトロン株式会社 膜前駆体蒸発システムにおいて使用される膜前駆体のトレーおよびその使用方法
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7297719B2 (en) 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
KR101467585B1 (ko) * 2006-04-26 2014-12-01 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 공정 시스템의 세정
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
WO2007142700A1 (en) * 2006-06-02 2007-12-13 Advanced Technology Materials, Inc. Copper (i) amidinates and guanidinates for forming copper thin films
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8382898B2 (en) 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
KR101390425B1 (ko) 2006-11-22 2014-05-19 소이텍 화학기상증착 챔버용 온도제어 퍼지 게이트 밸브
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
ATE546570T1 (de) 2006-11-22 2012-03-15 Soitec Silicon On Insulator Verfahren zur epitaktischen abscheidung von einkristallinen iii-v halbleitermaterial
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
EP2066496B1 (en) * 2006-11-22 2013-04-10 Soitec Equipment for high volume manufacture of group iii-v semiconductor materials
US8197597B2 (en) * 2006-11-22 2012-06-12 Soitec Gallium trichloride injection scheme
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
US7955649B2 (en) * 2007-01-17 2011-06-07 Visichem Technology, Ltd. Forming thin films using a resealable vial carrier of amphiphilic molecules
TW200831694A (en) * 2007-01-17 2008-08-01 Advanced Tech Materials Precursor compositions for ALD/CVD of group II ruthenate thin films
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US7875125B2 (en) * 2007-09-21 2011-01-25 Semequip, Inc. Method for extending equipment uptime in ion implantation
WO2009064427A2 (en) * 2007-11-13 2009-05-22 Mckinley James J Variable concentration dynamic headspace vapor source generator
US8899556B2 (en) * 2007-11-15 2014-12-02 Lawrence Livermore National Security, Llc. Systems and methods for generation of hydrogen peroxide vapor
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
CN101960564B (zh) * 2008-03-17 2012-11-21 应用材料公司 用于安瓿的加热阀歧管
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US9297071B2 (en) 2009-11-02 2016-03-29 Sigma-Aldrich Co. Llc Solid precursor delivery assemblies and related methods
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
US8758515B2 (en) 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8776821B2 (en) 2011-05-24 2014-07-15 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2012255193A (ja) * 2011-06-09 2012-12-27 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013028854A (ja) * 2011-07-29 2013-02-07 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
JP5913888B2 (ja) 2011-09-30 2016-04-27 国立大学法人東北大学 気化器
US8724974B2 (en) * 2011-09-30 2014-05-13 Fujikin Incorporated Vaporizer
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
WO2013126323A1 (en) * 2012-02-23 2013-08-29 Applied Materials, Inc. Method and apparatus for precursor delivery
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
CN109972119A (zh) 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
FR2996628B1 (fr) * 2012-10-04 2014-12-26 Commissariat Energie Atomique Reservoir de stockage d'hydrogene a hydrures metalliques de fabrication simplifiee et dispositif de stockage comportant au moins un tel reservoir
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102024830B1 (ko) * 2013-05-09 2019-09-25 (주)지오엘리먼트 기화기
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015029457A1 (en) 2013-09-02 2015-03-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for producing pyromellitic dianhydride, pyromellitic dianhydride produced by the method, and apparatus therefor
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9334566B2 (en) 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9343315B2 (en) * 2013-11-27 2016-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating semiconductor structure, and solid precursor delivery system
US9957612B2 (en) 2014-01-17 2018-05-01 Ceres Technologies, Inc. Delivery device, methods of manufacture thereof and articles comprising the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102387359B1 (ko) * 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US10392700B2 (en) * 2014-04-21 2019-08-27 Entegris, Inc. Solid vaporizer
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6301867B2 (ja) * 2015-03-31 2018-03-28 東芝メモリ株式会社 気化システム
US10857818B2 (en) * 2015-04-30 2020-12-08 Shibaura Mechatronics Corporation Tablet printing apparatus and tablet printing method
KR102299892B1 (ko) * 2015-05-07 2021-09-10 (주)지오엘리먼트 모세관 현상을 이용한 고효율 기화기
KR102269079B1 (ko) * 2015-05-08 2021-06-25 (주)지오엘리먼트 충진이 용이하고 기화 효율이 향상된 기화기
KR101725959B1 (ko) 2015-05-08 2017-04-21 (주)지오엘리먼트 충진이 용이하고 기화 효율이 향상된 기화기
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US20170023235A1 (en) * 2015-07-21 2017-01-26 Apple Inc. Sublimator/vaporizer
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
MY190445A (en) 2015-08-21 2022-04-21 Flisom Ag Homogeneous linear evaporation source
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109153480B (zh) * 2016-04-19 2021-04-27 恩特格里斯公司 防误开化学反应剂包装
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9928983B2 (en) * 2016-06-30 2018-03-27 Varian Semiconductor Equipment Associates, Inc. Vaporizer for ion source
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11946131B2 (en) * 2017-05-26 2024-04-02 Universal Display Corporation Sublimation cell with time stability of output vapor pressure
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10676370B2 (en) * 2017-06-05 2020-06-09 Axcelis Technologies, Inc. Hydrogen co-gas when using aluminum iodide as an ion source material
JP6324609B1 (ja) * 2017-06-21 2018-05-16 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11104993B2 (en) * 2017-07-28 2021-08-31 Entegris, Inc. Modular tray ampoule
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
KR102344996B1 (ko) 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
WO2019058969A1 (ja) * 2017-09-21 2019-03-28 株式会社Kokusai Electric 貯留容器、気化器、基板処理装置および半導体装置の製造方法
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10895347B2 (en) * 2017-10-20 2021-01-19 Entegris, Inc. Heat transfer to ampoule trays
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190186003A1 (en) * 2017-12-14 2019-06-20 Entegris, Inc. Ampoule vaporizer and vessel
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10832913B2 (en) * 2018-02-14 2020-11-10 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for forming semiconductor structure
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
EP3841388A4 (en) 2018-05-23 2022-07-27 Senseer Ltd DEVICE, SYSTEM AND METHOD FOR MONITORING THE OPERATION OF A PROJECTILE LAUNCHER
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112703271A (zh) * 2018-09-03 2021-04-23 应用材料公司 用于薄膜沉积的直接液体注射系统
KR102576431B1 (ko) * 2018-09-10 2023-09-08 삼성디스플레이 주식회사 유기물 제조장치 및 이를 이용한 제조방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7240881B2 (ja) * 2019-01-18 2023-03-16 Jx金属株式会社 塩化金属の昇華容器
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11821087B2 (en) 2019-04-26 2023-11-21 Entegris, Inc. Vaporization vessel and method
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113853449B (zh) * 2019-05-31 2023-10-10 应用材料公司 用于在基板上形成膜的方法及系统
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20230037208A1 (en) * 2019-12-11 2023-02-02 Lam Research Corporation Liquid precursor vaporizer
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US20220162741A1 (en) * 2020-01-28 2022-05-26 Ulvac, Inc. Evaporator and deposition apparatus
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112097114A (zh) * 2020-08-07 2020-12-18 安徽亚格盛电子新材料有限公司 一种精确制备液态mo源和氢气混合气的装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) * 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4056730A1 (en) 2021-03-10 2022-09-14 UMICORE AG & Co. KG Container for feeding a precursor material
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113897593B (zh) * 2021-09-13 2023-08-11 浙江陶特容器科技股份有限公司 一种固态前驱体源存储升华器
JP7045743B1 (ja) 2021-10-11 2022-04-01 株式会社リンテック 気化器
CN114318300A (zh) * 2021-12-30 2022-04-12 拓荆科技股份有限公司 一种半导体加工设备及其反应腔室、工艺管路穿腔模块
WO2024051920A1 (en) 2022-09-06 2024-03-14 Sk Inc. Container for feeding a precursor material

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6070176A (ja) * 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
JPH01147254U (ja) * 1988-03-28 1989-10-11
JPH0397693A (ja) * 1989-09-12 1991-04-23 Stec Kk 有機金属化合物の気化供給装置
JPH03208889A (ja) * 1990-01-11 1991-09-12 Toshiba Corp 液体ソース容器
JPH04292406A (ja) * 1991-03-19 1992-10-16 Mitsubishi Cable Ind Ltd 超電導mocvd用ガス化容器
JPH0519351U (ja) * 1991-08-15 1993-03-09 三菱電線工業株式会社 超電導mocvd用蒸発器
JPH0598445A (ja) * 1991-07-05 1993-04-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 有機金属化学気相蒸着用原料容器
JPH08279497A (ja) * 1995-04-07 1996-10-22 Hitachi Ltd 半導体製造装置および半導体装置
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
JPH11278997A (ja) * 1998-03-30 1999-10-12 Dowa Mining Co Ltd カリウム含有薄膜及びその製法
JPH11342328A (ja) * 1998-06-01 1999-12-14 Japan Pionics Co Ltd 気化器及び気化供給方法
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
JP2001059178A (ja) * 1999-08-20 2001-03-06 Pioneer Electronic Corp 化学気相成長法における原料供給装置及び原料供給方法
WO2002027064A1 (de) * 2000-09-29 2002-04-04 Aixtron Ag Verfahren und vorrichtung zum abscheiden insbesondere organischer schichten im wege der ovpd

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1265863A (en) 1915-01-13 1918-05-14 William G Abbott Jr Evaporator.
US2447789A (en) 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US2721064A (en) * 1951-10-03 1955-10-18 Hugo O Reichardt Carbonating device
US2769624A (en) * 1953-07-16 1956-11-06 Okey S Burnside Air cleaner and moistener for carburetors
US2902574A (en) 1958-02-03 1959-09-01 Hughes Aircraft Co Source for vapor deposition
US3405251A (en) 1966-05-31 1968-10-08 Trw Inc Vacuum evaporation source
US3647197A (en) 1970-04-27 1972-03-07 Ford Motor Co Vacuum deposition
US3740043A (en) 1970-05-26 1973-06-19 Republic Steel Corp Apparatus for vaporizing molten metal
US3834682A (en) * 1972-06-19 1974-09-10 American Hospital Supply Corp Mixing column for medical humidifier and method of humidifying inhalable gases
US3920882A (en) * 1973-04-16 1975-11-18 Owens Illinois Inc N-type dopant source
JPS58519B2 (ja) 1975-07-25 1983-01-06 タナカキキンゾクコウギヨウ カブシキガイシヤ ロジウムメツキヨク
DE2536013A1 (de) * 1975-08-13 1977-03-03 Bosch Gmbh Robert Verfahren zur verbesserung der haltbarkeit von aus siliciumoxiden bestehenden schutzschichten
GB1559978A (en) 1976-12-01 1980-01-30 Gen Electric Co Ltd Chemical vapour deposition processes
US4190965A (en) * 1979-01-15 1980-03-04 Alternative Pioneering Systems, Inc. Food dehydrator
JPS55160424A (en) * 1979-05-31 1980-12-13 Fujitsu Ltd Vapor phase epitaxial device
JPS58126973A (ja) * 1982-01-22 1983-07-28 Hitachi Ltd 薄膜形成用ソ−ス供給装置
JPH01147254A (ja) 1987-12-04 1989-06-08 Matsushita Electric Ind Co Ltd 強制給排気式温風機
JPH0817804B2 (ja) * 1987-12-23 1996-02-28 雪印乳業株式会社 殺菌剤気化装置
DE3801147A1 (de) * 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JPH0269389A (ja) * 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
JP2711327B2 (ja) 1988-10-14 1998-02-10 住友電気工業株式会社 気相エピタキシヤル成長用クラスト形成装置
JPH0726364Y2 (ja) * 1989-06-23 1995-06-14 日本酸素株式会社 気相成長装置用の固形原料供給装置
JPH0372387A (ja) 1989-08-11 1991-03-27 Brother Ind Ltd 現像電極清掃装置
US5104695A (en) 1989-09-08 1992-04-14 International Business Machines Corporation Method and apparatus for vapor deposition of material onto a substrate
DE3931189A1 (de) 1989-09-19 1991-03-28 Philips Patentverwaltung Vorrichtung und verfahren zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
SG45405A1 (en) * 1989-09-26 1998-01-16 Canon Kk Gas feeding device and deposition film forming apparatus employing the same
US5020476A (en) 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
JPH0436469A (ja) * 1990-06-01 1992-02-06 Sharp Corp Cvd原料供給方法及びこれに用いる固体原料
US5711816A (en) * 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5840897A (en) * 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
JPH04228562A (ja) 1990-12-27 1992-08-18 Mitsubishi Electric Corp 薄膜形成装置
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
JP2885969B2 (ja) 1991-07-08 1999-04-26 富士写真フイルム株式会社 マイクロフィルムリーダ
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
DE69224996T2 (de) 1991-12-13 1998-07-30 Advanced Tech Materials Vorrichtung und verfahren zur abgabe nicht-flüchtiger reagenzien
JPH05214537A (ja) * 1992-01-30 1993-08-24 Nec Corp 固体昇華用の気化器
JP3103596B2 (ja) 1993-03-18 2000-10-30 アドバンスド.テクノロジー.マテリアルズ.インコーポレイテッド 蒸気形態の試薬をcvd反応器に供給するための装置および方法
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
KR960010901A (ko) * 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
US5553188A (en) 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5764849A (en) 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
US5917140A (en) 1996-05-21 1999-06-29 Advanced Technology Materials, Inc. Sorbent-based fluid storage and dispensing vessel with enhanced heat transfer means
DE19638100C1 (de) 1996-09-18 1998-03-05 Fraunhofer Ges Forschung Vorrichtung zum Erzeugen eines dampfförmigen Reaktionsproduktes aus Feststoffteilen
US6130160A (en) * 1996-10-02 2000-10-10 Micron Technology, Inc. Methods, complexes and system for forming metal-containing films
US5874131A (en) * 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US6413476B1 (en) 1996-12-05 2002-07-02 Mary F. Barnhart Aromatic diffuser with replaceable cartridge
JP3645682B2 (ja) 1997-03-18 2005-05-11 三菱電機株式会社 Cu成膜用CVD装置
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
AU8487298A (en) * 1997-07-18 1999-02-10 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
JPH11302286A (ja) * 1998-04-17 1999-11-02 Kojundo Chem Lab Co Ltd バリウムストロンチウムβ−ジケトネートとその製造 方法及びそれを用いたバリウムストロンチウム含有酸 化物誘電体薄膜の製造方法
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6107634A (en) 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
JP2000012218A (ja) 1998-06-23 2000-01-14 Tdk Corp 有機el素子の製造装置および製造方法
US6210485B1 (en) 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
JP2000104172A (ja) 1998-07-28 2000-04-11 Toshiba Corp 成膜方法,成膜装置及び固形原料
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6281124B1 (en) * 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6202591B1 (en) 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
JP2000192243A (ja) * 1998-12-24 2000-07-11 Nissin Electric Co Ltd 気化器メンテナンス方法
JP2000239843A (ja) * 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
WO2000065127A1 (en) 1999-04-27 2000-11-02 Tokyo Electron Limited Apparatus and method for delivery of vapor to a cvd chamber
US6184403B1 (en) * 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
JP2000345345A (ja) 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
JP2001059161A (ja) 1999-08-20 2001-03-06 Tdk Corp 有機薄膜の製造装置および製造方法
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6473564B1 (en) 2000-01-07 2002-10-29 Nihon Shinku Gijutsu Kabushiki Kaisha Method of manufacturing thin organic film
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP4233724B2 (ja) 2000-02-25 2009-03-04 株式会社デンソー 薄膜の形成方法
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
WO2001083084A1 (en) 2000-05-03 2001-11-08 Advanced Technology Materials, Inc. Gas cabinet assembly comprising sorbent-based gas storage and delivery system
EP1160355B1 (en) * 2000-05-31 2004-10-27 Shipley Company LLC Bubbler
US6581915B2 (en) * 2000-07-27 2003-06-24 The Procter & Gamble Company Dispensing device for dispensing scents
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
JP2002270523A (ja) * 2001-03-13 2002-09-20 Ricoh Co Ltd 窒素化合物供給装置および窒素化合物供給方法および成膜装置
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US6431118B1 (en) 2001-05-21 2002-08-13 Imagine Gold, L.L.C. Apparatus and method for providing humidified air to a terrarium
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6701066B2 (en) 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP3932874B2 (ja) 2001-11-27 2007-06-20 三菱マテリアル株式会社 有機金属化学蒸着法用ルテニウム化合物及び該化合物により得られたルテニウム含有薄膜
TW200300701A (en) 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
US20030111014A1 (en) 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
US6620225B2 (en) * 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
JP3945455B2 (ja) 2002-07-17 2007-07-18 株式会社豊田中央研究所 粉末成形体、粉末成形方法、金属焼結体およびその製造方法
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US6797337B2 (en) 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6779378B2 (en) 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US6863021B2 (en) 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
US6991671B2 (en) * 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR20050004379A (ko) 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US6837939B1 (en) 2003-07-22 2005-01-04 Eastman Kodak Company Thermal physical vapor deposition source using pellets of organic material for making OLED displays
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005046941A (ja) 2003-07-31 2005-02-24 Canon Inc ケーブル微動ユニット付きステージ装置
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7109113B2 (en) 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
WO2006009872A1 (en) 2004-06-22 2006-01-26 Arkema Inc. Direct injection chemical vapor deposition method
US20060037540A1 (en) 2004-08-20 2006-02-23 Rohm And Haas Electronic Materials Llc Delivery system
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7722720B2 (en) 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US20070042119A1 (en) 2005-02-10 2007-02-22 Larry Matthysse Vaporizer for atomic layer deposition system
KR101300266B1 (ko) 2005-03-16 2013-08-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 시약의 고체 소스로부터 시약을 운반하기 위한 시스템
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US20070194470A1 (en) 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
JP5209899B2 (ja) 2006-05-22 2013-06-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. デリバリーデバイス
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9109287B2 (en) 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8708320B2 (en) 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
KR20080076173A (ko) 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
JP6070176B2 (ja) 2012-12-27 2017-02-01 大日本印刷株式会社 転写箔

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6070176A (ja) * 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
JPH01147254U (ja) * 1988-03-28 1989-10-11
JPH0397693A (ja) * 1989-09-12 1991-04-23 Stec Kk 有機金属化合物の気化供給装置
JPH03208889A (ja) * 1990-01-11 1991-09-12 Toshiba Corp 液体ソース容器
JPH04292406A (ja) * 1991-03-19 1992-10-16 Mitsubishi Cable Ind Ltd 超電導mocvd用ガス化容器
JPH0598445A (ja) * 1991-07-05 1993-04-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 有機金属化学気相蒸着用原料容器
JPH0519351U (ja) * 1991-08-15 1993-03-09 三菱電線工業株式会社 超電導mocvd用蒸発器
JPH08279497A (ja) * 1995-04-07 1996-10-22 Hitachi Ltd 半導体製造装置および半導体装置
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
JPH11278997A (ja) * 1998-03-30 1999-10-12 Dowa Mining Co Ltd カリウム含有薄膜及びその製法
JPH11342328A (ja) * 1998-06-01 1999-12-14 Japan Pionics Co Ltd 気化器及び気化供給方法
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
JP2001059178A (ja) * 1999-08-20 2001-03-06 Pioneer Electronic Corp 化学気相成長法における原料供給装置及び原料供給方法
WO2002027064A1 (de) * 2000-09-29 2002-04-04 Aixtron Ag Verfahren und vorrichtung zum abscheiden insbesondere organischer schichten im wege der ovpd

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021114467A (ja) * 2017-08-22 2021-08-05 プラクスエア・テクノロジー・インコーポレイテッド イオン注入のためのアンチモン含有材料
JP7273088B2 (ja) 2017-08-22 2023-05-12 プラクスエア・テクノロジー・インコーポレイテッド イオン注入のためのアンチモン含有材料
JP2019104959A (ja) * 2017-12-12 2019-06-27 東京エレクトロン株式会社 原料容器
JP7392137B2 (ja) 2019-10-24 2023-12-05 インテグリス・インコーポレーテッド レベルセンサ付き昇華アンプル

Also Published As

Publication number Publication date
EP2361672A3 (en) 2011-11-23
US6921062B2 (en) 2005-07-26
US20170029946A1 (en) 2017-02-02
EP1539336B1 (en) 2012-12-05
WO2004010463A3 (en) 2004-08-19
JP2014111842A (ja) 2014-06-19
JP6230431B2 (ja) 2017-11-15
EP2361672A2 (en) 2011-08-31
KR20120032554A (ko) 2012-04-05
US9004462B2 (en) 2015-04-14
US20150218696A1 (en) 2015-08-06
SG167665A1 (en) 2011-01-28
WO2004010463A2 (en) 2004-01-29
JP6293699B2 (ja) 2018-03-14
US7828274B2 (en) 2010-11-09
US20110052482A1 (en) 2011-03-03
JP2006503178A (ja) 2006-01-26
US8444120B2 (en) 2013-05-21
JP4843218B2 (ja) 2011-12-21
JP6157025B2 (ja) 2017-07-05
KR101178030B1 (ko) 2012-08-29
SG179319A1 (en) 2012-04-27
CN101033537A (zh) 2007-09-12
CN100438960C (zh) 2008-12-03
KR101178002B1 (ko) 2012-08-28
US20040016404A1 (en) 2004-01-29
US20120153048A1 (en) 2012-06-21
CN1671466A (zh) 2005-09-21
AU2003245757A8 (en) 2004-02-09
JP2010111946A (ja) 2010-05-20
AU2003245757A1 (en) 2004-02-09
US10465286B2 (en) 2019-11-05
JP2015158015A (ja) 2015-09-03
EP1539336A4 (en) 2008-04-09
EP1539336A2 (en) 2005-06-15
JP5538857B2 (ja) 2014-07-02
US20150337436A1 (en) 2015-11-26
US20090136668A1 (en) 2009-05-28
TWI303461B (en) 2008-11-21
TW200405484A (en) 2004-04-01
KR101152715B1 (ko) 2012-06-15
SG10201502354RA (en) 2015-05-28
KR20100137016A (ko) 2010-12-29
KR20080098448A (ko) 2008-11-07
US8128073B2 (en) 2012-03-06
US9469898B2 (en) 2016-10-18
KR20050021558A (ko) 2005-03-07
CN101476115A (zh) 2009-07-08
US20130228476A1 (en) 2013-09-05

Similar Documents

Publication Publication Date Title
JP6157025B2 (ja) 蒸発器配送アンプル及びその利用方法
JP6133954B2 (ja) ガスと蒸発材料との接触を促進するのを助ける方法及び装置
US20030111014A1 (en) Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
KR102447291B1 (ko) 개선된 앰플 증발기 및 용기

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170522

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170605

R150 Certificate of patent or registration of utility model

Ref document number: 6157025

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term