KR0134120B1 - 반도체 디바이스 - Google Patents

반도체 디바이스

Info

Publication number
KR0134120B1
KR0134120B1 KR1019970002512A KR19970002512A KR0134120B1 KR 0134120 B1 KR0134120 B1 KR 0134120B1 KR 1019970002512 A KR1019970002512 A KR 1019970002512A KR 19970002512 A KR19970002512 A KR 19970002512A KR 0134120 B1 KR0134120 B1 KR 0134120B1
Authority
KR
South Korea
Prior art keywords
metal
alloy
heat resistant
dielectric layer
substrate
Prior art date
Application number
KR1019970002512A
Other languages
English (en)
Inventor
라지브 브이 조쉬
제르미 제이 쿠오모
호마즈다이어 엠 다랄
루이스 엘 슈
Original Assignee
죤 디. 크레인
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 죤 디. 크레인, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 죤 디. 크레인
Application granted granted Critical
Publication of KR0134120B1 publication Critical patent/KR0134120B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/015Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

경질의 내마모성을 갖는 내열성 금속은 화학적-기계적 연마동안 긁히거나 침식되거나 잘 손상되지 않으므로, 내열성 금속으로 저저항성 금속 또는 비아를 피복하면, 효과적으로 화학적-기계적 연마 기술을 사용할 수 있다. 저저항성 금속 또는 합금의 물리적증착(예를 들면, 증발 또는 시준 스퍼터링)후에 내열성 금속의 화학적증착을 행하고 평면화하면 양질의 도선과 비아를 만들 수 있다. 화학적 증착법에 의해 내열성 금속을 피복하는 동안 SiH4 대 WF6의 비를 변경하면 텅스텐 피복층내로 혼입되는 실리콘의 양을 조절할 수 있다. 시준 스피터링을 행하면, CVD텅스텐 뿐만 아니라, 구리계 금속막에 대해 적절한 확산 장벽으로 되는 내열설 금속라이너(liner)를 유전체내의 개구부에 만들 수 있다. 이상적으로는 구리와 같이 빠르게 확산되는 금속의 경우, 두 단계의 시준 스퍼터링 공정에 의해 라이너를 제공하는데, 이 공정에서는 방향성 침착이 지배적으로 되는 비교적 낮은 진공압(예를 들면, 1m Torr 이상)에서 두번째 층을 형성한다. CVD 텅스텐과 같은 내열성 금속에 대해서는, 고진 공업에서 시준 스퍼터링을 하는 1단계 공정에 의해 라이너를 형성한다.

Description

반도체 디바이스
본 발명은 반도체 디바이스에 관한 것이며, 또한 반도체 및 이와 관련된 패키기(package)와 같은 기판상에 있는 회로들을 상호접속하는 전기도선 및 비아(electrial conductor lines and via)의 제조에 관한 것으로서, 특히, 증착(chemical vapor desp osition : PVD)에 의해 침착되는 내열성 금속(refractory metal)의 조합을 이용하여 저렴한 비용으로 기판내의 시임(seams) 또는 홀(holes)을 충진하는 방법에 관한 것이다. 본 발명은 서브미크론(submicron)급 회로의 제조에 특히 적용된다.
알루미늄 및 구리와 이들의 2원 및 3원 합금들과 같은 저저항성 금속들은 반도체 제조에 있어서 미세한 도선의 상호 접속으로서 광범위하게 개발되어 왔다. 이같은 미세한 도선의 상호접속 금속의 전형적인 예로서는 AlxCuy(여기서, x+y=1 이고, 0
Figure kpo00001
x
Figure kpo00002
, 0
Figure kpo00003
y
Figure kpo00004
1임)와, Al-Pd-Cu, Al-Pd-Nb 및 Al-Cu-Si 와 같은 3원 합금들과, 다른 유사한 저저항성 금속께 합금들이 있다. 오늘날, 초대규모 직접(VLSI)회로 제조에 있어서 도선 폭 치수의 축소가 강조되고 있으나, 이로 인해, 불충분한 결리(isolation), 전자 이동(electromigration) 및 평면화(planarization)와 같은 신뢰성에 대한 문제점들이 표출되고 있다.
아이비엠(IBM)기술사보 제33권 제5호 217-218면(1990년 10월)에 개재된 안(Ahn)등의 논문에는 수소의 존재속에서 WF6 과 SiH4 의 혼합물을 사용해서 선택적인 침착에 의해 제조한, 텅스텐으로 피복된 구리 도선 및 비아홀(hole)이 개시 되어 있다. 상기 논문의 것과 같은 둘러싸인 상호 접속부(encapsulated interconnects)는 전자이동에 대한 저항력이 상당히 높으며, 선택적인 텅스텐 피막의 입자크기가 작기 때문에 반사율이 저하되고, 장비의 성능이 향상될 수 있다. 그러나, 상기 논문에 기재된 저온을 사용하여 형성된 텅스텐층은 실리콘 함량이 많아(예를들면, 3~4%), 규화구리(copper silicide)의 형성으로 인해 구리의 저항성을 저하시키기 때문에 구리에 대한 양호한 확산장벽이 되지 못한다. 따라서, 낮은 온도에서 선택적 수단으로 확산장벽을 침칙시키는 것은 어렵다. 더우기, 안등의 기술에 의하면, 통상적으로 기체방출(outgassing)수분과 SF6 의 반응으로 형성되는 도선들의 저부에 고리 형상(donut shape)의 형성에 의지하고 있는데, 이 고리 형상의 형성은 신뢰성이 없다고 본다.
달톤(Dalton)등은 VMIC Conference, 289-292 면(1990년6월 12일 -13일)에서, 알루미늄이나 합금 전도체 위에 선택적인 텡스텐층을 형성하기 위해 WF6의 SiH4 환원과 H2 환원을 수반하는 고열벽(hot wall)화확적 등착(CVD)반응을 이용하면 알루미늄과 텅스텐의 계면에 불소(fluorine)가 혼입된다는 것을 지적하고 있다. 이러한 불소의 혼입은 다음 식1에서 나타내는 바와 같이 알루미늄과 WF6 의 반응에 따른 부산물로서 나타난다.
Figure kpo00005
불화알루미튬의 얇은 층은 금속 1의 비아와 금속 2의 비아 간의 직렬 접촉저항을 증가실킬 것이다. 달톤은 화학적 증착을 사용한 텅스텐 갭슐밀봉을 형성하기 전에 알루미늄의 상면에 스퍼터링에 의해서 TiW 막을 형성하면, 불소 흡착의 문제가 해결된다고 보고하고 있다.
달톤은 상호접속 형성을 위한 종래의 방식을 개시하고 있는데, 먼저 알루미늄을 평면상 표면위에 침착하고, 이렇게 형성된 것에 스퍼터링된 TiW 층을 보호피복하고 (종래의 기법과 유일하게 상이함), 그 다음에 포토래지스트 결상(imaging) 및 현상(developing)을 행한 후 반응성 이온에칭(RIE)에 의해 알루미늄을 패턴화(patterning)한다.
그 다음, 이같은 결과의 구조체에 산화규소(SiO2)나 폴리이미드(polyimide)와 같은 패시베이션(passivation)유전체를 보호피복한다. 이 유전체는 그 후에 패턴화되고, 반응성 이온에칭(RIE)이 시행되며, 금속화되어 다층 구조를 형성한다. 제1도는 달톤의 논문에서 발췌한 것으로, 종래의 방법에 의해 제조된 다층 디바이스(device)가 유전체내에서 금속도선들의 위치에 시임(seams)을 가지고 있고 또 매우 불규칙한 상면을 갖고 있음을 나타내고 있다.
반응성 이온에칭을 사용해 유전체를 평면화하는 것은 어렵다. 평면성(planarity)은 부분적으로 패턴밀도(pattern density)에 의존하고, 비평면의 표면은 이후에 금속화를 하는 동안 퍼들링(puddling)의 문제점을 초래한다. 반응성 이온에칭 기술을 폴리이미드상에서 사용하는 경우, 알루미늄계 또는 구리계 도선들을 폴리이미드 표면까지 에칭할 때 그 도선들의 상면에 있는 포토래지스트를 제거하는데 있어 에칭저지측(etch stop)이 필요 한데, 이것은 포토래지스트의 제거 공정이폴리이미드도 제거하기 때문이다. 구리 함량이 높은 알루미늄 또는 구리 합금을 반응성 이온에칭하는 것은 지극히 어렵다. 금속의 반응성 이온에칭을포함하는 종래 방법의 중대한 결점은 입자의 결함으로 인해 미세한 구조의 금속 단락이 대량으로 생길 우려가 있다는 것이다.
브라운(Brown)등의 미국 특허 제 4,824,802호에는 다단 금속화 구조에 있어서 단간의 유전체 비아 또는 접점 홀(contact hole)들을 충진하는 방법이 기술되어 있다. 특히, 텅스텐이나 몰리브덴(molybdenum)과 같은 중간 금속을 CVD에 의해 절연체내의 개구부(opening)들에 선택적으로 침착하거나 또는 표면전체 및 절연체의 개구부에 비선택적으로 침착한다. 그 다음, 이조퀴노네노볼락(azoquinonenovolac)형 래지스트, 폴리메타크릴레이트(polymethaylate), 폴리이미드(polymide) 또는 다른 열가소성 재료등의 평면화 래지스트(planarization resist)를 중간금속의 상면에 도포한다. 그 후, 중간금속이 래지스트와 동 평면이 되는 레벨까지 에칭(etching)하는 것에 의하여 평면화된 구조를 얻는다. 브라운(Brown)등의 방법은 에칭에 따른 금속침식 및 다른 문제점들을 회피할 수 없으며, 또 Al-Cu 또는 다른 연질 합금은 텅스텐, 몰리브덴과 같은 경질금속들과 다른 성질을 갖기 때문에 Al-Cu나 다른 연질합금을 평면화하는데 유용하지 않다. 더우지, 브라운(Brown)등의 방법을 사용하면, 도선들 및 비아들을 완전히 충진하기가 어렵다.
베이어(Beyer)등의 미국 특허 제 4,944.836 호에는 기판위에 동일평면(conplanar)의 금속/절연체 막들을 형성하는데 이용될 수 있는 화학적-기계적 연마기술이 개시되어 있다. 구체적으로, 베이어 등은 하측 절연층을 패턴화하고, Al-Cu 막을 침착하고, 그 다음 희석질산에 넣은 알루미나 슬러리(alumina slurry)로 표면을 기계적으로 마찰하여 Al-Cu 를 제거하는 화학적-기계적 연마기술을 사용하려고 했다. 이 연마용 화합물은 하측 절연체보다 Al-Cu를 제거하는율이 월씬 더 높은 경향이 있다. 이러한 결과의 구조에서는 Al-Cu 도선이 절연층과 더불어 동일한 높이로 평면화되어 있으므로, 다층 구조체의제조시 후속 층이 용이하게 부가될 수 있다.
코드(Cote)등의 미국 특허 제 4.956.313호는 비아를 충진하고 평면화하는 기술을 개시하고 있는데, 그 기술은 다음과 같다. Al-Cu 합금선들을 기판상의 제1패이베이션층의 상면에 패텅화하고, 그 선들을 포스포실리케아이트 글래스(phosphosilicate glass : PSG) 또는 브로포스실리케이트 글래스(borophosphossilicate glass : BPSG)와 같은 바람직하게는 도핑(dopping)된 글래스(glass)인 제2 패시베이션층으로 보호피복한다. 이때 도핑된 그래스인 제2패시베이션층은 Al-Cu 합금선들의 윤곽과 부합한다. 그런 다음, 제2패시베이션층내에 비아들을 형성하여 Al-Cu 합금선들을 노출시킨다. 그런 다음, CVD에 의해 제2패시베이션층내에 비아들을 형성하여 Al-Cu 합금선들을 노출시킨다. 그런 다음, CVD에 의해 제2패시베이션층의 표면위에 및 비아내에 텅스텐을 도포한다. 이 특허에는 CVD 텅스텐이 공형의 특성이 있어 공극들을 형성하지 안혹 비아들을 충진할 수 있다고 기술되어 있다. 그 후, 이러한 구조를 연마용 슬러리로 연마하여 평면화한다.
그러나, 베이어(Beyer)및 코트(cote) 는 그 누구도 Al-Cu 합금과 같은 저저항성의 연질 금속을 연마하면 슬러리의 영향으로 금속 표면이 긁히고 더러워지며 금속이 침식되기 때문에 비실용적이라는 것을 인식하지 못했다. 더우기, 코트 등에 따른 평면화 구조의 형성에는 수개의 처리 단계가 요구되어 비용이 많이 들고 생산성이 저하된다.
로스나겔(Rossnael)등은 J. Vac. Sci. Technol. 2 : 261(1991년 3월/4월)의 논문에서 리프트-오프(lift-off)패턴화 기법 및 홀 충진에 적합한 막을침착하기 위한 시준 마그네트론 스퍼터(colimated magnetron sputter)침착 기술을 개시하고 있다. 이 기술은 또한 미국 특허 제 4,824,544호에도 제시하고 있는데, 이는 본원 발면에 참고로 인용된다.
시오쟈키(Shiozaki)등의 고상 디바이스 및 재료(Solid State Device Materi als)에 대한 19차 회의 초록에는 MoSix 와 같은 고저항성 경질 금속의 상면의 홀을 충진하기 위해 선택적인 텅스텐 침착을 이용하는 것이 개시되어 있는데, 이것은 연질금속을 캡슐밀봉하는 것과는 상관이 없다.
따라서, 본 발명의 목적은 공정수율(process yield)이 높은 방법을 사용하여 서부미크론급의 기판상 회로들을 상호접속하는 저가격, 내부식성, 내마모성 및 내전자이동성(elelctromigration resistant) 의 전도체를 제공하는데 있다.
본 발명의 다른 목적은 도선을 반응성 이온 에칭(Reactive Ion Etching : RIE)에 노출시키는 일 없이 서브미크론급 회로의 제조에 특히 적합한, 캡슐밀봉된 미세한 도선을 저렴한 비용으로 형성하는 기술을 제공하는데 있다.
본 발명의 또다른 목적은 CVD 내열성 금속에 의해 캡슐밀봉된 PVD저저항성 금속으로부터 형성된 독특한 상호접속 도선을 제공하는데 있다.
본 발명의 또다른 목적은 CVD에 의해 텅스텐을 침착하기 전에 높은 종회비(aspect ratio)의 비아나 상호접속 도선에 내열성 금속이나 그의 합금 또는 화합물로 형성된 라이너를 제공하는 것을 포함하여, 높은 종횡비의 비아나 상호접속 도선에 있어서 CVD 텅스텐의 접착을 촉진하는 방법을 제공하는데 있다.
본 발명에 의하면, 내부식성, 내마모성 및 내전자이동을 가지며, 높은 공정수율을 나타내는 전기적 도체를 제공하는 간단하고 저렴한 비용의 기술이 제공된다. 본 기술의 중요한 점은 우선 첫째로 평면상 표면위에서만 침착이 되므로 성가신 유전체 평면화 단계가 완전히 배제된다는 것이다. 본 기술은 증발(evaporation), 스퍼터링 또는 시준 스퍼터링과 같은 통상의 일괄 또는 단일 웨이퍼 PVD 공정 및 이에 후속하는 내열성 금속의 공형(共形, conformal)침착을 사용한다.
본 발명의 상기 및 기타목적, 특징 및 잇점은 첨부된 도면을 참조한 본 발명의 바람직한 실시예에 대한 이하의 상세한 설명으로부터 이해할 수 있을 것이다.
제1도는 불균일한 상부 표면을 보여주는 종래기술에 의한 반도체 기판의 단면도.
제2a도 내지 제2e도는 본 발명의 일실시예를 보여주는 반도체 기판의 연속적인 단면도.
제3a도 및 제3b도는 절연체의 패턴화 전에 평면화 되는 절연체로 보호피복한 디바이스(device)를 갖는 반도체 기판의 연속적인 단면도.
제4a도 내지 제4e도는 본 발명의 다른 변형 실시예를 보여주는 반도체 기판의 연속적인 단면도.
제5a도 내지 제5e도는 본 발명의 또 다른 변형 실시예를 보여주는 반도체 기판의 연속적인 단면도.
제6도는 물리적증착(Physical Vapor Depostition : PVD)을 이용해 비아내에 침착시킨 라이너(liner)를 주사전자 현미경(Scanning Electron Microghaph) : SEM)으로 본 단면도.
제7a도 및 제7b도는 본 발명의 또다른 변형 실시예를 보여주는 반도체 기판의 연속적인 단면도.
제8도는 물리적 증착(PVD)AlxCuy 합금을 화학적 증착(CVD) 텅스텐으로 피복한 예시적인 다층레벨(multilevel)의 상감(象嵌) 구조의 단면도.
제9a도 및 제9b도는 화학적-기계적 연마 전후에 Al-Cu 합금 도선을 텅스텐으로 피복한 구조를 주사전자현미경으로 본 각각의 단면도.
* 도면의 주요부분에 대한 부호의 설명
10 : 기판 11,13 : 무기물 유전체 층
12 : 유기물 유전체 층 14,22 : 개구부
15,17,24 : 내열성 금속 16 : 금속막
20 : 절연체 26 : 접착 촉진층
28 : 라이너
본 발명은 전반적으로, 기판상에 금속으로 충진된 비아와 도선을 형성하는 방법에 관한 것으로, 비아와 도선은 내부식성, 내마모성 및 내전자이동성을 가진 비교적 경질의 내열성 금속으로 씌워진(캡핑된)연질의 저저항성인 금속을 포함하며, 또한 그러한 비아와 도선은 기판상에 피복된 유전체층과 동일 평면으로 된다. 몇가지의 상이한 새로운 구조가, 이용되는 PVD 침착기술에 의존하여, 제2a도 내지 제2e도, 제4a도 내지 제4e도 및 제7a도 내지 제7b도와 관련되어 설명하는 공정에 따라 형성된다. 이들 기술과 결과적인 구조는 임의의 특정 기판과 특정 유전체 도포층의 사용에 제한되지 않음을 알아야 한다.(예를들면, 제2a도 내지 제2e도와 제5a도 내지 제5e도에 도시한 것과 같은 무기물 층과 유기물 층의 복합체도 무기 절연재료 또는 유기 절연재료의 단일층으로 사용될 수 있다). 더우기, 본 발명은 임의의 특정 금속조합에 한정되지 않는다. 오히려, 본 발명의 목적은 연질의 저저항성 금속이나 합금을 내마모성, 내부식성 및 내전자이동성을 가질 수 있는 경질의 내열성 금속으로 피복하는 거에 있다.
본 발명은 알루미늄과 구리 합금을 사용하는 전기적 시스템(electrical system )과 특별한 관련이 있는데, 이것은 내열성 금속 라이너 재료의 균일한 공형 피막을 PVD 시준 시퍼터링을 사용하여 신뢰성 있는 고종횡비의 충진으로 서브미크론급의 비아와 트렌치에 침착시킬 수 있다는 것을 발견했기 때문이다. 내열성 금속 라이너는 이후으 ㅣ공정중에 구리에 대한 효율적인 확산 장벽 역할을 한다.
제2a도를 참조하면, 먼저기판(10)은 차후 패턴화될 유전체로 피복된다. 기판( 10)은 실리콘(Si), 갈륨 아세나이드(GaAs) 또는 집적회로를 제조하기에 적합한 어떤 다른 재료인 것이 바람직하다. 그러나, 기판(10)은 실리콘(Si), 갈륨 아세나이드(GaAs) 또는 직접회로를 제조하기에 적합한 어떤 다른 재료인 것이 바람직하다. 그러나, 기판(10)은 세라믹(ceramic), 그래스(glass), 또는 반도체를 패키징(packaging)하고 박막 상호접속부를 형성하는데 일반적으로 사용되는 복합재료일 수도 있다. 기판(10)에는 전계효과 트랜지스터(FET), 바이폴라 트랜지스터(bipolar transistpr), 저항체, 쇼트키 다이오우드(Schottky diode)등을 포함할 수도 있는 다수의 반도체 디바이스를 형성하는 것이 바람직하다. 제3a도 및 제3b도, 제4a도 내지 제4e도, 제5a도 내지 제5e도, 제7a도 및 제7b도, 그리고 제8도에 도시된 기판(10)은 상기에서 기술된 임의의 속성 뿐만 아니라 이 기술분야에 있어서 알려진 많은 다른 속성들을 가질수 있다는 것을 이해해야 한다. 제2a도에 도시된 유전성 복합체는 하부 무기물 유전체 층(11)과 상부 무기물 유전체 층(13)을 포함하는데, 이들의 유전체 층은 이산화규소(SiO2), 질화규소(Si3N4)등으로 이루어질 수 있다. 무기물 층(11) 및 (13)은 모두 플라즈마 강화 화학적 증착(plasma enhanced CVD)법을 사용하여 침착하는 것이 바람직한데, 이 증착법에서는 우선 전도성 기판(10)상에 90토르(Torr)의 압력에서 이산화규소(SiO2)를 침착하고, 질화규소(Si3N4)(0.075~0.1μm)를 이동성 이온 확산(mobile ion diffusion )에 대한 장벽으로서 제공한다. 폴리이미드(polyimide)와 같은 두꺼운 유기물 유전체 층(12)은 무기물 층(11)과 (13)사이에 침착된다. 층(11), (12) 및 (13)에 의해 형성된 유전성 복합체 대신에, 이산화규소(SiO2), PSG 또는 BPSG와 같은 무기물 유전체, 또는 폴리이미드와 같은 유기물 유전체의 단일층도 사용할 수 있는데, 이 단일층은 산화분위기내에서의 성장, 스퍼터링 또는 플라즈마 강화 화학적 증착과 같은 잘 알려진 임의의 기술을 이용하여 침착할 수도 있다. 제2a도 내지 제2e도 및 제5a도 내지 제5e도는 복합체 구조의 이용을 도시한 것이고, 제3a도 및 제3b도, 제4a도 내지 제4e도 및 제7a도 및 제7b도는 단일 무기물 또는 유전체 층의 이용을 도시한 것이지만, 그 유전체 층(예를 들면, 무기물 또는 유기물)도 본 발명의 실시에 사용될 수 있음을 이해해야 한다.
제2a도는 유전성 복합체내에 형성된 개구부(14)를 도시하는데, 이 개구부는 도선용 비아 또는 트렌치일 수 있다. 초대규모집적(VLSI)회로의 응용에 있어서, 기판(10)은 제2a도에 도시된 것과 같으 개구부(14)를 수백 내지 수천개 가질 수 있는데, 이러한 조밀하고 복잡한 패턴은 기판 위 또는 안에서 회로들을 최종적으로 상호접속할 것이다. 비아 스터드 패턴(via stud pattern)의 경우, 개구부(14)는 콘트라스트 강화 리소그래피(contrast enhanced lithography)를 실시하고, 뒤이어 CHF3 및 O2를 사용하는 멀티웨이퍼(mlti wafer)장비에 의해 최적의 오버에칭(overetch)으로 트렌티 또는 홀의 에칭을 실시함으로써 형성하되, 개구부(14)가 소망의 치수를 갖고 또 기판(10)의 표면상의 접점에까지 이르게 되돌고 형성하는 것이 바람직하다. 도선 패턴의 경우, 유전체 층은 사용할 금속의 두께보다 약 10%큰 깊이로 부분적으로 에칭하는 것이 바람직하다. 폴리이미드(12)를 에칭할 때에는, 저온에서 산소(O2)응성 이온에칭(RIE)을 행하는 것이 바람직하다. 제2a도 내지 제2e도, 제4a도 내지 제4e도, 제5a도 내지 제5e도, 그리고 제7a도 및 제7b도에 도시된 바와 같은 개구부(14)의 형성은 본 기술 분야에서 잘 이해랄수 있고, 많은 다른 기술에 의해서도 형성될 수 있음을 알아야 한다.
제2a도에 도시된 바와 같이 상방으로 돌출된 디바이스(device)가 없는 평탄한 기판(10)과는 대조적으로, 출발기판(starting substrate)(10)상에 제3a도 및 제3b도에 도시된 바와 같은 디바이스(18)가 형성되는 경우, 개구부(22)를 형성하기 전에 디바이스(18)위에 피복된 절연체(20)를 먼저 평면화해야 한다. 평면화는 반응성 이온에칭, 화학-기계적 연마, 반응성 이온에칭과 화학적-기계적 연마의 조합, 또는 다른 수단에 의해 행할 수 있다.
제2b도 내지 제2e도는 본 발명의 제1실시예를 도시한 것으로, 평면 패턴화된 구조는 제2a도에 도시된 것이거나 제3b도에 도시된 것이거나 또는 피복된 유전체내에 개구부(14)가 형성된 어떤 다른 구조일 수 있으며, 이 평면 패턴화된 구조는 무기물 유전체층(13)상에 또한 개구부(14)의 하부에서 노출된 기판(10)상에 침착된 내열성 금속층(15)을 갖는다.
이것은 증발 PVD기술을 이용하여 실시되는데, 이 기술은 1983년 맥그로우-힐사(McGraw-Hill Co.)의 편집자 마이셀(Maissel) 및 글렌(Glen)에 의한 박막기술 편람(Handbook of Thin Film Technology)의 1 ~ 100쪽에 가장 잘 설명되어 있다. 이 시점에서, 증발 PVD기술을 이용하는 중요한 특징은 내열성 금속층(15)이 유전체내의 개구부(14)의 측벽에는 피복되지 않는다는 것에 있다. 미국 특허 제 4,824,544 호에 개시된 것과 유사한 PVD시준 스퍼터링이 본 발명의 실시예에 사용될 수 있으나, 시준 스퍼터링은 제2b도에 도시된 것과는 달리 개구부(14)의 측벽을 피복하는 공형층(conformal layer)를 형성한다는 것을 이해해야 한다. 시준 스퍼터링을 사용하여 내열성 금속 라이너를 형성하는 것에 대해서는 다음에서 더 상세히 논의 한다. 내열서 금속은 티타늄(Ti), 티타늄/티타늄 니트라이드(Ti/TiN)와 같은 티타늄 합금 또는 화합물, 텅스텐, 티타늄 텅스텐(Ti/W)합금, 크롬(Cr) 또는 탄탈(Ta) 및 그들의 합금 또는 어떤 다른 적절한 재료일 수 있다. 구리계 도선 또는 비아를 형성하는 경우, 구리에 대한 확산장벽의 역할을 하는 내열성 금속을 이용하여, 후속 공정이 진행된는 동안 개구부(14)에 침착된 구리가 기판(10)내로 확산되는 것을 방지해야 한다.
다음, 내열성 금속(15)상에 증발을 이용한 PVD에 의해 단일, 2월 또는 3원 금속막(16)을 침착한다. 이 경우, 증발을 사용하기 때문에 개구부(14)의 측벽은 피복되지 않는다. 그러나, 금속막(16)을 시준 스퍼터링에 의해서도 도포할 수 있다는 것을 이해해야 한다. 이러한 경우에는 개구부(14)내와 유전체 층의 상면에 공형의 금속막이 형성된다. 금속막은 AlxCuy (여기서, x+y=1 이고, 0
Figure kpo00006
x
Figure kpo00007
, 0
Figure kpo00008
y
Figure kpo00009
1임)가 바람직한데, Al-Pd-Nb-Au와 같은 다성분합금도 또한 적합할 것이다. 금속막(16)의 주요한 특징은 내열성 금속(15)과 비교해저저항성 및 연질재료라는 것이다. 도선 패턴 또는 단간 비아 패턴을 나타내는 개구부(14)는 도선 또는 비아의 표면으로부터 100~400nm의 깊이까지 금속막(16)으로 충진하는 것이 바람직하다. 제4a도 내지 제4e도, 제5a도 내지 제5e도 및 제7a도 및 제7b도에 도시된 금속막(16)은 위에서 언급한 것과 같은 종류의 것임을 알아야 한다.
제2c도는 텅스텐, 코발트, 니켈, 몰리브덴, 또는 Ti/Tin 등의 합금/화합물과 같은 내열성 금속(17)을 상술한 구조상에 참작하는 것을 나타낸다. 내열성 금속(17)은 한 단계의 CVD 공정이나 또는 두 단계의 공정에 의해 참작할 수 있는데, 두단계 공정의 경우에는, 제4a도 내지 제4e도에 잘 도시되어 있는 바와 같이, 제1단계에서의 티타늄 또는 TiN과 같은 접착촉진화합물(adhesion promoting compound)의 시준 스퍼터링으로 공형의 불랭킷 피막을 형성하고, 제2단계에서 텅스텐의 얇은 CVD층을 침착하여 공형도를 높인다. 내열성 금속의 CVD침착은 WF6의 SiH4환원 또는 H2환원을 통해 얻는 것이 바람직하다. 특히 바람직한 CVD 공정에서는 WF6 의 SiH4 환원 후에 WF6의 SiH4 환원이 수행되는데, 이것은 Tin 층상에서 텅스텐의 화학적 증착이 WF6의 SiH4 환원에 의해서 원할하게 진행되지만, H2의 환원에 의해서는 원활하게 진행되지 않기 때문이다. 내열설 금속(17)은 개구부(14)내의 하부에 있는 연질의 저저항성 금속막(16)에 대해 내마모성, 내부식성 및 내전자이동성 피막을 제공한다. 화학적 증착과 WF6의 SiH4 환원을 병용하는 것의 특히 좋은 잇점은 WF6에 대한 SiH4의 비를 변화시켜 텅스텐내로 혼입되는 실리콘의 양을 조절함으로써 양호한 특성을 얻을 수 있다는 것이다. 예를들면, 유전체내의 개구부(14)를 충진하는 동안 0.5 SiH4 대 1 WF6 의 비로 내열성 금속(17)을 침착하는 것이 바람직한데, 이렇게 하면 더욱 많은 실리콩이 혼입되어 큰 내마모성이 더욱 향상되기 때문이다. 상기 언급된 CVD 기술을 이용하면, 실리콘으로 도핑(doping)된 텅스텐은 내마모성 피막 또는 연마저지층으로 이용할 수 있다.(예를들면, 실리콘으로 도핑(doping)된 텅스텐은 화학적-기계적 연마에 사용되는 질산 제2철내의 알루미나 슬러리(slury)에 대해 더욱 큰 저항성을 갖는다. 증발에 의해 도포되는 금속막(16)은 개구부(14)의 측벽을 피복하지 않기 때문에, CVD에 의해 침착되는 내열성 금속(17)은 금속막(16)주위에 테이퍼진(tapered)측벽을 형성하여, 금속막(16)이 내열성 금속(17)과 하부에 있는 내열성 금속(15)으로 완전히 캡슐밀봉된다.
제2d도 및 제2e도는 내열성 금속(17)을 CVD법으로 도포한 후에 구조를 평면화하여 구조를 형성하는 것을 도시한 것으로, 두부분으로 구성되는 도체비아 또는 도선은 경질 및 내마모성의 내열성 금속(17)내에 둘러 싸여진 중앙의 연질 저저항성 금속막(16)을 포함하며, 기판(10)상의 유전성 복합체의 상면과 동일한 평면으로 되는 상면을 갖는다. 평면화는, 희석질산 제2철내의 알루미나와 같은 슬러리를 사용하는 화학적-기계적 연마 또는 SF6 또는 Cl2를 기본으로 하는 약품의 존재하에서의 반응성 이온에칭에 의해 한단계 또는 두단계로 이루어질 수 있다. 화학적-기계적 연마를 사용하는 경우, 슬러리들은 적층 구조체상의 상이한 금속층을 제저하기 위해 선택할 수 있다. 예를들면, 구리의 상부에 있는 Ti/Tin/W은 희석 질산 제2철내의 알루미나 슬러리를 사용하여 제거할 수 있고, 그 다음 남아있는 구리는 알루미나가 없는 슬러리로 전환하여 제거할 수 있다. 또한, 내열성 금속(17)을 반응성 이온에칭에 의해 제거하고, 이어서 상부 유기물 또는 무기물층(13)상에 잔류하는 금속막(16)과 잔류의 내열성 금속(17)을 화학적-기계적으로 연마하는 것도 고려된다. 고려되는 특별한 평면화 공정은 다음과 같다. 화학적-기계적 연마나 SF6 또는 Cl2를 기본으로 하는 약품에 의한 반응성 이온에칭으로 텅스텐 내열성 금속(17)을 제2d도에 나타낸 바와같이 Al-Cu CVD층을 무기물층(13)의 표면까지 에칭하고, 최종적으로 유전체 표면상에 남아있는 텅스텐(17)을 연마하거나 습식에칭하거나 또는 Cl2내에서 반응성 이온에칭함으로써, 제2e도에 도시된 구조를 얻는다.
제4a도 내지 제4e도는 본 발명의 또다른 변형 실시예를 도시하는데, 여기서 상기 기술된 것과 같은 요소들은 각 도면에서 동일한 참조번호로 표시하였다. 제4a도에 도시한 바와 같이, 구리 금속막(16)이 기판(10)위에 형성된 무기물 또는 유기물 유전체 층(15)내에 형성된 개구부(14)에 침착된다. 제4a도에 도시된 구조와 제2b도에 도시된구조의 주요한 차이점은 구리 금속층을 침착하기 전에, 시주 스퍼터링에 의해 티타늄, 티나늄 니트라이드, 텅스텐, 탄탈, 또는 그들의 합금 및 화합물과 같은 내열성 금속의 얇은 층(라이너층)(24)을 무기물 또는 유기물 유전체(15)의 표면상과 개구부(14)내에 침착하는 것이다.
상술한 바와 같이, 시준 스퍼터링은 리프트-오프(lift-of)공정을 기술한 미국 특허 제4,824,544호에 전반적으로 언급되어 있다. 본 발명에 있어서는, 방향성 침착(directional deposition)이 지배적으로 되는 저압 상태에서가 아닌 렌치나 비아내에 공형의 피막을 형성할 수 있다(예를 들면, 측벽과 하부 모드가 피복된다)는 것을 알게 되었다. 일반적으로, 종횡비는 트렌치의 경우에는 높이와 폭의 비를 말하며, 비아의 경우에는 높이와 직경의 비를 말한다. 트렌치 및 비아의 종횡비가 2보다 클때 일반적으로 종회비가 크다고 본다. 시준 스퍼터링에서, 1m Torr 보다 작은 압력에서는 방향성 침착이 지배적으로 되고, 1m Torr 보다 큰 압력(바람직하게 3m Torr 정도)에서는 산란이 지배적으로되는 경향이 있다. 시준 스퍼터링은 제5a도 내지 제5e도 및 제6도와 관련하여 더욱 자세히 기술할 것이다.
제4a도에 도시된 바와 같이, 개구부(14)의 하부표면 및 측벽을 내열성 금속층(24)으로 완전히 피복하는 것은 구리계 기판(10)내로 확신하여 디바이스를 파괴하기 때문이다. 구리 금속막(160은 증발 또는 시주 스퍼터링을 사용하는 증착기술은 알루미늄 및 구리계 합금으로 홀을 충진할 수가 없었다. 따라서, 물리적 증착 기술에 의해 홀을 충진하는 것이 바람직하다.
금속막(16)을 침착한 후, 접착을 촉진하도록 구리 금속막(16)의 표면상에 티타늄,티타늄/티타늄 니트라이드, 탄탈 또는 크롬의 얇은 층(26)을 도포한다. 그 다음 WF6의 SiH4환원 또는 H2환원에의한 화학적 증착으로 텅스텐과 같은 내열성 금속을침착하여 제4b도에 도시된 구조를 얻는다. 상술한 바와 같이, 화학적 증착을 하는 큰 텅스텐을 유익하게 제공할 수 있다. 중간의 얇은 층(26)은 하부에 있는 구리 금속막(16)에 악형향을 기치지 않도록 선택되어야 한다. 내열성 금속(24)(17)은 상이한 재료로 할 수 있다.
제4c도는 연마 또는 반응성 이온에칭에 의해 제1텅스텐을 평면화한 것을 도시하고, 제4d도는 습식 에칭에의해 구리를 선택적으로 제거한 것을 도시한다. 구리 또는 구리합금의 도선을 형성할 때에는, 과산화수소(H2O2) 및 4산화수소(H2O4)계습식에칭 용액을 사용하여 구리를 평면화하는 것이 유리하다. 실온에서, 이러한 유형의 용액은 텅스텐이나 유전체를 에칭하지 못하지만, 유전체 상측에 위치하는 구리를 모두 에칭하는데, 이것은 구리 가 습식 에칭 용액으로부터 보호되지 못하기 때문이다(예를 들면, 실온에서 과산화수소는 거의 무한한 선태성을 가진다). 제4e도는 습식 에칭후, 텅스텐(17)을 반응성 이온에칭, 연마 또는 다른 기술로 선택적으로 제거할 수 있는 것을 도시한다. 유전체(15)의 표면위로 돌출된 텅스텐 내열성 금속(17)을 제거하는 방법으로는 질산 제2철내의 알루미나 슬러리등을 사용하는 화학적-기계적 연마에 의해 제거하는 방법이 바람직하다. 텅스텐은 비교적 경질의 재료이므로, 화학적-기계적 연마를 할때에도 긁히거나 침식되지 않는다. 상술한 3단계 방법보다는 텅스텐 내열성 금속(17), 얇은 층(26)의 접착 촉진재 및 절연체(15)의 표면위에 위치하는 구리 금속막(16)을 화학적-기계적 연마를 사용하여 한 단계로 제거하는 것이 바람직하다.
제5a도 내지 제5e도는 본 발명의 또다른 변형 실시예를 도시한는데, 동일 요소는 동일한 참조 번호로 표시한다. 제5a도는 본 명세세서에서 참고로 인용하는 로스나겔(Rossnagel)등의 J. Vac. Sci. Technol. 2 : 261(1991년 3월 개구부(14)내에 전체적 또는 부분적인 공형방식으로 내열성 금속 라이너(28)를 침착하는 것을 도시한다. 시준 스퍼터링에 있어서, 내열성 금속 원자들은 0.5m Torr 보다 큰 압력에서 깊이 대 면적의 종회비가 1보다 큰 벌집 모양의 구조를 통관한다. 표1은 라이너 침착에 사용한 조건을 나타낸다.
Figure kpo00010
표1에 나타낸 조사에서는 압력은 0.5m Torr 에서 15m Torr 까지 변환시키고, 전력은 0.5kw에서 12kw 까지 변화시켰다. 시준하지 않을 때에, 표1은 스텝 피복율(step coverage)이 좋지않다는 것을 명백히 나타내고 있다. 고압(예를 들면, 3m Torr)을 사용하고 최소한 1 :1 의 종회비를 갖는 시준기르 사용했을 때에는, 종횡비가 7내지8 이상인비아들 또는 접점(contact)들이 ti/Tin 이중층(bilayer) 또는 Ti/W 이중층으로 피복되어, 스텝 피복율(step coverage)이 하부에 서는40% 이상, 그리고 측벽에서는 30%이상으로 되었다. 이것은 반도체 제조업자가 높은 종회비의 트렌치 또는 비아내에 CVD 텅스텐 접착을 촉진하는 공형증을 제공할 수 있다는 면에서 이 기술분야를 상당히 진보시킨 것이다. 더우기, 아래에서 더욱 상세히 기술되는 바와 같이, Tin 또는 다른 적절한 재료의 공형층을 형성하면, 구리계 합금에 대해 효과적인 확산장벽을 제공할 것이다. 위에서 기술한 바와 같이, 트렌치, 또는 비아의 측벽과 하부를 완전히 피복하기 위해서는, 방향성 침착이 지배적으로 되는 저압보다는 산란 침착이 지배적으로 된는 압력(예를 들면, 1m Torr보다 큼)이 사용되어야 한다.
제6도는 위에서 기술한 바와 같이 비아내에 PVD 시준 스퍼터링에 의해 형성된 내열성 금속 라이너를 주사전자현미경(SEM)으로 본 사진을 나타낸다. 제6는 비아의 하부와 측변의 완전한 피복이 얻어질 수 있다는 것을 보여준다. TiN을 제위치에 침착하기 위해서, 티타늄 타겟(target)의 존재하에서 아르곤과 함께 질소(N2) 플라즈마(plasma)를 사용했다. 라이너는 접착성을 개선하고 또 하부 기판에 대한 CVD텅스텐의 어떠한 악영향도 방지할 수 있다. 시준기의 종회비가 증가하면, 라이너의 공형도가 증가한다.
구리도선 또는 비아를 이 구조에 사용할 때에는, 확산 장벽으로서 작용할 수 있느 강한 라이너가 필요하게 된다. 내열성 금속 라이너(예를들면, Ta, Ti/TiN 또는 Ti/W등등)의 저압 시준은 유전체의 개구부(14)의 측벽에 다공성의 구조를 형성하게 된다. 이러한 다공성의 구조를 방지하고 측벽에 고밀도의 구조를 제공하기 위해 2단계 시준 공정을 사용했다. 구체적으로, 1단계에서는 얇은 라이너를 0.8m Torr 보다 작은 압력으로 침착하여 60%를 초과하는 하부 피복율(bottom coverage)을 얻었고, 2단계에서는 동일한 시준기(collimator)를 사용하고 압력을 그 위치에서 3~4m Torr로 높여 측벽상에 고밀도의 미세구조를 얻었다. 본 발명 이전에는, 특히 저온에서 고종회비의 서브미크론(submicron)급의 홀내에 라이너를 형성하기 위한 유용한 수단이 없었다. CVD내열성 금속(17) 또는 저저항성 연질 금속의 확산장벽으로서 Ti/W 이중층 라이너를 사용한 경우에 있어서도 또한 Ti/Tin 이중층 라이너를 사용한 경우에 있어서도 결과는 마찬가지였다.
제5b도 내지 제5e도는 본 발명의 다른 실시예에서도 제2b도 내지 제2e도 및 제4a 도 내지 제4e도에 도시된 것과 유사한 단계를 사용하는 것을 도시하고 있다. 제4a도의 경우와 마찬가지로, 제5b도는 내열성 금속라이너의 상면과 개구부(14)의 하부에 PVD 증발에 의해 티타늄, 크롬, 탄탈, Ti/W등과 같은 접착 촉진층(26)을 침착하는 것을 도시하고 있다. 제2도의 경우와 마찬가지로, 제5b도는 유전체의 표면으로부터 100~400nm의 깊이까지 PVD 증발기술에 의해 Al-Cu 합금 또는 다른 금속막(16)을 침착하는 것을 도시하고 있다. 제5c도 내지 제5e도는 각기 텅스텐 또는 다른 내역성 금속의 공형층을 침착하여 저저항성 금속막(16)을 씌우고, 반응성 이온에칭 또는 연마 등에 의해 텅스텐을 평면화하며, 제4c도 및 제4d도에 도시된 바와 같이과산화수소(H2O2)에 의한 Al-Cu 합금의 2단계 습식 에칭 후에 텅스텐의 화학적-기계적 연마를 시행하여 구조를 평면화하거나 또는 한단계의 반응성 이온에칭 또는 화학적-기계적 연마롤 간단히 평면화하는 것을 도시하고 있다. 한 단계의 화학적-기계적 연마에 있어서는, 텅스텐 연마에 사용된 것과 비슷한 슬러리가 사용될 수 있다. 제2a도에 도시된 구조와 마찬가지로, 제5e도에 도시된 구조는 테이퍼진 내열성 금속(17)의 영역이 있는 저저항성 금속막(16)을 갭슐밀봉하는 CVD 내열성 금속(17)을 갖는다. 내열성 금속(28)(17)은 상이한 재료로 할 수 있다.
제7a도 및 제7b도는 본발명의 또 다른 변형 실시예를 도신한 것으로, 여기서 동일 요소들은 동일한 참조번호로 표시하였다. 제7a도에 도시된 바와 같이, 공형의 바람직하게는 내열성 금속으 ㅣ라이너/확산 장벽(28)과 공형 AlxCuy 또는 다른 적절한 저저항성 합금 또는 금속막(16)의 층 모두를 PVD 시준 스퍼터링 공정을 이용해 기판(10)상의 유기물 또는 무기물 유전체 층(15)내의 개구부에 침착한다. 다음에, 텅스텐, 티타늄 또는 탄탈 등의 내열성금속(17)의 캡핑층을 CVD에 의해 개구부에 침착한다. 다음에, 텅스텐, 티타늄 또는 탄탈 등의 내열성 금속(17)의 캡핑층을 CVD에 의해 침착하여 상기 구조를 블랭킷 피복한다. 제7b도는 그 다음에, 상기구조를 반응성 이온에칭, 화학적-기계적 연마 또는 다른 기술을 이용하여 평면화하는 것을 도시하고 있다. 제7b도의 구조와 제5e도의 구조를 비교하여 보면 비아 또는 도선의 형상이 완전히 다르다는 것을 알 수 있다. 두 구조 모두가 내열성 금속(17)에 의해 캡핑된 저저항성 금속(16)을 포함하지만, 이들 두 금속막은 서로 다른 환경에서 사용될 것이다.
상술한 기술에 따라 제조된 내열성 금속으로 캡핑된 도선들에 대한 실험적 테스트(test)를 시행하였다. 실험에 있어서, 도선의 길이는 13.5cm 내지 50cm로 변화시켰고, 침(chip)의 면적은 약 1.6mm2 로 하였다. 금속 피치(pitch)는 1μm 내지 2μm로 변화시켰다. 충진되 홀의 종횡비는 2내지 8로 하였고, 도선의 종횡비는 2 내지 4로 하였다. 표2는 저항의 테스트 결과를 나타낸 것이다.
Figure kpo00011
1. 모든 실험에 있어서, 도선의 길이는 13.5cm 내지 50cm로 변화시켰고, 칩의 면적은 약 1.6mm2 로 하였다. 금속피치는 1μm 내지 2μm 로 변화시켰다. 충진되 홀들의 종횡비는 2 내지 8 로 했으며, 도선들의 종횡비는 2 내지 4 로 하였다.
2. 증발 실험에서는, Ti/TiN 이중층을 시준 스퍼터링을 침착하였다.
3. 시준 종회비를 1:1로 했다.
4. 스퍼터링 압력은 0.5 내지 0.8 m Torr의 범위로 하였다.
표2의 결과들은 이 신규한 기술의 공정 수율이 매우 좋고 또 텅스텐 캡이 존재하여도 도선 저항이 크게 변하지 않는 것을 보여준다. 상기 데이타의 일부는 Al-Cu 합금 바로 아래에 티타늄이 있을 때 저항이 증가함을 보여주는데, 이것은 계면에 TiAl3 가 형성되기 때문이다. 티타늄과 Al-Cu 층상이에 티타늄 합금 또는 화합물(예를들면, TiN)을 제공하면, TiAl3 의 형성이 방지되어 저항값이 낮게 유지됨을 알게 되었다. 표2의 끝 부분에 있는 실험데이타는, 시준없는 스퍼터링을 사용하는 경우, 스퍼터링은 압력이 낮고(에를들면, 1m Torr 보다 낮고)방향성 침착이 지배적으로 되는 조건에서 실행되어야 하는 것을 나타내고 있다.
화학적-기계적 연마후에, 내열성 금속캡상에 H2O2 또는 H2O2 를 이용하여 반응성 이온에칭 또는 습식 에칭을 행하면, 내열성 금속 캡핑 층의 두께를 최대한으로 줄일 수 있는 잇점이 예상된다. 내열성 금속층이 두꺼우면 캐패시턴스(capacitance)가 증가하는데, 이것은 바람직하지 않다. 연마후의 습식 에칭 또는 반응성 이온에칭공정(예를들면, SF6 에칭)이 가능하기 때문에, 반도체 설계자는 내열성 금속의 두꺼운 층을 사용하여 화학적-기계적 연마를 하는 동안 하부에 있는 저저항성 Al-Cu 도선 또는 비아를 최대로 보호할 수 있으며, 그 다음에 여분의 내열성 금속을 제거하여 상부에 내열성 금속이 거의 없는 구조를 형성할 수 있을 것이다. 예를들면. 500~600nm 두께의 내열성 금속층을 침착하여 연마 손상에 대한 보호를 위해 사용할 수 있으며, 그다음 내열성 금속층을 습식에칭 또는 반응성 이온 에칭에 의해 50nm의 두께까지 감소시킬 수 있다.
제8도는 1개의 다단 반도체 디바이스의 예를 도시한 것으로, 이 반도체 디바이스는 최상측의 절연층과 동일 평면을 이루는 텅스텐으로 캡핑된 AlxCuy 합금 도선을 갖는 상면을 포함한다. 상술한 바와 같이, CVD 텅스텐을 포함하는 비아 또는 트렌치는 접착을촉진시키기 위해 시준 스퍼터링에 위해 형성된 TiN 라이너를 포함하는 것이 바람직하다. 다른많은 반도체 디바이스들이 본 발명의 실시범위내에서 제조될수 있다.
제9a도 및 제9b도는 반도체 디바이스의 단면에 대한 주사 전자현미경(SEM) 사진이다. 제9a도는 SiO2 부분들 사이에 그리고 SiO2 부분의 상측에 Al-Cu 합금을 갖는 실리콘 표면으로부터 상방으로 돌출되 분리된 SiO2 부분을 보여준다. Al-Cu 합금사이 및 그의 상면에는 CVD 텅스텐 층이 있다. 제9a도는 연마하기 전의 캡핑된 도선을 가지는 구조를 나타낸다. 제9b도는 화학적-기계적 연마에 의해, SiO2 돌출부분의 상면위의 텅스텐과 Al-Cu 합금을 제거한 후의 다층 구조의 단면을 주사 전자현미경으로 본 사진이다.
본 발명을 그의 바람직한 실시예에 관한 기술하였으나, 당업자라면, 본 발명이 첨부된 측허청구범위의 사상과 범주를 벗어나지 않는 범위내에서 변경될 수 있다는 것을 알 수 있을 것이다.
본 발명에 의하면, 내부식성, 내마모성 및 내전자이동성을 가지며, 높은 고정수율을 나타내는 전기적 도체를 제공하는 간단하고 저렴한 비용의 기술의 제공된다.

Claims (8)

  1. 반도체 디바이스에 있어서, 1. 기판과, 2. 상기 기판상에 위치하는 유전체 층과, 3. 상기 유전체층내의 개구부내에 위치하고, 상기유전체 층의 표면과 평면을 이루는 표면으로부터 상기 기판쪽으로 연장되는 금속막을 포함하되, 상기 금속막은 / 저저항성 금속 또는 합금을 포함하고 적어도 하나의 내열성 금속 또는 합금에 의해 실질적으로 덮여 싸여지며(encapsulated), 상기 저저항성 금속 또는 합금은 상기 개구부의 하부를 충진하고, 또 상기 개구부의 대항측면(opposing sides)에서, 상기 유전체 층의 상기 표면과 평면을 이루는 상기 표면쪽을 향하여 상방으로 연장되어 캡 영역(a cap region)을 규정하고, 상기 적어도 하나의 내열성 금속 또는 합금의 적어도 일부분은 상기 하부상의 상기 캡 영역내에 위치하며, 상기 적어도 하나의 내열성 금속 또는 합금의 상기 적어도 일부분은 상기 유전체층과 평면을 이루는 표면을 가지며, 상기 저저항성 금속 또는 합금은 알루미늄 또는 구리의 2원 또는 3원 합금을 포함하는 반도체 디바이스.
  2. 제 1항에 있어서, 상기 저저항성 금속은 AlxCuy(여기서 x+y=1 이고, x 및 y
    Figure kpo00012
    0임)의 식을 갖는 알루미늄과 구리의 합금인 반도체 디바이스.
  3. 반도체 디바이스에 있어서, 1. 기판과, 2. 상기 기판상에 위치하는 적어도 하나의 유전체 층과 ; 3. 상기 적어도 하나의 유전체 층내의 개구부내에 위치하고, 상기 적어도 하나의 유전체 층의 표면과 실질적으로 동일 평면을 이루는 표면으로부터 상기 기판 쪽으로 소정의 거리만큼 연장되는 금속막 수단을 포함하되, 상기 금속막 수단은 제1금속 또는 합금을 포함하고, 상기 제1금속 또는 합금보다 상대적으로 경도가 큰 적어도 하나의 제2금속 또는 합금에 의해 실질적으로 덮여 싸여지며, 상기 제1금속 또는 합금은 상기 개구부의 하부를 충진하고,또 상기 개구부의 대향측면에서, 상기 유전체 층의 상기 표면과 실질적으로 동일 평면을 이루는 상기 표면쪽을향하여 상방으로 연장되어 켑 영역을 규정하고, 상기 적어도 하나의 제2금속 또는 합금의 적어도 일부분은 상기 하부상의 상기 캡 영역내에 위치하고 그리고 상기 제1금속 또는 합금의 상방으로 연장된 측면 사이에 위치하는 반도체 디바이스.
  4. 제3항에 있어서, 상기 적어도 하나의 제2금속 또는 합금은 라이너를 포함하고, 상기 라이너는 상기 개구부내에서 상기 적어도 하나의 유전체 층과 상기 제1 금속 또는 합금사이에 위치하고,
    상기 라이너는 다수의 표면을 갖고, 상기 다수의 표면중 제1표면은 상기 적어도 하나의 우전체 층내에 형성된 상기 개구부의 하부와 실질적으로 동일하게 연장된는(coextensive)반도체 디바이스.
  5. 제3항에 있어서, 상기 금속막 수단은 단면(cross-section)상으로 보았을 때 다수의 측면을 구비하고, 상기 다수의 측면중 적어도 3개의 측면은 공통의 조성(common composition) 을 갖는 적어도 하나의 제2금속 또는 합금으로 실질적으로 형성되고, 상기 다수의 측면은 모두 상기 적어도 하나의 유전체 층내의 상기 개구부내에 형성되는 반도체 디바이스.
  6. 제3항에 있어서, 상기 적어도 하나의 제2금속 또는 합금은 모두 동일한 조성 및 다수의 상이한 조성중 하나를 구비하는 반도체 디바이스.
  7. 제3항에 있어서, 상기 캡 영역내의 상기 적어도 하나의 제2금속 또는 합금의 상기 적어도 일부분은 상기 제1금속 또는 합금을 실질적으로 덮는 반도체 디바이스.
  8. (정정)반도체 디바이스에 있어서, 1. 기판과, 2. 상기 기판상에 위치하는 유전체 층과, 3. 상기 유전체 층내의 개구부내에 위치하고, 상기 유전체층의 표면과 평면을 이루는 표면으로부터 상기 기판쪽으로 연장되는 금속막을 포함하되, 상기 금속막은 저저항성 금속 또는 합금을 포함하고 적어도 하나의 내열성 금속 또는 합금에 의해 실질적으로 덮여 싸여지며, 상기 저저항성 금속 도는 합금은 상기 개구부의 하부를 충진하고, 또 상기 개구부의 대향측변에서, 상기 유전체 층의 상기표면과 평면을 이루는 상기 표면쪽을 향하여 상방으로 연장되어캡 영역을 규정하고, 상기 적어도 하나의 내열성 금속 또는 합금의 적어도 일부분은 상기 하부상이 상기 캡 영역내에 위치하고 그리고 상기 저저항성 금속 또는 합금의 상방으로 연장된 측변 사이에 위치하며, 상기 켑 영역내의 상기 적어도 하나으 내열성 금속 또는 합금의 상기 적어도 일부분은 상기 저저항성 금속을 실질적으로 덮으며, 상기 적어도 하나의 내열성 금속 또는 합금이 상기 적어도 일부분은 상기 유전체 층과 평면을 이루는표면을 가지며, 상기 적어도 하느이 내열성 금속 또는 합금은 금속 및 비금속 성분의 전도성 화합물을 포함하고, 상기 캡 영역으로 내열성 금속을 포함하며, 상기 저저항성 금속 또는 합금은 알루미늄, 구리 또는 그의 합금으로부터 선택괸 금속으로 이루어지는 반도체 디바이스.
KR1019970002512A 1992-02-26 1997-01-28 반도체 디바이스 KR0134120B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/841,967 1992-02-26
US07/841,967 US5300813A (en) 1992-02-26 1992-02-26 Refractory metal capped low resistivity metal conductor lines and vias

Publications (1)

Publication Number Publication Date
KR0134120B1 true KR0134120B1 (ko) 1998-04-20

Family

ID=25286205

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1019930000764A KR0128264B1 (ko) 1992-02-26 1993-01-21 반도체 디바이스, 라이너와 금속도선 및 비아의 형성방법
KR1019970002511A KR0134121B1 (ko) 1992-02-26 1997-01-28 반도체 디바이스
KR1019970002512A KR0134120B1 (ko) 1992-02-26 1997-01-28 반도체 디바이스
KR1019970002510A KR0134122B1 (ko) 1992-02-26 1997-01-28 반도체 디바이스

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1019930000764A KR0128264B1 (ko) 1992-02-26 1993-01-21 반도체 디바이스, 라이너와 금속도선 및 비아의 형성방법
KR1019970002511A KR0134121B1 (ko) 1992-02-26 1997-01-28 반도체 디바이스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1019970002510A KR0134122B1 (ko) 1992-02-26 1997-01-28 반도체 디바이스

Country Status (8)

Country Link
US (8) US5300813A (ko)
EP (3) EP0788156B1 (ko)
JP (1) JP2516307B2 (ko)
KR (4) KR0128264B1 (ko)
CN (5) CN1044649C (ko)
DE (3) DE69332917T2 (ko)
SG (8) SG111047A1 (ko)
TW (1) TW291576B (ko)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
DE69327600T2 (de) * 1992-02-28 2000-06-21 St Microelectronics Inc Herstellungsverfahren von Submikronkontakten
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5596172A (en) * 1993-05-07 1997-01-21 Motorola, Inc. Planar encapsulation process
US5412250A (en) * 1993-09-24 1995-05-02 Vlsi Technology, Inc. Barrier enhancement at the salicide layer
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JP2699839B2 (ja) * 1993-12-03 1998-01-19 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) * 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
US5430328A (en) * 1994-05-31 1995-07-04 United Microelectronics Corporation Process for self-align contact
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
EP0915501B1 (en) * 1994-08-05 2003-02-26 International Business Machines Corporation Method of forming a damascene structure with WGe polishing stop
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
KR0171069B1 (ko) * 1994-10-27 1999-03-30 문정환 반도체 장치의 접촉부 형성방법
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
WO1996016436A1 (en) * 1994-11-18 1996-05-30 Advanced Micro Devices, Inc. Method of making a chemical-mechanical polishing slurry and the polishing slurry
US5580823A (en) * 1994-12-15 1996-12-03 Motorola, Inc. Process for fabricating a collimated metal layer and contact structure in a semiconductor device
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
EP0720228B1 (en) * 1994-12-29 2002-07-10 STMicroelectronics, Inc. Method of making a semiconductor connection structure
DE69418206T2 (de) * 1994-12-30 1999-08-19 Cons Ric Microelettronica Verfahren zur Spannungsschwelleextraktierung und Schaltung nach dem Verfahren
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5920296A (en) * 1995-02-01 1999-07-06 Pixel International Flat screen having individually dipole-protected microdots
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
TW290731B (ko) * 1995-03-30 1996-11-11 Siemens Ag
US6348708B1 (en) * 1995-04-10 2002-02-19 Lg Semicon Co., Ltd. Semiconductor device utilizing a rugged tungsten film
TW298674B (ko) * 1995-07-07 1997-02-21 At & T Corp
WO1997012399A1 (en) * 1995-09-29 1997-04-03 Intel Corporation Metal stack for integrated circuit having two thin layers of titanium with dedicated chamber depositions
US5747879A (en) * 1995-09-29 1998-05-05 Intel Corporation Interface between titanium and aluminum-alloy in metal stack for integrated circuit
US5573633A (en) * 1995-11-14 1996-11-12 International Business Machines Corporation Method of chemically mechanically polishing an electronic component
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
KR0175410B1 (ko) * 1995-11-21 1999-02-01 김광호 액정 표시 장치용 박막 트랜지스터 기판 및 그 제조 방법
JPH09148431A (ja) * 1995-11-21 1997-06-06 Nec Corp 半導体装置の製造方法
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5776836A (en) * 1996-02-29 1998-07-07 Micron Technology, Inc. Self aligned method to define features smaller than the resolution limit of a photolithography system
US5950099A (en) * 1996-04-09 1999-09-07 Kabushiki Kaisha Toshiba Method of forming an interconnect
US5654234A (en) * 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5756396A (en) * 1996-05-06 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US5783485A (en) * 1996-07-19 1998-07-21 Motorola, Inc. Process for fabricating a metallized interconnect
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5965459A (en) * 1996-10-11 1999-10-12 International Business Machines Corporation Method for removing crevices induced by chemical-mechanical polishing
US6020263A (en) * 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US5849367A (en) * 1996-12-11 1998-12-15 Texas Instruments Incorporated Elemental titanium-free liner and fabrication process for inter-metal connections
TW417178B (en) * 1996-12-12 2001-01-01 Asahi Chemical Ind Method for making semiconductor device
US6537905B1 (en) 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6110828A (en) * 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
JPH10209279A (ja) * 1997-01-27 1998-08-07 Matsushita Electron Corp 金属プラグの形成方法
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6268661B1 (en) * 1999-08-31 2001-07-31 Nec Corporation Semiconductor device and method of its fabrication
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
US6080665A (en) * 1997-04-11 2000-06-27 Applied Materials, Inc. Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US6139905A (en) * 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
JP3111924B2 (ja) * 1997-04-11 2000-11-27 日本電気株式会社 半導体装置の製造方法
US5981374A (en) * 1997-04-29 1999-11-09 International Business Machines Corporation Sub-half-micron multi-level interconnection structure and process thereof
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6240199B1 (en) 1997-07-24 2001-05-29 Agere Systems Guardian Corp. Electronic apparatus having improved scratch and mechanical resistance
US5989623A (en) 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6080655A (en) * 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
US6096576A (en) 1997-09-02 2000-08-01 Silicon Light Machines Method of producing an electrical interface to an integrated circuit device having high density I/O count
US5994775A (en) * 1997-09-17 1999-11-30 Lsi Logic Corporation Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
US5990011A (en) * 1997-09-18 1999-11-23 Micron Technology, Inc. Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
JP3545177B2 (ja) * 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
SG70654A1 (en) 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US6133139A (en) 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
US6060388A (en) * 1997-10-29 2000-05-09 International Business Machines Corporation Conductors for microelectronic circuits and method of manufacture
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6129613A (en) * 1998-01-30 2000-10-10 Philips Electronics North America Corp. Semiconductor manufacturing apparatus and method for measuring in-situ pressure across a wafer
US6424040B1 (en) * 1998-02-04 2002-07-23 Texas Instruments Incorporated Integration of fluorinated dielectrics in multi-level metallizations
KR100275728B1 (ko) 1998-02-24 2001-01-15 윤종용 반도체장치의 장벽 금속막의 제조방법 및 이를 이용한 반도체장치의 금속배선막의 제조방법
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
US6281121B1 (en) * 1998-03-06 2001-08-28 Advanced Micro Devices, Inc. Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal
JP3116897B2 (ja) * 1998-03-18 2000-12-11 日本電気株式会社 微細配線形成方法
US6598291B2 (en) 1998-03-20 2003-07-29 Viasystems, Inc. Via connector and method of making same
US6303881B1 (en) 1998-03-20 2001-10-16 Viasystems, Inc. Via connector and method of making same
US6455937B1 (en) * 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6022800A (en) * 1998-04-29 2000-02-08 Worldwide Semiconductor Manufacturing Corporation Method of forming barrier layer for tungsten plugs in interlayer dielectrics
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6127276A (en) * 1998-06-02 2000-10-03 United Microelectronics Corp Method of formation for a via opening
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
US6056869A (en) * 1998-06-04 2000-05-02 International Business Machines Corporation Wafer edge deplater for chemical mechanical polishing of substrates
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6211087B1 (en) * 1998-06-29 2001-04-03 Vlsi Technology, Inc. Chemical wet etch removal of underlayer material after performing chemical mechanical polishing on a primary layer
US6391771B1 (en) * 1998-07-23 2002-05-21 Applied Materials, Inc. Integrated circuit interconnect lines having sidewall layers
US6303986B1 (en) 1998-07-29 2001-10-16 Silicon Light Machines Method of and apparatus for sealing an hermetic lid to a semiconductor die
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6060383A (en) * 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
TW436366B (en) * 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6048787A (en) * 1998-09-08 2000-04-11 Winbond Electronics Corp. Borderless contacts for dual-damascene interconnect process
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6150269A (en) * 1998-09-11 2000-11-21 Chartered Semiconductor Manufacturing Company, Ltd. Copper interconnect patterning
US6180506B1 (en) 1998-09-14 2001-01-30 International Business Machines Corporation Upper redundant layer for damascene metallization
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
US6245668B1 (en) 1998-09-18 2001-06-12 International Business Machines Corporation Sputtered tungsten diffusion barrier for improved interconnect robustness
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
JP3169907B2 (ja) * 1998-09-25 2001-05-28 日本電気株式会社 多層配線構造およびその製造方法
US6069082A (en) * 1998-10-13 2000-05-30 Chartered Semiconductor Manufacturing Ltd. Method to prevent dishing in damascene CMP process
US6149776A (en) * 1998-11-12 2000-11-21 Applied Materials, Inc. Copper sputtering target
US6909114B1 (en) 1998-11-17 2005-06-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having LDD regions
US6184137B1 (en) 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
KR100493013B1 (ko) * 1998-11-30 2005-08-01 삼성전자주식회사 반도체소자의 금속 배선층 형성방법_
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
US6140240A (en) * 1999-01-07 2000-10-31 Vanguard International Semiconductor Corporation Method for eliminating CMP induced microscratches
US6358790B1 (en) 1999-01-13 2002-03-19 Agere Systems Guardian Corp. Method of making a capacitor
US6323537B1 (en) * 1999-01-13 2001-11-27 Agere Systems Guardian Corp. Capacitor for an integrated circuit
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6350690B1 (en) * 1999-04-09 2002-02-26 Advanced Micro Devices, Inc. Process for achieving full global planarization during CMP of damascene semiconductor structures
US6235633B1 (en) 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6329280B1 (en) 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
JP2001036080A (ja) 1999-07-26 2001-02-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
JP2001135168A (ja) * 1999-08-26 2001-05-18 Sharp Corp 金属配線の製造方法
US7071557B2 (en) 1999-09-01 2006-07-04 Micron Technology, Inc. Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6734559B1 (en) 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6348736B1 (en) 1999-10-29 2002-02-19 International Business Machines Corporation In situ formation of protective layer on silsesquioxane dielectric for dual damascene process
US6417106B1 (en) 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
JP4236778B2 (ja) * 1999-11-01 2009-03-11 株式会社ルネサステクノロジ 半導体装置
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6403465B1 (en) 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
JP2001223460A (ja) * 2000-02-08 2001-08-17 Fujitsu Ltd 実装回路基板及びその製造方法
US6633083B2 (en) * 2000-02-28 2003-10-14 Advanced Micro Devices Inc. Barrier layer integrity test
EP1143506A3 (en) * 2000-04-04 2004-02-25 Nippon Telegraph and Telephone Corporation Pattern forming method
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP2002050767A (ja) * 2000-08-04 2002-02-15 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6617689B1 (en) * 2000-08-31 2003-09-09 Micron Technology, Inc. Metal line and method of suppressing void formation therein
WO2003056287A1 (en) * 2001-12-21 2003-07-10 Danfoss A/S Dielectric actuator or sensor structure and method of making it
US7548015B2 (en) * 2000-11-02 2009-06-16 Danfoss A/S Multilayer composite and a method of making such
DE10054247C2 (de) * 2000-11-02 2002-10-24 Danfoss As Betätigungselement und Verfahren zu seiner Herstellung
US8181338B2 (en) * 2000-11-02 2012-05-22 Danfoss A/S Method of making a multilayer composite
US7518284B2 (en) * 2000-11-02 2009-04-14 Danfoss A/S Dielectric composite and a method of manufacturing a dielectric composite
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US6680514B1 (en) 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6388327B1 (en) 2001-01-09 2002-05-14 International Business Machines Corporation Capping layer for improved silicide formation in narrow semiconductor structures
JP2002208633A (ja) * 2001-01-10 2002-07-26 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US7087997B2 (en) 2001-03-12 2006-08-08 International Business Machines Corporation Copper to aluminum interlayer interconnect using stud and via liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
US6504225B1 (en) * 2001-04-18 2003-01-07 Advanced Micro Devices, Inc. Teos seaming scribe line monitor
US6743666B1 (en) * 2001-04-27 2004-06-01 Advanced Micro Devices, Inc. Selective thickening of the source-drain and gate areas of field effect transistors
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US7372160B2 (en) * 2001-05-31 2008-05-13 Stmicroelectronics, Inc. Barrier film deposition over metal for reduction in metal dishing after CMP
JP2002367998A (ja) * 2001-06-11 2002-12-20 Ebara Corp 半導体装置及びその製造方法
US6521523B2 (en) 2001-06-15 2003-02-18 Silicon Integrated Systems Corp. Method for forming selective protection layers on copper interconnects
US6782205B2 (en) 2001-06-25 2004-08-24 Silicon Light Machines Method and apparatus for dynamic equalization in wavelength division multiplexing
US6747781B2 (en) 2001-06-25 2004-06-08 Silicon Light Machines, Inc. Method, apparatus, and diffuser for reducing laser speckle
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6829092B2 (en) 2001-08-15 2004-12-07 Silicon Light Machines, Inc. Blazed grating light valve
JP2003068848A (ja) 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
JP4198906B2 (ja) 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6815342B1 (en) * 2001-11-27 2004-11-09 Lsi Logic Corporation Low resistance metal interconnect lines and a process for fabricating them
KR100435784B1 (ko) * 2001-12-21 2004-06-12 동부전자 주식회사 반도체 소자의 금속배선 형성 방법
US6800238B1 (en) 2002-01-15 2004-10-05 Silicon Light Machines, Inc. Method for domain patterning in low coercive field ferroelectrics
US6770566B1 (en) 2002-03-06 2004-08-03 Cypress Semiconductor Corporation Methods of forming semiconductor structures, and articles and devices formed thereby
US7294567B2 (en) * 2002-03-11 2007-11-13 Micron Technology, Inc. Semiconductor contact device and method
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US6767751B2 (en) 2002-05-28 2004-07-27 Silicon Light Machines, Inc. Integrated driver process flow
US6822797B1 (en) 2002-05-31 2004-11-23 Silicon Light Machines, Inc. Light modulator structure for producing high-contrast operation using zero-order light
US6829258B1 (en) 2002-06-26 2004-12-07 Silicon Light Machines, Inc. Rapidly tunable external cavity laser
US6813059B2 (en) 2002-06-28 2004-11-02 Silicon Light Machines, Inc. Reduced formation of asperities in contact micro-structures
US6714337B1 (en) 2002-06-28 2004-03-30 Silicon Light Machines Method and device for modulating a light beam and having an improved gamma response
US6801354B1 (en) 2002-08-20 2004-10-05 Silicon Light Machines, Inc. 2-D diffraction grating for substantially eliminating polarization dependent losses
CN100530931C (zh) * 2002-09-20 2009-08-19 丹福斯有限公司 弹性体致动器及制造致动器的方法
US6712480B1 (en) 2002-09-27 2004-03-30 Silicon Light Machines Controlled curvature of stressed micro-structures
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US7481120B2 (en) * 2002-12-12 2009-01-27 Danfoss A/S Tactile sensor element and sensor array
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
CN101098670B (zh) 2003-02-24 2011-07-27 丹福斯有限公司 电激活的电弹性压紧绷带
US6829077B1 (en) 2003-02-28 2004-12-07 Silicon Light Machines, Inc. Diffractive light modulator with dynamically rotatable diffraction plane
US6806997B1 (en) 2003-02-28 2004-10-19 Silicon Light Machines, Inc. Patterned diffractive light modulator ribbon for PDL reduction
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US20040245636A1 (en) * 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
JP4130621B2 (ja) * 2003-10-30 2008-08-06 株式会社東芝 半導体装置およびその製造方法
KR100561523B1 (ko) * 2003-12-31 2006-03-16 동부아남반도체 주식회사 알루미늄 배선 형성 방법
KR100590205B1 (ko) * 2004-01-12 2006-06-15 삼성전자주식회사 반도체 장치의 배선 구조체 및 그 형성 방법
US7090516B2 (en) * 2004-02-09 2006-08-15 Adc Telecommunications, Inc. Protective boot and universal cap
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US7956672B2 (en) * 2004-03-30 2011-06-07 Ricoh Company, Ltd. Reference voltage generating circuit
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7378744B2 (en) * 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
KR100628242B1 (ko) * 2004-06-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 베리어층 형성 방법
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR101101192B1 (ko) * 2004-08-26 2012-01-03 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
JP4503401B2 (ja) * 2004-09-08 2010-07-14 株式会社荏原製作所 金属膜の成膜方法及び配線の形成方法
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7105445B2 (en) * 2005-01-14 2006-09-12 International Business Machines Corporation Interconnect structures with encasing cap and methods of making thereof
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US7323410B2 (en) 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
TWI293499B (en) 2006-01-25 2008-02-11 Advanced Semiconductor Eng Three dimensional package and method of making the same
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
CA2653434A1 (en) * 2006-06-01 2008-03-27 Igeacare Systems, Inc. Remote health care system with stethoscope
US20080091470A1 (en) * 2006-06-01 2008-04-17 Igeacare Systems Inc. Remote health care diagnostic tool
CA2653346A1 (en) * 2006-06-01 2007-12-06 Igeacare Systems, Inc. Remote health care system with treatment verification
CA2653432A1 (en) * 2006-06-01 2008-02-21 Igeacare Systems, Inc. Home based healthcare system and method
WO2008018490A1 (en) * 2006-08-10 2008-02-14 Ulvac, Inc. Method for forming conductive film, thin film transistor, panel with thin film transistor, and method for manufacturing thin film transistor
US7880371B2 (en) * 2006-11-03 2011-02-01 Danfoss A/S Dielectric composite and a method of manufacturing a dielectric composite
US7732999B2 (en) * 2006-11-03 2010-06-08 Danfoss A/S Direct acting capacitive transducer
US7569475B2 (en) * 2006-11-15 2009-08-04 International Business Machines Corporation Interconnect structure having enhanced electromigration reliability and a method of fabricating same
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
US7655556B2 (en) * 2007-03-23 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for semiconductor devices
US7790599B2 (en) * 2007-04-13 2010-09-07 International Business Machines Corporation Metal cap for interconnect structures
US8502272B2 (en) 2007-05-16 2013-08-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Metal-oxide-semiconductor high electron mobility transistors and methods of fabrication
TWI339444B (en) * 2007-05-30 2011-03-21 Au Optronics Corp Conductor structure, pixel structure, and methods of forming the same
JP4637872B2 (ja) * 2007-06-12 2011-02-23 シャープ株式会社 配線構造およびその製造方法
US7732924B2 (en) 2007-06-12 2010-06-08 International Business Machines Corporation Semiconductor wiring structures including dielectric cap within metal cap layer
US8138604B2 (en) 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
KR100905872B1 (ko) * 2007-08-24 2009-07-03 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US7964923B2 (en) * 2008-01-07 2011-06-21 International Business Machines Corporation Structure and method of creating entirely self-aligned metallic contacts
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US7830010B2 (en) 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
WO2009132651A1 (en) * 2008-04-30 2009-11-05 Danfoss A/S A pump powered by a polymer transducer
US20110186759A1 (en) * 2008-04-30 2011-08-04 Danfoss Polypower A/S Power actuated valve
US7956466B2 (en) 2008-05-09 2011-06-07 International Business Machines Corporation Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
US8772156B2 (en) * 2008-05-09 2014-07-08 International Business Machines Corporation Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8013446B2 (en) * 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US7939911B2 (en) * 2008-08-14 2011-05-10 International Business Machines Corporation Back-end-of-line resistive semiconductor structures
US8232645B2 (en) * 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
US7977201B2 (en) * 2008-08-14 2011-07-12 International Business Machines Corporation Methods for forming back-end-of-line resistive semiconductor structures
US8823176B2 (en) 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
JP5406556B2 (ja) * 2009-02-23 2014-02-05 関東化学株式会社 金属積層膜用エッチング液組成物
US20100276764A1 (en) * 2009-05-04 2010-11-04 Yi-Jen Lo Semiconductor structure with selectively deposited tungsten film and method for making the same
TWI459507B (zh) * 2009-06-18 2014-11-01 United Microelectronics Corp 一種製作矽貫通電極的方法
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8039966B2 (en) * 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US8411970B2 (en) * 2010-03-16 2013-04-02 Pixia Corp. Method and system for determining statistical data for image pixels having a higher bit depth per band
US9425146B2 (en) 2010-09-28 2016-08-23 Infineon Technologies Ag Semiconductor structure and method for making same
US8124525B1 (en) * 2010-10-27 2012-02-28 International Business Machines Corporation Method of forming self-aligned local interconnect and structure formed thereby
DE102010063294B4 (de) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
EP2535441A1 (en) * 2011-06-14 2012-12-19 Atotech Deutschland GmbH Copper filled opening with a cap layer
JP5949294B2 (ja) * 2011-08-31 2016-07-06 日亜化学工業株式会社 半導体発光素子
US8877645B2 (en) 2011-09-15 2014-11-04 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US8492274B2 (en) 2011-11-07 2013-07-23 International Business Machines Corporation Metal alloy cap integration
US8891222B2 (en) 2012-02-14 2014-11-18 Danfoss A/S Capacitive transducer and a method for manufacturing a transducer
US8692442B2 (en) 2012-02-14 2014-04-08 Danfoss Polypower A/S Polymer transducer and a connector for a transducer
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
KR101907694B1 (ko) * 2012-03-06 2018-10-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
CN103390647A (zh) * 2012-05-10 2013-11-13 无锡华润上华半导体有限公司 一种功率mos器件结构
US9034664B2 (en) * 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
KR101992352B1 (ko) * 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US8883020B2 (en) * 2013-01-30 2014-11-11 GlobalFoundries, Inc. Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US9305879B2 (en) 2013-05-09 2016-04-05 Globalfoundries Inc. E-fuse with hybrid metallization
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US8962479B2 (en) 2013-05-10 2015-02-24 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US9558999B2 (en) 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US9202749B2 (en) 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
US9379221B1 (en) 2015-01-08 2016-06-28 International Business Machines Corporation Bottom-up metal gate formation on replacement metal gate finFET devices
US9913382B2 (en) * 2015-04-23 2018-03-06 Viasystems Technologies Corp. L.L.C. Method for anchoring a conductive cap on a filled via in a printed circuit board and printed circuit board with an anchored conductive cap
US9595473B2 (en) 2015-06-01 2017-03-14 International Business Machines Corporation Critical dimension shrink through selective metal growth on metal hardmask sidewalls
US9588298B2 (en) 2015-06-04 2017-03-07 Elenion Technologies, Llc Edge coupler
JP6738556B2 (ja) * 2015-06-26 2020-08-12 三菱マテリアル株式会社 表面被覆切削工具
US9748169B1 (en) 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
CN107564850B (zh) * 2016-07-01 2020-07-07 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US10593563B2 (en) * 2017-04-13 2020-03-17 Invensas Corporation Fan-out wafer level package with resist vias
CN109642309B (zh) * 2017-05-17 2021-08-17 埃马金公司 高精准度蔽荫掩模沉积系统及其方法
CN107170788A (zh) * 2017-06-06 2017-09-15 武汉华星光电技术有限公司 一种显示屏
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10886225B2 (en) 2018-03-05 2021-01-05 International Business Machines Corporation BEOL alternative metal interconnects: integration and process
US11018087B2 (en) * 2018-04-25 2021-05-25 International Business Machines Corporation Metal interconnects
CN109003767B (zh) * 2018-07-18 2023-11-28 昆山万盛电子有限公司 一种横卧安装的压敏电阻器及其制备方法
CN116013853B (zh) * 2023-03-27 2023-06-02 合肥晶合集成电路股份有限公司 互连结构的制备方法

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1399163A (en) * 1972-11-08 1975-06-25 Ferranti Ltd Methods of manufacturing semiconductor devices
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
CH611938A5 (ko) * 1976-05-19 1979-06-29 Battelle Memorial Institute
DE2705225C2 (de) * 1976-06-07 1983-03-24 Nobuo Tokyo Nishida Ornamentteil für Uhren usw.
JPS5425178A (en) * 1977-07-27 1979-02-24 Fujitsu Ltd Manufacture for semiconductor device
US4244775A (en) * 1979-04-30 1981-01-13 Bell Telephone Laboratories, Incorporated Process for the chemical etch polishing of semiconductors
US4293374A (en) * 1980-03-10 1981-10-06 International Business Machines Corporation High aspect ratio, high resolution mask fabrication
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4339305A (en) * 1981-02-05 1982-07-13 Rockwell International Corporation Planar circuit fabrication by plating and liftoff
JPS5815250A (ja) * 1981-07-21 1983-01-28 Fujitsu Ltd 半導体装置の製造方法
JPS5821844A (ja) * 1981-07-31 1983-02-08 Nippon Telegr & Teleph Corp <Ntt> 配線構造体の製造方法
JPS5830147A (ja) 1981-08-18 1983-02-22 Toshiba Corp 半導体装置
DE3141567C2 (de) * 1981-10-20 1986-02-06 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen von aus Tantal, Wolfram oder Molybdän bestehenden Schichten bei niedrigen Temperaturen und Verwendung dieser Schichten
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
JPS58210634A (ja) * 1982-05-31 1983-12-07 Toshiba Corp 半導体装置の製造方法
JPS5982746A (ja) * 1982-11-04 1984-05-12 Toshiba Corp 半導体装置の電極配線方法
JPS59121835A (ja) * 1982-12-28 1984-07-14 Fujitsu Ltd 半導体装置及びその製造方法
JPS59175763A (ja) * 1983-03-25 1984-10-04 Fujitsu Ltd 半導体装置
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
KR910006249B1 (ko) * 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
GB2137808A (en) * 1983-04-06 1984-10-10 Plessey Co Plc Integrated circuit processing method
US4486946A (en) * 1983-07-12 1984-12-11 Control Data Corporation Method for using titanium-tungsten alloy as a barrier metal in silicon semiconductor processing
US4600624A (en) * 1983-09-20 1986-07-15 International Business Machines Corporation Composite insulator structure
US4532702A (en) * 1983-11-04 1985-08-06 Westinghouse Electric Corp. Method of forming conductive interconnection between vertically spaced levels in VLSI devices
JPS60115245A (ja) * 1983-11-28 1985-06-21 Toshiba Corp 半導体装置の製造方法
JPS60117719A (ja) * 1983-11-30 1985-06-25 Fujitsu Ltd 半導体装置の製造方法
CA1260754A (en) * 1983-12-26 1989-09-26 Teiji Majima Method for forming patterns and apparatus used for carrying out the same
JPS60142545A (ja) * 1983-12-27 1985-07-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多層複合構造体
JPS60173857A (ja) * 1984-02-20 1985-09-07 Toshiba Corp 半導体装置の製造方法
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4845050A (en) * 1984-04-02 1989-07-04 General Electric Company Method of making mo/tiw or w/tiw ohmic contacts to silicon
US4640004A (en) * 1984-04-13 1987-02-03 Fairchild Camera & Instrument Corp. Method and structure for inhibiting dopant out-diffusion
FR2566181B1 (fr) * 1984-06-14 1986-08-22 Commissariat Energie Atomique Procede d'autopositionnement d'une ligne d'interconnexion sur un trou de contact electrique d'un circuit integre
US4545852A (en) * 1984-06-20 1985-10-08 Hewlett-Packard Company Planarization of dielectric films on integrated circuits
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4560436A (en) * 1984-07-02 1985-12-24 Motorola, Inc. Process for etching tapered polyimide vias
US4720908A (en) * 1984-07-11 1988-01-26 Texas Instruments Incorporated Process for making contacts and interconnects for holes having vertical sidewalls
US4751197A (en) * 1984-07-18 1988-06-14 Texas Instruments Incorporated Make-link programming of semiconductor devices using laser enhanced thermal breakdown of insulator
JPH0713295B2 (ja) * 1985-02-22 1995-02-15 株式会社日立製作所 スパツタリング装置
US4560435A (en) * 1984-10-01 1985-12-24 International Business Machines Corporation Composite back-etch/lift-off stencil for proximity effect minimization
KR900001825B1 (ko) * 1984-11-14 1990-03-24 가부시끼가이샤 히다찌세이사꾸쇼 성막 지향성을 고려한 스퍼터링장치
JPH0697693B2 (ja) * 1984-12-05 1994-11-30 株式会社東芝 Mos型fetのゲート構造の製造方法
JPS61137367A (ja) * 1984-12-10 1986-06-25 Hitachi Ltd 半導体集積回路装置の製造方法
US4659427A (en) * 1984-12-31 1987-04-21 Gte Laboratories Incorporated Via formation for multilayered metalization
US5045916A (en) * 1985-01-22 1991-09-03 Fairchild Semiconductor Corporation Extended silicide and external contact technology
EP0195977B1 (en) * 1985-03-15 1994-09-28 Hewlett-Packard Company Metal interconnection system with a planar surface
FR2583220B1 (fr) * 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
US4617087A (en) * 1985-09-27 1986-10-14 International Business Machines Corporation Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4824802A (en) * 1986-02-28 1989-04-25 General Electric Company Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
US4746219A (en) * 1986-03-07 1988-05-24 Texas Instruments Incorporated Local interconnect
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4849079A (en) * 1986-05-23 1989-07-18 International Business Machines Corp. Process for preparing low electrical contact resistance composition
US4756927A (en) * 1986-05-29 1988-07-12 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
EP0256557B1 (en) * 1986-08-19 1993-01-07 Fujitsu Limited Semiconductor device having thin film wiring layer and method of forming thin wiring layer
EP0261846B1 (en) * 1986-09-17 1992-12-02 Fujitsu Limited Method of forming a metallization film containing copper on the surface of a semiconductor device
US4924295A (en) * 1986-11-28 1990-05-08 Siemens Aktiengesellschaft Integrated semi-conductor circuit comprising at least two metallization levels composed of aluminum or aluminum compounds and a method for the manufacture of same
US4732658A (en) * 1986-12-03 1988-03-22 Honeywell Inc. Planarization of silicon semiconductor devices
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
US4753709A (en) * 1987-02-05 1988-06-28 Texas Instuments Incorporated Method for etching contact vias in a semiconductor device
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4783248A (en) * 1987-02-10 1988-11-08 Siemens Aktiengesellschaft Method for the production of a titanium/titanium nitride double layer
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPS63269546A (ja) * 1987-04-27 1988-11-07 Nec Corp 半導体装置の製造方法
JPS63299251A (ja) * 1987-05-29 1988-12-06 Toshiba Corp 半導体装置の製造方法
JPH0660391B2 (ja) * 1987-06-11 1994-08-10 日電アネルバ株式会社 スパッタリング装置
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4902645A (en) * 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
JPS6460011A (en) * 1987-08-31 1989-03-07 Nec Corp High frequency transistor amplifier
EP0312986A1 (de) * 1987-10-22 1989-04-26 Siemens Aktiengesellschaft Verfahren zum Rückätzen von Wolfram mit Titannitrid als Unterlage in Kontaktlöchern von höchstintegrierten Halbleiterschaltungen
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4873565A (en) * 1987-11-02 1989-10-10 Texas Instruments Incorporated Method and apparatus for providing interconnection between metallization layers on semiconductor devices
JPH0654774B2 (ja) * 1987-11-30 1994-07-20 株式会社東芝 半導体装置及びその製造方法
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
US4926237A (en) * 1988-04-04 1990-05-15 Motorola, Inc. Device metallization, device and method
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
FR2630587A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour etablir des contacts electriques de petites dimensions sur un dispositif semiconducteur
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
DE3881032T2 (de) * 1988-05-26 1993-11-25 Fairchild Semiconductor Verbindungssystem von hoher Leistungsfähigkeit für eine integrierte Schaltung.
US5027185A (en) * 1988-06-06 1991-06-25 Industrial Technology Research Institute Polycide gate FET with salicide
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
US5112693A (en) * 1988-10-03 1992-05-12 Ppg Industries, Inc. Low reflectance, highly saturated colored coating for monolithic glazing
US5008730A (en) * 1988-10-03 1991-04-16 International Business Machines Corporation Contact stud structure for semiconductor devices
JPH02170424A (ja) * 1988-12-22 1990-07-02 Nec Corp 半導体装置の製造方法
US5084417A (en) * 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
JP2537413B2 (ja) * 1989-03-14 1996-09-25 三菱電機株式会社 半導体装置およびその製造方法
US4920073A (en) * 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
US5169685A (en) * 1989-06-12 1992-12-08 General Electric Company Method for forming non-columnar deposits by chemical vapor deposition
US4994162A (en) * 1989-09-29 1991-02-19 Materials Research Corporation Planarization method
JP2732539B2 (ja) * 1989-10-06 1998-03-30 日本電気株式会社 真空成膜装置
US5070391A (en) * 1989-11-30 1991-12-03 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US5026470A (en) * 1989-12-19 1991-06-25 International Business Machines Sputtering apparatus
EP0440377B1 (en) * 1990-01-29 1998-03-18 Varian Associates, Inc. Collimated deposition apparatus and method
US5008217A (en) * 1990-06-08 1991-04-16 At&T Bell Laboratories Process for fabricating integrated circuits having shallow junctions
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2841976B2 (ja) * 1990-11-28 1998-12-24 日本電気株式会社 半導体装置およびその製造方法
JP2660359B2 (ja) * 1991-01-30 1997-10-08 三菱電機株式会社 半導体装置
US5187119A (en) * 1991-02-11 1993-02-16 The Boeing Company Multichip module and integrated circuit substrates having planarized patterned surfaces
US5143867A (en) * 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
US5243222A (en) * 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
CA2061119C (en) * 1991-04-19 1998-02-03 Pei-Ing P. Lee Method of depositing conductors in high aspect ratio apertures
JPH05160070A (ja) * 1991-05-31 1993-06-25 Texas Instr Inc <Ti> 半導体装置の接点とその製法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5244836A (en) * 1991-12-30 1993-09-14 North American Philips Corporation Method of manufacturing fusible links in semiconductor devices
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300812A (en) * 1992-12-09 1994-04-05 General Electric Company Plasticized polyetherimide adhesive composition and usage
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure

Also Published As

Publication number Publication date
US5426330A (en) 1995-06-20
EP0561132B1 (en) 2000-11-15
SG115407A1 (en) 2005-10-28
EP0788156B1 (en) 2004-08-25
US5976975A (en) 1999-11-02
JP2516307B2 (ja) 1996-07-24
CN1192040A (zh) 1998-09-02
US5300813A (en) 1994-04-05
SG70044A1 (en) 2000-01-25
DE69332917D1 (de) 2003-05-28
KR0128264B1 (ko) 1998-04-07
SG111047A1 (en) 2005-05-30
DE69329663D1 (de) 2000-12-21
EP0966037A3 (en) 2000-03-08
DE69333604D1 (de) 2004-09-30
TW291576B (ko) 1996-11-21
KR0134121B1 (ko) 1998-04-20
CN1076548A (zh) 1993-09-22
CN1044649C (zh) 1999-08-11
DE69333604T2 (de) 2005-09-15
SG44450A1 (en) 1997-12-19
EP0966037A2 (en) 1999-12-22
CN1192050A (zh) 1998-09-02
CN1150597C (zh) 2004-05-19
EP0788156A2 (en) 1997-08-06
US6323554B1 (en) 2001-11-27
DE69332917T2 (de) 2003-12-24
EP0966037B1 (en) 2003-04-23
US6147402A (en) 2000-11-14
CN1192049A (zh) 1998-09-02
KR930018660A (ko) 1993-09-22
US5403779A (en) 1995-04-04
SG70043A1 (en) 2000-01-25
US5585673A (en) 1996-12-17
US5889328A (en) 1999-03-30
CN1111908C (zh) 2003-06-18
SG70045A1 (en) 2000-01-25
CN1120241A (zh) 1996-04-10
JPH05343532A (ja) 1993-12-24
EP0788156A3 (en) 1998-04-15
KR0134122B1 (ko) 1998-04-20
DE69329663T2 (de) 2001-05-03
CN1081390C (zh) 2002-03-20
SG70046A1 (en) 2000-01-25
SG105511A1 (en) 2004-08-27
CN1112730C (zh) 2003-06-25
EP0561132A1 (en) 1993-09-22

Similar Documents

Publication Publication Date Title
KR0134120B1 (ko) 반도체 디바이스
KR970006973B1 (ko) 피복된 상감(象嵌) 도선 또는 비아를 기판상에 제조하는 방법
EP0697730B1 (en) Method of forming an Al-Ge alloy with WGe polishing stop
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US5563099A (en) Metal junction method of manufacture for semiconductor devices
JP4169150B2 (ja) 犠牲ハードマスクを用いて金属パターンを形成する方法
US20020038911A1 (en) Surface modified interconnects
US20040051178A1 (en) Semiconductor recessed mask interconnect technology
US20010050439A1 (en) Surface modified interconnects
EP0834920A1 (en) Improvements in or relating to semiconductor device metallization and interconnects
KR100408182B1 (ko) 구리 배선용 장벽층 형성 방법
KR100274346B1 (ko) 반도체소자의금속배선형성방법
KR100753132B1 (ko) 듀얼 다마신 공정을 이용한 금속배선 형성 방법
KR20030049027A (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121127

Year of fee payment: 16

EXPY Expiration of term