US5300813A
(en)
*
|
1992-02-26 |
1994-04-05 |
International Business Machines Corporation |
Refractory metal capped low resistivity metal conductor lines and vias
|
EP0558304B1
(de)
*
|
1992-02-28 |
2000-01-19 |
STMicroelectronics, Inc. |
Herstellungsverfahren von Submikronkontakten
|
US5612254A
(en)
*
|
1992-06-29 |
1997-03-18 |
Intel Corporation |
Methods of forming an interconnect on a semiconductor substrate
|
US5739579A
(en)
*
|
1992-06-29 |
1998-04-14 |
Intel Corporation |
Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
|
US5561082A
(en)
*
|
1992-07-31 |
1996-10-01 |
Kabushiki Kaisha Toshiba |
Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
|
US5596172A
(en)
*
|
1993-05-07 |
1997-01-21 |
Motorola, Inc. |
Planar encapsulation process
|
US5412250A
(en)
*
|
1993-09-24 |
1995-05-02 |
Vlsi Technology, Inc. |
Barrier enhancement at the salicide layer
|
JP3297220B2
(ja)
*
|
1993-10-29 |
2002-07-02 |
株式会社東芝 |
半導体装置の製造方法および半導体装置
|
JP2699839B2
(ja)
*
|
1993-12-03 |
1998-01-19 |
日本電気株式会社 |
半導体装置の製造方法
|
KR0179677B1
(ko)
*
|
1993-12-28 |
1999-04-15 |
사토 후미오 |
반도체장치 및 그 제조방법
|
US5430328A
(en)
*
|
1994-05-31 |
1995-07-04 |
United Microelectronics Corporation |
Process for self-align contact
|
US5472913A
(en)
*
|
1994-08-05 |
1995-12-05 |
Texas Instruments Incorporated |
Method of fabricating porous dielectric material with a passivation layer for electronics applications
|
EP0697730B1
(de)
*
|
1994-08-05 |
1999-11-24 |
International Business Machines Corporation |
Verfahren zur Herstellung einer Al-Ge Legierung mit einer WGe Polierstoppschicht
|
US5686356A
(en)
|
1994-09-30 |
1997-11-11 |
Texas Instruments Incorporated |
Conductor reticulation for improved device planarity
|
KR0171069B1
(ko)
*
|
1994-10-27 |
1999-03-30 |
문정환 |
반도체 장치의 접촉부 형성방법
|
US5602423A
(en)
*
|
1994-11-01 |
1997-02-11 |
Texas Instruments Incorporated |
Damascene conductors with embedded pillars
|
EP0792515A1
(de)
*
|
1994-11-18 |
1997-09-03 |
Advanced Micro Devices, Inc. |
Verfahren zum herstellen einer chemisch-mechanischen polieraufschlämmung und die polieraufschlämmung
|
US5580823A
(en)
*
|
1994-12-15 |
1996-12-03 |
Motorola, Inc. |
Process for fabricating a collimated metal layer and contact structure in a semiconductor device
|
US5550405A
(en)
*
|
1994-12-21 |
1996-08-27 |
Advanced Micro Devices, Incorporated |
Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
|
EP1098366A1
(de)
*
|
1994-12-29 |
2001-05-09 |
STMicroelectronics, Inc. |
Halbleiterverbindungsstruktur und Verfahren
|
DE69418206T2
(de)
*
|
1994-12-30 |
1999-08-19 |
Co.Ri.M.Me. |
Verfahren zur Spannungsschwelleextraktierung und Schaltung nach dem Verfahren
|
US6285082B1
(en)
|
1995-01-03 |
2001-09-04 |
International Business Machines Corporation |
Soft metal conductor
|
US5920296A
(en)
*
|
1995-02-01 |
1999-07-06 |
Pixel International |
Flat screen having individually dipole-protected microdots
|
US5545592A
(en)
*
|
1995-02-24 |
1996-08-13 |
Advanced Micro Devices, Inc. |
Nitrogen treatment for metal-silicide contact
|
TW290731B
(de)
*
|
1995-03-30 |
1996-11-11 |
Siemens Ag |
|
US6348708B1
(en)
*
|
1995-04-10 |
2002-02-19 |
Lg Semicon Co., Ltd. |
Semiconductor device utilizing a rugged tungsten film
|
TW298674B
(de)
*
|
1995-07-07 |
1997-02-21 |
At & T Corp |
|
US5747879A
(en)
*
|
1995-09-29 |
1998-05-05 |
Intel Corporation |
Interface between titanium and aluminum-alloy in metal stack for integrated circuit
|
JPH11511593A
(ja)
*
|
1995-09-29 |
1999-10-05 |
インテル・コーポレーション |
専用チャンバによる2層のチタン薄層を有する集積回路用金属スタック
|
US5573633A
(en)
*
|
1995-11-14 |
1996-11-12 |
International Business Machines Corporation |
Method of chemically mechanically polishing an electronic component
|
KR0175410B1
(ko)
*
|
1995-11-21 |
1999-02-01 |
김광호 |
액정 표시 장치용 박막 트랜지스터 기판 및 그 제조 방법
|
US6726776B1
(en)
|
1995-11-21 |
2004-04-27 |
Applied Materials, Inc. |
Low temperature integrated metallization process and apparatus
|
JPH09148431A
(ja)
*
|
1995-11-21 |
1997-06-06 |
Nec Corp |
半導体装置の製造方法
|
US6077781A
(en)
*
|
1995-11-21 |
2000-06-20 |
Applied Materials, Inc. |
Single step process for blanket-selective CVD aluminum deposition
|
US6066358A
(en)
*
|
1995-11-21 |
2000-05-23 |
Applied Materials, Inc. |
Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
|
US5877087A
(en)
|
1995-11-21 |
1999-03-02 |
Applied Materials, Inc. |
Low temperature integrated metallization process and apparatus
|
US5776836A
(en)
*
|
1996-02-29 |
1998-07-07 |
Micron Technology, Inc. |
Self aligned method to define features smaller than the resolution limit of a photolithography system
|
US5950099A
(en)
*
|
1996-04-09 |
1999-09-07 |
Kabushiki Kaisha Toshiba |
Method of forming an interconnect
|
US5654234A
(en)
*
|
1996-04-29 |
1997-08-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
|
US5756396A
(en)
*
|
1996-05-06 |
1998-05-26 |
Taiwan Semiconductor Manufacturing Company Ltd |
Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
|
US5993686A
(en)
*
|
1996-06-06 |
1999-11-30 |
Cabot Corporation |
Fluoride additive containing chemical mechanical polishing slurry and method for use of same
|
US6429120B1
(en)
|
2000-01-18 |
2002-08-06 |
Micron Technology, Inc. |
Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
|
JPH1064902A
(ja)
*
|
1996-07-12 |
1998-03-06 |
Applied Materials Inc |
アルミニウム材料の成膜方法及び成膜装置
|
US6077768A
(en)
*
|
1996-07-19 |
2000-06-20 |
Motorola, Inc. |
Process for fabricating a multilevel interconnect
|
US5783485A
(en)
*
|
1996-07-19 |
1998-07-21 |
Motorola, Inc. |
Process for fabricating a metallized interconnect
|
US6001420A
(en)
*
|
1996-09-23 |
1999-12-14 |
Applied Materials, Inc. |
Semi-selective chemical vapor deposition
|
US5965459A
(en)
*
|
1996-10-11 |
1999-10-12 |
International Business Machines Corporation |
Method for removing crevices induced by chemical-mechanical polishing
|
US6020263A
(en)
*
|
1996-10-31 |
2000-02-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of recovering alignment marks after chemical mechanical polishing of tungsten
|
US5849367A
(en)
*
|
1996-12-11 |
1998-12-15 |
Texas Instruments Incorporated |
Elemental titanium-free liner and fabrication process for inter-metal connections
|
AU5411498A
(en)
*
|
1996-12-12 |
1998-07-03 |
Asahi Kasei Kogyo Kabushiki Kaisha |
Method of manufacturing semiconductor device
|
US6110828A
(en)
*
|
1996-12-30 |
2000-08-29 |
Applied Materials, Inc. |
In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
|
US6537905B1
(en)
|
1996-12-30 |
2003-03-25 |
Applied Materials, Inc. |
Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
|
JPH10209279A
(ja)
*
|
1997-01-27 |
1998-08-07 |
Matsushita Electron Corp |
金属プラグの形成方法
|
US6139697A
(en)
*
|
1997-01-31 |
2000-10-31 |
Applied Materials, Inc. |
Low temperature integrated via and trench fill process and apparatus
|
US6268661B1
(en)
*
|
1999-08-31 |
2001-07-31 |
Nec Corporation |
Semiconductor device and method of its fabrication
|
US5916855A
(en)
*
|
1997-03-26 |
1999-06-29 |
Advanced Micro Devices, Inc. |
Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
|
US6139905A
(en)
*
|
1997-04-11 |
2000-10-31 |
Applied Materials, Inc. |
Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
|
US6080665A
(en)
*
|
1997-04-11 |
2000-06-27 |
Applied Materials, Inc. |
Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
|
JP3111924B2
(ja)
*
|
1997-04-11 |
2000-11-27 |
日本電気株式会社 |
半導体装置の製造方法
|
US5981374A
(en)
*
|
1997-04-29 |
1999-11-09 |
International Business Machines Corporation |
Sub-half-micron multi-level interconnection structure and process thereof
|
US6849557B1
(en)
*
|
1997-04-30 |
2005-02-01 |
Micron Technology, Inc. |
Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
|
US6149974A
(en)
*
|
1997-05-05 |
2000-11-21 |
Applied Materials, Inc. |
Method for elimination of TEOS/ozone silicon oxide surface sensitivity
|
US6605197B1
(en)
|
1997-05-13 |
2003-08-12 |
Applied Materials, Inc. |
Method of sputtering copper to fill trenches and vias
|
US6130161A
(en)
|
1997-05-30 |
2000-10-10 |
International Business Machines Corporation |
Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
|
US6069068A
(en)
*
|
1997-05-30 |
2000-05-30 |
International Business Machines Corporation |
Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
|
US5904565A
(en)
*
|
1997-07-17 |
1999-05-18 |
Sharp Microelectronics Technology, Inc. |
Low resistance contact between integrated circuit metal levels and method for same
|
US6240199B1
(en)
|
1997-07-24 |
2001-05-29 |
Agere Systems Guardian Corp. |
Electronic apparatus having improved scratch and mechanical resistance
|
US5989623A
(en)
|
1997-08-19 |
1999-11-23 |
Applied Materials, Inc. |
Dual damascene metallization
|
US6080655A
(en)
|
1997-08-21 |
2000-06-27 |
Micron Technology, Inc. |
Method for fabricating conductive components in microelectronic devices and substrate structures thereof
|
US6096576A
(en)
|
1997-09-02 |
2000-08-01 |
Silicon Light Machines |
Method of producing an electrical interface to an integrated circuit device having high density I/O count
|
US5994775A
(en)
*
|
1997-09-17 |
1999-11-30 |
Lsi Logic Corporation |
Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
|
US5990011A
(en)
*
|
1997-09-18 |
1999-11-23 |
Micron Technology, Inc. |
Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
|
JP3545177B2
(ja)
*
|
1997-09-18 |
2004-07-21 |
株式会社荏原製作所 |
多層埋め込みCu配線形成方法
|
SG70654A1
(en)
*
|
1997-09-30 |
2000-02-22 |
Ibm |
Copper stud structure with refractory metal liner
|
US6133139A
(en)
*
|
1997-10-08 |
2000-10-17 |
International Business Machines Corporation |
Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
|
US6060388A
(en)
*
|
1997-10-29 |
2000-05-09 |
International Business Machines Corporation |
Conductors for microelectronic circuits and method of manufacture
|
EP1034566A1
(de)
|
1997-11-26 |
2000-09-13 |
Applied Materials, Inc. |
Zerstörungsfreie beschichtungsmethode
|
US7253109B2
(en)
|
1997-11-26 |
2007-08-07 |
Applied Materials, Inc. |
Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
|
TW374946B
(en)
*
|
1997-12-03 |
1999-11-21 |
United Microelectronics Corp |
Definition of structure of dielectric layer patterns and the manufacturing method
|
US6129613A
(en)
*
|
1998-01-30 |
2000-10-10 |
Philips Electronics North America Corp. |
Semiconductor manufacturing apparatus and method for measuring in-situ pressure across a wafer
|
US6424040B1
(en)
*
|
1998-02-04 |
2002-07-23 |
Texas Instruments Incorporated |
Integration of fluorinated dielectrics in multi-level metallizations
|
KR100275728B1
(ko)
|
1998-02-24 |
2001-01-15 |
윤종용 |
반도체장치의 장벽 금속막의 제조방법 및 이를 이용한 반도체장치의 금속배선막의 제조방법
|
US6287436B1
(en)
|
1998-02-27 |
2001-09-11 |
Innovent, Inc. |
Brazed honeycomb collimator
|
US6211073B1
(en)
|
1998-02-27 |
2001-04-03 |
Micron Technology, Inc. |
Methods for making copper and other metal interconnections in integrated circuits
|
US6281121B1
(en)
*
|
1998-03-06 |
2001-08-28 |
Advanced Micro Devices, Inc. |
Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal
|
JP3116897B2
(ja)
*
|
1998-03-18 |
2000-12-11 |
日本電気株式会社 |
微細配線形成方法
|
US6455937B1
(en)
*
|
1998-03-20 |
2002-09-24 |
James A. Cunningham |
Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
|
US6303881B1
(en)
|
1998-03-20 |
2001-10-16 |
Viasystems, Inc. |
Via connector and method of making same
|
US6598291B2
(en)
|
1998-03-20 |
2003-07-29 |
Viasystems, Inc. |
Via connector and method of making same
|
US6140236A
(en)
*
|
1998-04-21 |
2000-10-31 |
Kabushiki Kaisha Toshiba |
High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
|
US6218306B1
(en)
|
1998-04-22 |
2001-04-17 |
Applied Materials, Inc. |
Method of chemical mechanical polishing a metal layer
|
US6111301A
(en)
*
|
1998-04-24 |
2000-08-29 |
International Business Machines Corporation |
Interconnection with integrated corrosion stop
|
US6022800A
(en)
*
|
1998-04-29 |
2000-02-08 |
Worldwide Semiconductor Manufacturing Corporation |
Method of forming barrier layer for tungsten plugs in interlayer dielectrics
|
US6015749A
(en)
*
|
1998-05-04 |
2000-01-18 |
Taiwan Semiconductor Manufacturing Company |
Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
|
US6218288B1
(en)
|
1998-05-11 |
2001-04-17 |
Micron Technology, Inc. |
Multiple step methods for forming conformal layers
|
US6127276A
(en)
*
|
1998-06-02 |
2000-10-03 |
United Microelectronics Corp |
Method of formation for a via opening
|
US6153521A
(en)
*
|
1998-06-04 |
2000-11-28 |
Advanced Micro Devices, Inc. |
Metallized interconnection structure and method of making the same
|
US6056869A
(en)
*
|
1998-06-04 |
2000-05-02 |
International Business Machines Corporation |
Wafer edge deplater for chemical mechanical polishing of substrates
|
JPH11354637A
(ja)
*
|
1998-06-11 |
1999-12-24 |
Oki Electric Ind Co Ltd |
配線の接続構造及び配線の接続部の形成方法
|
US6211087B1
(en)
*
|
1998-06-29 |
2001-04-03 |
Vlsi Technology, Inc. |
Chemical wet etch removal of underlayer material after performing chemical mechanical polishing on a primary layer
|
US6391771B1
(en)
*
|
1998-07-23 |
2002-05-21 |
Applied Materials, Inc. |
Integrated circuit interconnect lines having sidewall layers
|
US6303986B1
(en)
|
1998-07-29 |
2001-10-16 |
Silicon Light Machines |
Method of and apparatus for sealing an hermetic lid to a semiconductor die
|
US6287977B1
(en)
*
|
1998-07-31 |
2001-09-11 |
Applied Materials, Inc. |
Method and apparatus for forming improved metal interconnects
|
US6284656B1
(en)
|
1998-08-04 |
2001-09-04 |
Micron Technology, Inc. |
Copper metallurgy in integrated circuits
|
US6060383A
(en)
*
|
1998-08-10 |
2000-05-09 |
Nogami; Takeshi |
Method for making multilayered coaxial interconnect structure
|
TW436366B
(en)
*
|
1998-08-21 |
2001-05-28 |
United Microelectronics Corp |
Method of fabricating a plug
|
US6048787A
(en)
*
|
1998-09-08 |
2000-04-11 |
Winbond Electronics Corp. |
Borderless contacts for dual-damascene interconnect process
|
US6288442B1
(en)
|
1998-09-10 |
2001-09-11 |
Micron Technology, Inc. |
Integrated circuit with oxidation-resistant polymeric layer
|
US6150269A
(en)
*
|
1998-09-11 |
2000-11-21 |
Chartered Semiconductor Manufacturing Company, Ltd. |
Copper interconnect patterning
|
US6180506B1
(en)
|
1998-09-14 |
2001-01-30 |
International Business Machines Corporation |
Upper redundant layer for damascene metallization
|
US6174803B1
(en)
|
1998-09-16 |
2001-01-16 |
Vsli Technology |
Integrated circuit device interconnection techniques
|
US6057230A
(en)
*
|
1998-09-17 |
2000-05-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Dry etching procedure and recipe for patterning of thin film copper layers
|
US6245668B1
(en)
*
|
1998-09-18 |
2001-06-12 |
International Business Machines Corporation |
Sputtered tungsten diffusion barrier for improved interconnect robustness
|
US6221775B1
(en)
*
|
1998-09-24 |
2001-04-24 |
International Business Machines Corp. |
Combined chemical mechanical polishing and reactive ion etching process
|
JP3169907B2
(ja)
*
|
1998-09-25 |
2001-05-28 |
日本電気株式会社 |
多層配線構造およびその製造方法
|
US6069082A
(en)
*
|
1998-10-13 |
2000-05-30 |
Chartered Semiconductor Manufacturing Ltd. |
Method to prevent dishing in damascene CMP process
|
US6149776A
(en)
*
|
1998-11-12 |
2000-11-21 |
Applied Materials, Inc. |
Copper sputtering target
|
US6909114B1
(en)
|
1998-11-17 |
2005-06-21 |
Semiconductor Energy Laboratory Co., Ltd. |
Semiconductor device having LDD regions
|
US6184137B1
(en)
|
1998-11-25 |
2001-02-06 |
Applied Materials, Inc. |
Structure and method for improving low temperature copper reflow in semiconductor features
|
KR100493013B1
(ko)
*
|
1998-11-30 |
2005-08-01 |
삼성전자주식회사 |
반도체소자의 금속 배선층 형성방법_
|
US6140240A
(en)
*
|
1999-01-07 |
2000-10-31 |
Vanguard International Semiconductor Corporation |
Method for eliminating CMP induced microscratches
|
US6114246A
(en)
*
|
1999-01-07 |
2000-09-05 |
Vlsi Technology, Inc. |
Method of using a polish stop film to control dishing during copper chemical mechanical polishing
|
US6358790B1
(en)
|
1999-01-13 |
2002-03-19 |
Agere Systems Guardian Corp. |
Method of making a capacitor
|
US6323537B1
(en)
*
|
1999-01-13 |
2001-11-27 |
Agere Systems Guardian Corp. |
Capacitor for an integrated circuit
|
US20020127845A1
(en)
*
|
1999-03-01 |
2002-09-12 |
Paul A. Farrar |
Conductive structures in integrated circuits
|
US6157081A
(en)
*
|
1999-03-10 |
2000-12-05 |
Advanced Micro Devices, Inc. |
High-reliability damascene interconnect formation for semiconductor fabrication
|
US6350690B1
(en)
*
|
1999-04-09 |
2002-02-26 |
Advanced Micro Devices, Inc. |
Process for achieving full global planarization during CMP of damascene semiconductor structures
|
US6235633B1
(en)
|
1999-04-12 |
2001-05-22 |
Taiwan Semiconductor Manufacturing Company |
Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
|
US6329280B1
(en)
|
1999-05-13 |
2001-12-11 |
International Business Machines Corporation |
Interim oxidation of silsesquioxane dielectric for dual damascene process
|
US6071808A
(en)
*
|
1999-06-23 |
2000-06-06 |
Lucent Technologies Inc. |
Method of passivating copper interconnects in a semiconductor
|
US6046108A
(en)
*
|
1999-06-25 |
2000-04-04 |
Taiwan Semiconductor Manufacturing Company |
Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
|
US6130157A
(en)
*
|
1999-07-16 |
2000-10-10 |
Taiwan Semiconductor Manufacturing Company |
Method to form an encapsulation layer over copper interconnects
|
US6521532B1
(en)
|
1999-07-22 |
2003-02-18 |
James A. Cunningham |
Method for making integrated circuit including interconnects with enhanced electromigration resistance
|
US6551872B1
(en)
|
1999-07-22 |
2003-04-22 |
James A. Cunningham |
Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
|
JP2001036080A
(ja)
|
1999-07-26 |
2001-02-09 |
Mitsubishi Electric Corp |
半導体装置及びその製造方法
|
US6413854B1
(en)
|
1999-08-24 |
2002-07-02 |
International Business Machines Corp. |
Method to build multi level structure
|
JP2001135168A
(ja)
*
|
1999-08-26 |
2001-05-18 |
Sharp Corp |
金属配線の製造方法
|
US7071557B2
(en)
|
1999-09-01 |
2006-07-04 |
Micron Technology, Inc. |
Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
|
US6433429B1
(en)
*
|
1999-09-01 |
2002-08-13 |
International Business Machines Corporation |
Copper conductive line with redundant liner and method of making
|
US6441492B1
(en)
|
1999-09-10 |
2002-08-27 |
James A. Cunningham |
Diffusion barriers for copper interconnect systems
|
US6734559B1
(en)
|
1999-09-17 |
2004-05-11 |
Advanced Micro Devices, Inc. |
Self-aligned semiconductor interconnect barrier and manufacturing method therefor
|
US6207558B1
(en)
|
1999-10-21 |
2001-03-27 |
Applied Materials, Inc. |
Barrier applications for aluminum planarization
|
US6348736B1
(en)
|
1999-10-29 |
2002-02-19 |
International Business Machines Corporation |
In situ formation of protective layer on silsesquioxane dielectric for dual damascene process
|
JP4236778B2
(ja)
*
|
1999-11-01 |
2009-03-11 |
株式会社ルネサステクノロジ |
半導体装置
|
US6417106B1
(en)
|
1999-11-01 |
2002-07-09 |
Taiwan Semiconductor Manufacturing Company |
Underlayer liner for copper damascene in low k dielectric
|
US6551924B1
(en)
|
1999-11-02 |
2003-04-22 |
International Business Machines Corporation |
Post metalization chem-mech polishing dielectric etch
|
US6114243A
(en)
*
|
1999-11-15 |
2000-09-05 |
Chartered Semiconductor Manufacturing Ltd |
Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
|
US6344419B1
(en)
|
1999-12-03 |
2002-02-05 |
Applied Materials, Inc. |
Pulsed-mode RF bias for sidewall coverage improvement
|
US6403465B1
(en)
|
1999-12-28 |
2002-06-11 |
Taiwan Semiconductor Manufacturing Company |
Method to improve copper barrier properties
|
US7211512B1
(en)
|
2000-01-18 |
2007-05-01 |
Micron Technology, Inc. |
Selective electroless-plated copper metallization
|
US7262130B1
(en)
|
2000-01-18 |
2007-08-28 |
Micron Technology, Inc. |
Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
|
US6420262B1
(en)
|
2000-01-18 |
2002-07-16 |
Micron Technology, Inc. |
Structures and methods to enhance copper metallization
|
US6376370B1
(en)
*
|
2000-01-18 |
2002-04-23 |
Micron Technology, Inc. |
Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
|
JP2001223460A
(ja)
*
|
2000-02-08 |
2001-08-17 |
Fujitsu Ltd |
実装回路基板及びその製造方法
|
US6633083B2
(en)
*
|
2000-02-28 |
2003-10-14 |
Advanced Micro Devices Inc. |
Barrier layer integrity test
|
EP1143506A3
(de)
*
|
2000-04-04 |
2004-02-25 |
Nippon Telegraph and Telephone Corporation |
Verfahren zur Herstellung eines Musters
|
JP2001319928A
(ja)
*
|
2000-05-08 |
2001-11-16 |
Hitachi Ltd |
半導体集積回路装置およびその製造方法
|
US6423629B1
(en)
*
|
2000-05-31 |
2002-07-23 |
Kie Y. Ahn |
Multilevel copper interconnects with low-k dielectrics and air gaps
|
US6674167B1
(en)
*
|
2000-05-31 |
2004-01-06 |
Micron Technology, Inc. |
Multilevel copper interconnect with double passivation
|
US6554979B2
(en)
|
2000-06-05 |
2003-04-29 |
Applied Materials, Inc. |
Method and apparatus for bias deposition in a modulating electric field
|
US6501180B1
(en)
*
|
2000-07-19 |
2002-12-31 |
National Semiconductor Corporation |
Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
|
US6218301B1
(en)
|
2000-07-31 |
2001-04-17 |
Applied Materials, Inc. |
Deposition of tungsten films from W(CO)6
|
JP2002050595A
(ja)
*
|
2000-08-04 |
2002-02-15 |
Hitachi Ltd |
研磨方法、配線形成方法及び半導体装置の製造方法
|
JP2002050767A
(ja)
*
|
2000-08-04 |
2002-02-15 |
Mitsubishi Electric Corp |
半導体装置及びその製造方法
|
US6617689B1
(en)
|
2000-08-31 |
2003-09-09 |
Micron Technology, Inc. |
Metal line and method of suppressing void formation therein
|
US7518284B2
(en)
*
|
2000-11-02 |
2009-04-14 |
Danfoss A/S |
Dielectric composite and a method of manufacturing a dielectric composite
|
US7548015B2
(en)
*
|
2000-11-02 |
2009-06-16 |
Danfoss A/S |
Multilayer composite and a method of making such
|
US8181338B2
(en)
*
|
2000-11-02 |
2012-05-22 |
Danfoss A/S |
Method of making a multilayer composite
|
DE10054247C2
(de)
*
|
2000-11-02 |
2002-10-24 |
Danfoss As |
Betätigungselement und Verfahren zu seiner Herstellung
|
US6436814B1
(en)
|
2000-11-21 |
2002-08-20 |
International Business Machines Corporation |
Interconnection structure and method for fabricating same
|
US6503641B2
(en)
*
|
2000-12-18 |
2003-01-07 |
International Business Machines Corporation |
Interconnects with Ti-containing liners
|
US6680514B1
(en)
*
|
2000-12-20 |
2004-01-20 |
International Business Machines Corporation |
Contact capping local interconnect
|
US6388327B1
(en)
|
2001-01-09 |
2002-05-14 |
International Business Machines Corporation |
Capping layer for improved silicide formation in narrow semiconductor structures
|
JP2002208633A
(ja)
*
|
2001-01-10 |
2002-07-26 |
Matsushita Electric Ind Co Ltd |
半導体装置およびその製造方法
|
JP2002217292A
(ja)
*
|
2001-01-23 |
2002-08-02 |
Hitachi Ltd |
半導体集積回路装置および半導体集積回路装置の製造方法
|
US6524929B1
(en)
|
2001-02-26 |
2003-02-25 |
Advanced Micro Devices, Inc. |
Method for shallow trench isolation using passivation material for trench bottom liner
|
US6486038B1
(en)
|
2001-03-12 |
2002-11-26 |
Advanced Micro Devices |
Method for and device having STI using partial etch trench bottom liner
|
US7087997B2
(en)
*
|
2001-03-12 |
2006-08-08 |
International Business Machines Corporation |
Copper to aluminum interlayer interconnect using stud and via liner
|
US6521510B1
(en)
|
2001-03-23 |
2003-02-18 |
Advanced Micro Devices, Inc. |
Method for shallow trench isolation with removal of strained island edges
|
US6534379B1
(en)
|
2001-03-26 |
2003-03-18 |
Advanced Micro Devices, Inc. |
Linerless shallow trench isolation method
|
US6504225B1
(en)
*
|
2001-04-18 |
2003-01-07 |
Advanced Micro Devices, Inc. |
Teos seaming scribe line monitor
|
US6743666B1
(en)
*
|
2001-04-27 |
2004-06-01 |
Advanced Micro Devices, Inc. |
Selective thickening of the source-drain and gate areas of field effect transistors
|
JP4350337B2
(ja)
*
|
2001-04-27 |
2009-10-21 |
富士通マイクロエレクトロニクス株式会社 |
半導体装置
|
US7372160B2
(en)
*
|
2001-05-31 |
2008-05-13 |
Stmicroelectronics, Inc. |
Barrier film deposition over metal for reduction in metal dishing after CMP
|
JP2002367998A
(ja)
*
|
2001-06-11 |
2002-12-20 |
Ebara Corp |
半導体装置及びその製造方法
|
US6521523B2
(en)
|
2001-06-15 |
2003-02-18 |
Silicon Integrated Systems Corp. |
Method for forming selective protection layers on copper interconnects
|
US6782205B2
(en)
|
2001-06-25 |
2004-08-24 |
Silicon Light Machines |
Method and apparatus for dynamic equalization in wavelength division multiplexing
|
US6747781B2
(en)
|
2001-06-25 |
2004-06-08 |
Silicon Light Machines, Inc. |
Method, apparatus, and diffuser for reducing laser speckle
|
TW591089B
(en)
*
|
2001-08-09 |
2004-06-11 |
Cheil Ind Inc |
Slurry composition for use in chemical mechanical polishing of metal wiring
|
US6953389B2
(en)
*
|
2001-08-09 |
2005-10-11 |
Cheil Industries, Inc. |
Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
|
US6829092B2
(en)
|
2001-08-15 |
2004-12-07 |
Silicon Light Machines, Inc. |
Blazed grating light valve
|
JP2003068848A
(ja)
*
|
2001-08-29 |
2003-03-07 |
Fujitsu Ltd |
半導体装置及びその製造方法
|
US6989108B2
(en)
*
|
2001-08-30 |
2006-01-24 |
Micron Technology, Inc. |
Etchant gas composition
|
US6930364B2
(en)
*
|
2001-09-13 |
2005-08-16 |
Silicon Light Machines Corporation |
Microelectronic mechanical system and methods
|
US6746591B2
(en)
|
2001-10-16 |
2004-06-08 |
Applied Materials Inc. |
ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
|
JP4198906B2
(ja)
*
|
2001-11-15 |
2008-12-17 |
株式会社ルネサステクノロジ |
半導体装置および半導体装置の製造方法
|
US6815342B1
(en)
*
|
2001-11-27 |
2004-11-09 |
Lsi Logic Corporation |
Low resistance metal interconnect lines and a process for fabricating them
|
DE60224844T2
(de)
*
|
2001-12-21 |
2009-01-08 |
Danfoss A/S |
Dielektrisches betätigungsglied oder sensorstruktur und herstellungsverfahren
|
KR100435784B1
(ko)
*
|
2001-12-21 |
2004-06-12 |
동부전자 주식회사 |
반도체 소자의 금속배선 형성 방법
|
US6800238B1
(en)
|
2002-01-15 |
2004-10-05 |
Silicon Light Machines, Inc. |
Method for domain patterning in low coercive field ferroelectrics
|
US6770566B1
(en)
|
2002-03-06 |
2004-08-03 |
Cypress Semiconductor Corporation |
Methods of forming semiconductor structures, and articles and devices formed thereby
|
US7294567B2
(en)
*
|
2002-03-11 |
2007-11-13 |
Micron Technology, Inc. |
Semiconductor contact device and method
|
US6797620B2
(en)
|
2002-04-16 |
2004-09-28 |
Applied Materials, Inc. |
Method and apparatus for improved electroplating fill of an aperture
|
US6767751B2
(en)
|
2002-05-28 |
2004-07-27 |
Silicon Light Machines, Inc. |
Integrated driver process flow
|
US6822797B1
(en)
|
2002-05-31 |
2004-11-23 |
Silicon Light Machines, Inc. |
Light modulator structure for producing high-contrast operation using zero-order light
|
US6829258B1
(en)
|
2002-06-26 |
2004-12-07 |
Silicon Light Machines, Inc. |
Rapidly tunable external cavity laser
|
US6813059B2
(en)
|
2002-06-28 |
2004-11-02 |
Silicon Light Machines, Inc. |
Reduced formation of asperities in contact micro-structures
|
US6714337B1
(en)
|
2002-06-28 |
2004-03-30 |
Silicon Light Machines |
Method and device for modulating a light beam and having an improved gamma response
|
US6801354B1
(en)
|
2002-08-20 |
2004-10-05 |
Silicon Light Machines, Inc. |
2-D diffraction grating for substantially eliminating polarization dependent losses
|
EP1540807B1
(de)
*
|
2002-09-20 |
2013-01-09 |
Danfoss A/S |
Elastomeraktor und verfahren zu dessen herstellung
|
US6712480B1
(en)
|
2002-09-27 |
2004-03-30 |
Silicon Light Machines |
Controlled curvature of stressed micro-structures
|
US6713873B1
(en)
*
|
2002-11-27 |
2004-03-30 |
Intel Corporation |
Adhesion between dielectric materials
|
DE60328913D1
(de)
*
|
2002-12-12 |
2009-10-01 |
Danfoss As |
Berührungssensorelement und sensorgruppe
|
US6975032B2
(en)
*
|
2002-12-16 |
2005-12-13 |
International Business Machines Corporation |
Copper recess process with application to selective capping and electroless plating
|
ES2309502T3
(es)
|
2003-02-24 |
2008-12-16 |
Danfoss A/S |
Vendaje de compresion elastico electroactivo.
|
US6829077B1
(en)
|
2003-02-28 |
2004-12-07 |
Silicon Light Machines, Inc. |
Diffractive light modulator with dynamically rotatable diffraction plane
|
US6806997B1
(en)
|
2003-02-28 |
2004-10-19 |
Silicon Light Machines, Inc. |
Patterned diffractive light modulator ribbon for PDL reduction
|
US20040248405A1
(en)
*
|
2003-06-02 |
2004-12-09 |
Akira Fukunaga |
Method of and apparatus for manufacturing semiconductor device
|
US20040245636A1
(en)
*
|
2003-06-06 |
2004-12-09 |
International Business Machines Corporation |
Full removal of dual damascene metal level
|
US7220665B2
(en)
*
|
2003-08-05 |
2007-05-22 |
Micron Technology, Inc. |
H2 plasma treatment
|
KR100528069B1
(ko)
*
|
2003-09-02 |
2005-11-15 |
동부아남반도체 주식회사 |
반도체 소자 및 그 제조 방법
|
JP4130621B2
(ja)
*
|
2003-10-30 |
2008-08-06 |
株式会社東芝 |
半導体装置およびその製造方法
|
KR100561523B1
(ko)
*
|
2003-12-31 |
2006-03-16 |
동부아남반도체 주식회사 |
알루미늄 배선 형성 방법
|
KR100590205B1
(ko)
*
|
2004-01-12 |
2006-06-15 |
삼성전자주식회사 |
반도체 장치의 배선 구조체 및 그 형성 방법
|
US7090516B2
(en)
*
|
2004-02-09 |
2006-08-15 |
Adc Telecommunications, Inc. |
Protective boot and universal cap
|
JP2005235860A
(ja)
*
|
2004-02-17 |
2005-09-02 |
Sanyo Electric Co Ltd |
半導体装置及びその製造方法
|
US7956672B2
(en)
*
|
2004-03-30 |
2011-06-07 |
Ricoh Company, Ltd. |
Reference voltage generating circuit
|
US7378744B2
(en)
*
|
2004-05-10 |
2008-05-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
|
US7067409B2
(en)
*
|
2004-05-10 |
2006-06-27 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
|
KR20050114784A
(ko)
*
|
2004-06-01 |
2005-12-07 |
동부아남반도체 주식회사 |
반도체 소자의 구리배선 형성방법
|
KR100628242B1
(ko)
*
|
2004-06-24 |
2006-09-26 |
동부일렉트로닉스 주식회사 |
반도체 소자의 베리어층 형성 방법
|
KR100602087B1
(ko)
*
|
2004-07-09 |
2006-07-14 |
동부일렉트로닉스 주식회사 |
반도체 소자 및 그 제조방법
|
KR101101192B1
(ko)
*
|
2004-08-26 |
2012-01-03 |
동부일렉트로닉스 주식회사 |
반도체 소자의 금속 배선 형성 방법
|
JP4503401B2
(ja)
*
|
2004-09-08 |
2010-07-14 |
株式会社荏原製作所 |
金属膜の成膜方法及び配線の形成方法
|
KR100552857B1
(ko)
*
|
2004-10-25 |
2006-02-22 |
동부아남반도체 주식회사 |
반도체 소자의 콘택 형성 방법
|
US20060113675A1
(en)
*
|
2004-12-01 |
2006-06-01 |
Chung-Liang Chang |
Barrier material and process for Cu interconnect
|
US7105445B2
(en)
*
|
2005-01-14 |
2006-09-12 |
International Business Machines Corporation |
Interconnect structures with encasing cap and methods of making thereof
|
US7335588B2
(en)
*
|
2005-04-15 |
2008-02-26 |
International Business Machines Corporation |
Interconnect structure and method of fabrication of same
|
US7323410B2
(en)
|
2005-08-08 |
2008-01-29 |
International Business Machines Corporation |
Dry etchback of interconnect contacts
|
US7563704B2
(en)
*
|
2005-09-19 |
2009-07-21 |
International Business Machines Corporation |
Method of forming an interconnect including a dielectric cap having a tensile stress
|
US20070080455A1
(en)
*
|
2005-10-11 |
2007-04-12 |
International Business Machines Corporation |
Semiconductors and methods of making
|
US7253100B2
(en)
*
|
2005-11-17 |
2007-08-07 |
International Business Machines Corporation |
Reducing damage to ulk dielectric during cross-linked polymer removal
|
US7863183B2
(en)
*
|
2006-01-18 |
2011-01-04 |
International Business Machines Corporation |
Method for fabricating last level copper-to-C4 connection with interfacial cap structure
|
TWI293499B
(en)
*
|
2006-01-25 |
2008-02-11 |
Advanced Semiconductor Eng |
Three dimensional package and method of making the same
|
TWI287273B
(en)
*
|
2006-01-25 |
2007-09-21 |
Advanced Semiconductor Eng |
Three dimensional package and method of making the same
|
US8193087B2
(en)
*
|
2006-05-18 |
2012-06-05 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Process for improving copper line cap formation
|
US20080077436A1
(en)
*
|
2006-06-01 |
2008-03-27 |
Igeacare Systems Inc. |
Home based healthcare system and method
|
CA2653434A1
(en)
*
|
2006-06-01 |
2008-03-27 |
Igeacare Systems, Inc. |
Remote health care system with stethoscope
|
WO2007138489A2
(en)
*
|
2006-06-01 |
2007-12-06 |
Rajiv Muradia |
Remote health care system with treatment verification
|
US20080091470A1
(en)
*
|
2006-06-01 |
2008-04-17 |
Igeacare Systems Inc. |
Remote health care diagnostic tool
|
EP2051287A4
(de)
*
|
2006-08-10 |
2014-05-21 |
Ulvac Inc |
Verfahren zur bildung eines leitfähigen films, dünnfilmtransistor, panel mit dünnfilmtransistor und verfahren zur herstellung eines dünnfilmtransistors
|
US7880371B2
(en)
*
|
2006-11-03 |
2011-02-01 |
Danfoss A/S |
Dielectric composite and a method of manufacturing a dielectric composite
|
US7732999B2
(en)
*
|
2006-11-03 |
2010-06-08 |
Danfoss A/S |
Direct acting capacitive transducer
|
US7569475B2
(en)
*
|
2006-11-15 |
2009-08-04 |
International Business Machines Corporation |
Interconnect structure having enhanced electromigration reliability and a method of fabricating same
|
US7576003B2
(en)
*
|
2006-11-29 |
2009-08-18 |
International Business Machines Corporation |
Dual liner capping layer interconnect structure and method
|
DE102007004884A1
(de)
*
|
2007-01-31 |
2008-08-14 |
Advanced Micro Devices, Inc., Sunnyvale |
Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
|
US7859113B2
(en)
*
|
2007-02-27 |
2010-12-28 |
International Business Machines Corporation |
Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
|
US7655556B2
(en)
*
|
2007-03-23 |
2010-02-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Interconnect structures for semiconductor devices
|
US7790599B2
(en)
*
|
2007-04-13 |
2010-09-07 |
International Business Machines Corporation |
Metal cap for interconnect structures
|
US8502272B2
(en)
|
2007-05-16 |
2013-08-06 |
Avago Technologies General Ip (Singapore) Pte. Ltd. |
Metal-oxide-semiconductor high electron mobility transistors and methods of fabrication
|
TWI339444B
(en)
*
|
2007-05-30 |
2011-03-21 |
Au Optronics Corp |
Conductor structure, pixel structure, and methods of forming the same
|
US7732924B2
(en)
|
2007-06-12 |
2010-06-08 |
International Business Machines Corporation |
Semiconductor wiring structures including dielectric cap within metal cap layer
|
JP4637872B2
(ja)
*
|
2007-06-12 |
2011-02-23 |
シャープ株式会社 |
配線構造およびその製造方法
|
US7884018B2
(en)
*
|
2007-06-21 |
2011-02-08 |
International Business Machines Corporation |
Method for improving the selectivity of a CVD process
|
US8138604B2
(en)
|
2007-06-21 |
2012-03-20 |
International Business Machines Corporation |
Metal cap with ultra-low k dielectric material for circuit interconnect applications
|
US7927990B2
(en)
*
|
2007-06-29 |
2011-04-19 |
Sandisk Corporation |
Forming complimentary metal features using conformal insulator layer
|
KR100905872B1
(ko)
*
|
2007-08-24 |
2009-07-03 |
주식회사 하이닉스반도체 |
반도체 소자의 금속배선 형성 방법
|
US7615831B2
(en)
*
|
2007-10-26 |
2009-11-10 |
International Business Machines Corporation |
Structure and method for fabricating self-aligned metal contacts
|
US7964923B2
(en)
*
|
2008-01-07 |
2011-06-21 |
International Business Machines Corporation |
Structure and method of creating entirely self-aligned metallic contacts
|
US7998864B2
(en)
*
|
2008-01-29 |
2011-08-16 |
International Business Machines Corporation |
Noble metal cap for interconnect structures
|
US7834457B2
(en)
*
|
2008-02-28 |
2010-11-16 |
International Business Machines Corporation |
Bilayer metal capping layer for interconnect applications
|
US7830010B2
(en)
|
2008-04-03 |
2010-11-09 |
International Business Machines Corporation |
Surface treatment for selective metal cap applications
|
EP2283265A2
(de)
*
|
2008-04-30 |
2011-02-16 |
Danfoss Polypower A/S |
Kraftbetätigtes ventil
|
US20110189027A1
(en)
*
|
2008-04-30 |
2011-08-04 |
Morten Kjaer Hansen |
Pump powered by a polymer transducer
|
US7956466B2
(en)
*
|
2008-05-09 |
2011-06-07 |
International Business Machines Corporation |
Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
|
US8772156B2
(en)
*
|
2008-05-09 |
2014-07-08 |
International Business Machines Corporation |
Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
|
US8354751B2
(en)
*
|
2008-06-16 |
2013-01-15 |
International Business Machines Corporation |
Interconnect structure for electromigration enhancement
|
US8013446B2
(en)
*
|
2008-08-12 |
2011-09-06 |
International Business Machines Corporation |
Nitrogen-containing metal cap for interconnect structures
|
US7939911B2
(en)
*
|
2008-08-14 |
2011-05-10 |
International Business Machines Corporation |
Back-end-of-line resistive semiconductor structures
|
US7977201B2
(en)
*
|
2008-08-14 |
2011-07-12 |
International Business Machines Corporation |
Methods for forming back-end-of-line resistive semiconductor structures
|
US8232645B2
(en)
|
2008-08-14 |
2012-07-31 |
International Business Machines Corporation |
Interconnect structures, design structure and method of manufacture
|
US8823176B2
(en)
*
|
2008-10-08 |
2014-09-02 |
International Business Machines Corporation |
Discontinuous/non-uniform metal cap structure and process for interconnect integration
|
JP5406556B2
(ja)
*
|
2009-02-23 |
2014-02-05 |
関東化学株式会社 |
金属積層膜用エッチング液組成物
|
US20100276764A1
(en)
|
2009-05-04 |
2010-11-04 |
Yi-Jen Lo |
Semiconductor structure with selectively deposited tungsten film and method for making the same
|
TWI459507B
(zh)
*
|
2009-06-18 |
2014-11-01 |
United Microelectronics Corp |
一種製作矽貫通電極的方法
|
KR101604054B1
(ko)
*
|
2009-09-03 |
2016-03-16 |
삼성전자주식회사 |
반도체 소자 및 그 형성방법
|
US8039966B2
(en)
*
|
2009-09-03 |
2011-10-18 |
International Business Machines Corporation |
Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
|
US8411970B2
(en)
*
|
2010-03-16 |
2013-04-02 |
Pixia Corp. |
Method and system for determining statistical data for image pixels having a higher bit depth per band
|
US9425146B2
(en)
|
2010-09-28 |
2016-08-23 |
Infineon Technologies Ag |
Semiconductor structure and method for making same
|
US8124525B1
(en)
*
|
2010-10-27 |
2012-02-28 |
International Business Machines Corporation |
Method of forming self-aligned local interconnect and structure formed thereby
|
DE102010063294B4
(de)
*
|
2010-12-16 |
2019-07-11 |
Globalfoundries Dresden Module One Limited Liability Company & Co. Kg |
Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
|
WO2012133400A1
(ja)
*
|
2011-03-30 |
2012-10-04 |
東京エレクトロン株式会社 |
Cu配線の形成方法
|
EP2535441A1
(de)
*
|
2011-06-14 |
2012-12-19 |
Atotech Deutschland GmbH |
Kupfergefüllte Öffnung mit einer Deckschicht
|
JP5949294B2
(ja)
*
|
2011-08-31 |
2016-07-06 |
日亜化学工業株式会社 |
半導体発光素子
|
US8877645B2
(en)
|
2011-09-15 |
2014-11-04 |
International Business Machines Corporation |
Integrated circuit structure having selectively formed metal cap
|
US8492274B2
(en)
|
2011-11-07 |
2013-07-23 |
International Business Machines Corporation |
Metal alloy cap integration
|
US8692442B2
(en)
|
2012-02-14 |
2014-04-08 |
Danfoss Polypower A/S |
Polymer transducer and a connector for a transducer
|
US8891222B2
(en)
|
2012-02-14 |
2014-11-18 |
Danfoss A/S |
Capacitive transducer and a method for manufacturing a transducer
|
US8796853B2
(en)
*
|
2012-02-24 |
2014-08-05 |
International Business Machines Corporation |
Metallic capped interconnect structure with high electromigration resistance and low resistivity
|
KR101907694B1
(ko)
*
|
2012-03-06 |
2018-10-12 |
에스케이하이닉스 주식회사 |
반도체 소자 및 그 제조방법
|
CN103390647A
(zh)
*
|
2012-05-10 |
2013-11-13 |
无锡华润上华半导体有限公司 |
一种功率mos器件结构
|
US9034664B2
(en)
*
|
2012-05-16 |
2015-05-19 |
International Business Machines Corporation |
Method to resolve hollow metal defects in interconnects
|
KR101992352B1
(ko)
|
2012-09-25 |
2019-06-24 |
삼성전자주식회사 |
반도체 장치
|
US9312203B2
(en)
|
2013-01-02 |
2016-04-12 |
Globalfoundries Inc. |
Dual damascene structure with liner
|
US8883020B2
(en)
*
|
2013-01-30 |
2014-11-11 |
GlobalFoundries, Inc. |
Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein
|
US9536830B2
(en)
|
2013-05-09 |
2017-01-03 |
Globalfoundries Inc. |
High performance refractory metal / copper interconnects to eliminate electromigration
|
US9171801B2
(en)
|
2013-05-09 |
2015-10-27 |
Globalfoundries U.S. 2 Llc |
E-fuse with hybrid metallization
|
US9305879B2
(en)
|
2013-05-09 |
2016-04-05 |
Globalfoundries Inc. |
E-fuse with hybrid metallization
|
US8962479B2
(en)
|
2013-05-10 |
2015-02-24 |
International Business Machines Corporation |
Interconnect structures containing nitrided metallic residues
|
US9558999B2
(en)
|
2013-09-12 |
2017-01-31 |
Globalfoundries Inc. |
Ultra-thin metal wires formed through selective deposition
|
US9202749B2
(en)
|
2014-02-06 |
2015-12-01 |
International Business Machines Corporation |
Process methods for advanced interconnect patterning
|
US9281211B2
(en)
|
2014-02-10 |
2016-03-08 |
International Business Machines Corporation |
Nanoscale interconnect structure
|
US10079174B2
(en)
|
2014-04-30 |
2018-09-18 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Composite contact plug structure and method of making same
|
US9379221B1
(en)
|
2015-01-08 |
2016-06-28 |
International Business Machines Corporation |
Bottom-up metal gate formation on replacement metal gate finFET devices
|
US9913382B2
(en)
*
|
2015-04-23 |
2018-03-06 |
Viasystems Technologies Corp. L.L.C. |
Method for anchoring a conductive cap on a filled via in a printed circuit board and printed circuit board with an anchored conductive cap
|
US9595473B2
(en)
|
2015-06-01 |
2017-03-14 |
International Business Machines Corporation |
Critical dimension shrink through selective metal growth on metal hardmask sidewalls
|
US9588298B2
(en)
|
2015-06-04 |
2017-03-07 |
Elenion Technologies, Llc |
Edge coupler
|
JP6738556B2
(ja)
*
|
2015-06-26 |
2020-08-12 |
三菱マテリアル株式会社 |
表面被覆切削工具
|
US9748169B1
(en)
|
2016-04-04 |
2017-08-29 |
International Business Machines Corporation |
Treating copper interconnects
|
CN107564850B
(zh)
*
|
2016-07-01 |
2020-07-07 |
中芯国际集成电路制造(北京)有限公司 |
互连结构及其制造方法
|
US10593563B2
(en)
*
|
2017-04-13 |
2020-03-17 |
Invensas Corporation |
Fan-out wafer level package with resist vias
|
KR102378672B1
(ko)
*
|
2017-05-17 |
2022-03-24 |
이매진 코퍼레이션 |
고정밀 섀도 마스크 증착 시스템 및 그 방법
|
CN107170788A
(zh)
*
|
2017-06-06 |
2017-09-15 |
武汉华星光电技术有限公司 |
一种显示屏
|
DE102017216937A1
(de)
*
|
2017-09-25 |
2019-03-28 |
Robert Bosch Gmbh |
Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
|
US10886225B2
(en)
|
2018-03-05 |
2021-01-05 |
International Business Machines Corporation |
BEOL alternative metal interconnects: integration and process
|
US11018087B2
(en)
|
2018-04-25 |
2021-05-25 |
International Business Machines Corporation |
Metal interconnects
|
CN109003767B
(zh)
*
|
2018-07-18 |
2023-11-28 |
昆山万盛电子有限公司 |
一种横卧安装的压敏电阻器及其制备方法
|
US11081493B2
(en)
*
|
2019-05-16 |
2021-08-03 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming semiconductor memory device with sacrificial via
|
CN116013853B
(zh)
*
|
2023-03-27 |
2023-06-02 |
合肥晶合集成电路股份有限公司 |
互连结构的制备方法
|