KR930018660A - 반도체 디바이스, 라이너와 금속도선 및 비아 제조방법 - Google Patents
반도체 디바이스, 라이너와 금속도선 및 비아 제조방법 Download PDFInfo
- Publication number
- KR930018660A KR930018660A KR1019930000764A KR930000764A KR930018660A KR 930018660 A KR930018660 A KR 930018660A KR 1019930000764 A KR1019930000764 A KR 1019930000764A KR 930000764 A KR930000764 A KR 930000764A KR 930018660 A KR930018660 A KR 930018660A
- Authority
- KR
- South Korea
- Prior art keywords
- alloy
- melting point
- high melting
- metal
- point metal
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/7684—Smoothing; Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76847—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
- H01L21/76852—Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49866—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53233—Copper alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/095—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
- H01L2924/097—Glass-ceramics, e.g. devitrified glass
- H01L2924/09701—Low temperature co-fired ceramic [LTCC]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S148/00—Metal treatment
- Y10S148/015—Capping layer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S257/00—Active solid-state devices, e.g. transistors, solid-state diodes
- Y10S257/915—Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/959—Mechanical polishing of wafer
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
Abstract
강성의 내마모성을 갖는 고융점 금속은 화학적-기계적 연마동안 긁히거나, 침식되거나 잘 손상되지 않아, 고융점 금속으로 저저항성 금속 도선 또는 비아를 피복하면, 효과적으로 화학적-기계적 연마 기술을 사용할수 있다. 저저항성 금속 또는 합금의 물리적 기상 침적(예를들면, 증착 또는 시준 스피터링)후에 고융점 금속의 화학기상 침적을 행하고 평탄화하면 양질의 도선과 비아를 만들수 있다. 화학기상침적법에 의해 고융점 금속을 피복하는 동안 수화규소와 불화텅스텐의 비를 변경하면 텅스텐 피복층으로 유입되는 실리콘 양을 조절할 수가 있다. 시준 스퍼터링을 행하면 CVD텅스텐 뿐만 아니라 구리계 금속피막에 대해 적절한 확산 장벽으로 되는 고융점 금속라이너(liner)를 유전체내 개구부에 만들수 있다. 이상적으로는, 구리와 같이 빠르게 확산되는 금속에 대해 두 단계의 시준 스퍼터링 공정에 의해 라이너가 제공되는데, 이 공정에서는 지향성 침적이 현저하게 되는 비교적 낮은 진공압(예를들면 1m Torr이하)에서 첫번째 층을 형성하고, 산란성 침적이 현저하게 되는 비교적 높은 진공압(예를들면 1m Torr이상)에서 두번째 층을 형성한다. CVD텅스텐과 같은 고융점 금속에 대해서는 고진공압에서 기준 스퍼터링을 하는 1단계 공정에 의해 라이터가 형성된다.
Description
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2a도 내지 제2e도는 본 발명의 변형 실시예를 보여주는 반도체 기판의 연속적인 단면도.
Claims (33)
- 기판과, 상기 기판상에 위치하는 유전체 층과, 상기 유전체 층의 표면과 동평면(coplanar)을 이루는 표면으로부터 상기 기판쪽으로 연장하는 상기 유전체층 내의 개구내에 위치하는 금속 피막을 포함하며, 상기 금속피막은 저저항성 금속 또는 합금과 이를 덮어싸는 고융점 금속 또는 합금으로 이루어지며, 상기 저저항 금속 또는 합금은 상기 개구의 바닥부분을 채우고 상기 개구의 대향측면과 이격된 관계로 상기 유전체 층의 상기 표면과 동평면상의 표면쪽을 향해 확장되어 캡 영역을 규정하며, 상기 고융점 금속 또는 합금은 상기 캡 영역내에서 상기 바닥부분 위와 상기 저저항성 금속 또는 합금의 상향 연장 측부들 사이에 위치하며, 상기 고융점 금속 또는 합금은 상기 유전체 층과 동평면을 이루는 표면을 갖는 것을 특징으로 하는 반도체 디바이스.
- 제1항에 있어서, 상기 고융점 금속 또는 합금은 티타늄, 텅스텐, 탄탈 및 크롬과 이들의 합금, 도전성 산화물, 질화물 및 규화물로 이루어진 그룹으로부터 선택되는 것인 반도체 디바이스.
- 제1항에 있어서, 상기 저저항성 금속 또는 합금은 알루미늄 또는 구리의 2원 또는 3원 합금으로 된 것인 반도체 디바이스.
- 제3항에 있어서, 상기 저저항성 금속은 AlxCuy식을 갖는 알루미늄과 구리의 합금이며, 상기식에서 x와 y의 합은 1이고 x 및 y모두는 0이상이거나 1보다 작은 반도체 디바이스.
- 제1항에 있어서, 상기 고융점 금속 또는 합금은 상기 기판에 가까운 위치에서 보다는 특정 조성물로서 존재하는 상기 금속피막의 상기 표면 근처의 위치에서 실리콘 유입량이 많은 반도체 디바이스.
- 제1항에 있어서, 상기 금속 피막은 상기 유전체층을 완전히 통과해서 상기 기판과 접촉하는 반도체 디바이스.
- 제1항에 있어서, 상기 개구부내부에서 상기 유전체층과 상기 저저항성 금속 또는 합금 사이에 위치하는 고융점 금속 또는 합금의 라이너를 더 포함하는 반도체 디바이스.
- 기판과, 상기 유전체 층의 표면과 동평면을 이루는 표면으로부터 상기 기판쪽으로 연장하는 상기 유전체층 내의 개구내에 위치하는 금속피막을 포함하며, 상기 금속피막은 상기 개구의 내면상에 위치하는 고융점 금속 또는 합금과 상기 라이너상에 위치하며 고융점 또는 합금 캡(cap)으로 덮힌 저저항성 금속 또는 합금으로 이루어지며, 상기 라이더내의 고융점 금속 또는 합금은 상기 캡의 고융점 금속과는 다른 것을 특징으로 하는 반도체 디바이스.
- 제8항에 있어서, 상기 상부 캡과 상기 라이너의 고융점 금속 또는 합금은 티타늄, 텅스텐, 탄탈 및 크롬과 이들의 합금, 전도성 산화물, 질화물 및 규화물로 이루어진 그룹으로부터 선택되는 것인 반도체 디바이스.
- 제8항에 있어서, 상기 저저항성 금속 또는 합금은 알루미늄 또는 구리의 2원 또는 3원 합금으로 된 것인 반도체 디바이스.
- 제8항에 있어서, 상기 저저항성 금속은 AlxCuy식을 갖는 알루미늄과 구리의 합금이며, 상기식에서 x와 y의 합은 1이고 x 및 y모두는 0이상이거나 1보다 작은 반도체 디바이스.
- 제8항에 있어서, 최소한 상기 저저항성 금속 또는 합금의 적어도 일부분과 상기 고융점 금속 또는 합금 사이에 위치하는 도전성의 접착층을 더 포함하는 반도체 디바이스.
- 제12항에 있어서, 상기 티타늄, 텅스텐, 크롬, 탄탈 및 이들의 합금들로 이루어진 그룹으로 선택된 것인 반도체 디바이스.
- 제13항에 있어서, 상기 접착층은 티타늄-니트로겐 합금 또는 화합물로 된 것인 디바이스.
- 제8항에 있어서, 상기 고융점 금속 또는 합금은 상기 기판에 가까운 위치에서 보다는 특정 조성물로서 존재하는 상기 금속피막의 상기 표면 근처의 위치에서 실리콘 유입량이 더 많은 반도체 디바이스.
- 제8항에 있어서, 상기 금속피막은 상기 유전체 층을 완전히 통과해서 상기 기판과 접촉하는 반도체 디바이스.
- 유전체내의 높은 종횡비의 서브마이크론 단위의 호올 또는 도선에 시준기를 통해서 고융점 금속 또는 합금을 스퍼터링하되, 라이너가 상기 라이너에 차후 침적될 화학기상 침적 금속의 부착을 촉진할수 있는 두께를 갖게할 정도로 산란 침적이 현저하게 되는 압력에서 스퍼터링 하는 단계를 포함하는 것을 특징으로 하는 높은 종횡비의 서크바이크론 단위의 호올 또는 도선에 라이너를 형성하는 방법.
- 제17항에 있어서, 상기 호올 또는 도선의 종횡비가 2 : 1보다 크기 상기 시준기의 종횡비가 1 : 1보다 크며 상기 압력은 1m torr이상인 방법.
- 지향성 침적이 현저하게 되는 압력에서 유전체내의 상기 높은 종횡비의 서브마이크론 급의 상기 호올 또는 도선에 시준기를 통해서 고융점 금속 또는 합금을 스퍼터링 하여 상기 높은 종횡비의 서브마이크론 단위의 호올 또는 도선에 제1층을 형성하는 단계와, 산란 침적이 현저하게 되는 압력에서 상기 유전체내의 상기 높은 종횡비의 서브마이크론 단위의 상기 호올 또는 도선내에 있는 상기 제1층상에 시준기를 통해서 고융점 금속 또는 합금을 스퍼터링 하는 단계를 포함하는 높은 종횡비의 서브마이크론 단위의 호올 또는 도선에 라이너를 형성하는 방법.
- 제19항에 있어서, 1m Torr이하의 압력에서 하는 상기 제1스퍼터링 단계와 1m Torr이상의 압력에서 하는 상기 제2스퍼터링 단계에 대해 동일한 시준기를 이용하는 방법.
- 제19항에 있어서, 상기 제2스퍼터링 단계에서 스퍼터링되는 상기 고융점 금속은 구리 및 이것의 합금들의 확산을 방지하도록 선택한 방법.
- 기판상에 위치하는 유전체내에 상부와 바닥부를 가지는 개구부를 형성하는 단계와, 상기 유전체의 상부 표면과 상기 개구의 바닥부에 제1고융점 금속 또는 합금 또는 화합물을 침적하는 단계와, 저저항성 금속 또는 합금을 상기 유전체의 상기 상부표면과 상기 객구부의 바닥부에 제공된 상기 고융점 금속상에 침적하되, 상기 개구부내에서의 침적은 상기 개구부의 상기 상부 아래에 있는 위치까지 행하는 단계와, 상기 유전체의 상기 상부 표면과 상기 개구부의 상기 바닥부에 제공된 상기 고융점 금속위에 있는 저저항성 금속상에 제2고융점 금속 또는 합금을 침적하는 단계와, 상기 유전체의 상기 상부위의 모든 지점으로부터 상기 제1고융점 금속 또는 합금 또는 화합물, 상기 저저항성 금속 또는 합금 및 상기 제2고융점 금속 또는 합금을 제거하는 단계를 포함함으로써, 상기 저저항성 금속 또는 합금과 이것에 의해 피복된 상기 저저항성 금속 또는 합금을 가지며 상기 유전체와 동일 높이로 평탄화된 금속피막을 포함하는 평탄화된 구조를 제조하는 단계를 포함하는 기판위에 금속피막 도선 및 비아를 만드는 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금 또는 화합물을 침적하는 단계는 시준 스퍼터링을 이용하며, 상기 개구부의 내부 표면과 상기 유전체의 상기 상부표면 모두에는 이에 잘 부합하는 라이너가 침적되는 방법.
- 제23항에 있어서, 상기 시준 스퍼터링은, 지향성 침적이 현저하게 되는 제1압력에서 상기 개구부에 상기 고융점 금속 또는 합금 또는 화합물의 제1의 얇은 층을 시준기를 통해 스퍼터링하는 단계와, 산란 침적이 현저하게 되는 제2압력에서 상기 개구부내의 상기 제1얇은층 위에 시준기를 통해 상기 고융점 금속 또는 합금 또는 화합물의 제2의 얇은층을 스퍼터링 하는 단계를 포함하는 방법.
- 제24항에 있어서, 상기 첫번째 스퍼터링 단계에 있어서의 상기 제1압력은 1m Torr이하로 하고 상기 두번째 스퍼터링 단계에 있어서의 상기 제2압력은 1m Torr이상으로 하는 방법.
- 제22항에 있어서, 물리기상침적법에 의해 상기 저저항성 금속 또는 합금을 침적하고 화학기상침적법에 의해 상기 두번째 고융점 금속 또는 합금을 침적하는 상기 단계의 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금을 침적하는 단계는 증착법을 이용함으로써, 상기 고융점 금속이 상기 개구부의 측벽을 제외한 상기 개구부의 . 제바닥부에만 도포되게 하는 방법.
- 제22항에 있어서, 상기 제2의 고융점 금속을 침적하는 단계는 불화텅스텐의 수화규소 환원을 이용한 텅스텐의 화학기상침적법에 의해 수행되는 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금을 침적하는 상기 단계 후 그리고 상기 저저항성 금속 또는 합금을 침적하는 상기 단계 전에 부착 촉진층을 침적하는 단계를 더 포함하는 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금 또는 화합물, 상기 저저항성 금속 또는 합금 및 상기 제2고융점 금속 또는 합금을 제거하는 단계는 상기 유전체의 상부 표면위의 물질을 반응성 이온 에칭하는 단계를 포함하는 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금 또는 화합물, 상기 저저항성 금속 또는 합금 및 상기 제2고융점 금속 또는 합금을 제거하는 단계를 상기 유전체의 상부 표면위의 물질을 화학적-기계적으로 연마하는 단계를 포함하는 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금 또는 화합물, 상기 저저항성 금속 또는 합금 및 상기 제2고융점 금속 또는 합금을 제거하는 단계는 상기 유전체의 상부 표면위의 물질을 반응성 이온에칭 및 화학적-기계적 연마 단계 모두를 포함하는 방법.
- 제22항에 있어서, 상기 제1고융점 금속 또는 합금 또는 화합물 상기 저저항성 금속 또는 합금 및 상기 제2고융점 금속 또는 합금을 제거하는 단계를 과산화수소 또는 4과산화 수소에 의한 습식 에칭의 단계를 포함하는 방법.※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US07/841,967 US5300813A (en) | 1992-02-26 | 1992-02-26 | Refractory metal capped low resistivity metal conductor lines and vias |
US841,967 | 1992-02-26 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR930018660A true KR930018660A (ko) | 1993-09-22 |
KR0128264B1 KR0128264B1 (ko) | 1998-04-07 |
Family
ID=25286205
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019930000764A KR0128264B1 (ko) | 1992-02-26 | 1993-01-21 | 반도체 디바이스, 라이너와 금속도선 및 비아의 형성방법 |
KR1019970002510A KR0134122B1 (ko) | 1992-02-26 | 1997-01-28 | 반도체 디바이스 |
KR1019970002511A KR0134121B1 (ko) | 1992-02-26 | 1997-01-28 | 반도체 디바이스 |
KR1019970002512A KR0134120B1 (ko) | 1992-02-26 | 1997-01-28 | 반도체 디바이스 |
Family Applications After (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019970002510A KR0134122B1 (ko) | 1992-02-26 | 1997-01-28 | 반도체 디바이스 |
KR1019970002511A KR0134121B1 (ko) | 1992-02-26 | 1997-01-28 | 반도체 디바이스 |
KR1019970002512A KR0134120B1 (ko) | 1992-02-26 | 1997-01-28 | 반도체 디바이스 |
Country Status (8)
Country | Link |
---|---|
US (8) | US5300813A (ko) |
EP (3) | EP0561132B1 (ko) |
JP (1) | JP2516307B2 (ko) |
KR (4) | KR0128264B1 (ko) |
CN (5) | CN1044649C (ko) |
DE (3) | DE69329663T2 (ko) |
SG (8) | SG70045A1 (ko) |
TW (1) | TW291576B (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100385109B1 (ko) * | 1999-08-26 | 2003-05-22 | 샤프 가부시키가이샤 | 금속 배선의 제조방법 |
Families Citing this family (328)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5300813A (en) * | 1992-02-26 | 1994-04-05 | International Business Machines Corporation | Refractory metal capped low resistivity metal conductor lines and vias |
EP0558304B1 (en) * | 1992-02-28 | 2000-01-19 | STMicroelectronics, Inc. | Method of forming submicron contacts |
US5612254A (en) * | 1992-06-29 | 1997-03-18 | Intel Corporation | Methods of forming an interconnect on a semiconductor substrate |
US5739579A (en) * | 1992-06-29 | 1998-04-14 | Intel Corporation | Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections |
US5561082A (en) * | 1992-07-31 | 1996-10-01 | Kabushiki Kaisha Toshiba | Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide |
US5596172A (en) * | 1993-05-07 | 1997-01-21 | Motorola, Inc. | Planar encapsulation process |
US5412250A (en) * | 1993-09-24 | 1995-05-02 | Vlsi Technology, Inc. | Barrier enhancement at the salicide layer |
JP3297220B2 (ja) * | 1993-10-29 | 2002-07-02 | 株式会社東芝 | 半導体装置の製造方法および半導体装置 |
JP2699839B2 (ja) * | 1993-12-03 | 1998-01-19 | 日本電気株式会社 | 半導体装置の製造方法 |
KR0179677B1 (ko) * | 1993-12-28 | 1999-04-15 | 사토 후미오 | 반도체장치 및 그 제조방법 |
US5430328A (en) * | 1994-05-31 | 1995-07-04 | United Microelectronics Corporation | Process for self-align contact |
US5472913A (en) * | 1994-08-05 | 1995-12-05 | Texas Instruments Incorporated | Method of fabricating porous dielectric material with a passivation layer for electronics applications |
EP0697730B1 (en) * | 1994-08-05 | 1999-11-24 | International Business Machines Corporation | Method of forming an Al-Ge alloy with WGe polishing stop |
US5686356A (en) | 1994-09-30 | 1997-11-11 | Texas Instruments Incorporated | Conductor reticulation for improved device planarity |
KR0171069B1 (ko) * | 1994-10-27 | 1999-03-30 | 문정환 | 반도체 장치의 접촉부 형성방법 |
US5602423A (en) * | 1994-11-01 | 1997-02-11 | Texas Instruments Incorporated | Damascene conductors with embedded pillars |
EP0792515A1 (en) * | 1994-11-18 | 1997-09-03 | Advanced Micro Devices, Inc. | Method of making a chemical-mechanical polishing slurry and the polishing slurry |
US5580823A (en) * | 1994-12-15 | 1996-12-03 | Motorola, Inc. | Process for fabricating a collimated metal layer and contact structure in a semiconductor device |
US5550405A (en) * | 1994-12-21 | 1996-08-27 | Advanced Micro Devices, Incorporated | Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS |
EP1098366A1 (en) * | 1994-12-29 | 2001-05-09 | STMicroelectronics, Inc. | Semiconductor connection structure and method |
DE69418206T2 (de) * | 1994-12-30 | 1999-08-19 | Co.Ri.M.Me. | Verfahren zur Spannungsschwelleextraktierung und Schaltung nach dem Verfahren |
US6285082B1 (en) | 1995-01-03 | 2001-09-04 | International Business Machines Corporation | Soft metal conductor |
US5920296A (en) * | 1995-02-01 | 1999-07-06 | Pixel International | Flat screen having individually dipole-protected microdots |
US5545592A (en) * | 1995-02-24 | 1996-08-13 | Advanced Micro Devices, Inc. | Nitrogen treatment for metal-silicide contact |
TW290731B (ko) * | 1995-03-30 | 1996-11-11 | Siemens Ag | |
US6348708B1 (en) * | 1995-04-10 | 2002-02-19 | Lg Semicon Co., Ltd. | Semiconductor device utilizing a rugged tungsten film |
TW298674B (ko) * | 1995-07-07 | 1997-02-21 | At & T Corp | |
US5747879A (en) * | 1995-09-29 | 1998-05-05 | Intel Corporation | Interface between titanium and aluminum-alloy in metal stack for integrated circuit |
JPH11511593A (ja) * | 1995-09-29 | 1999-10-05 | インテル・コーポレーション | 専用チャンバによる2層のチタン薄層を有する集積回路用金属スタック |
US5573633A (en) * | 1995-11-14 | 1996-11-12 | International Business Machines Corporation | Method of chemically mechanically polishing an electronic component |
KR0175410B1 (ko) * | 1995-11-21 | 1999-02-01 | 김광호 | 액정 표시 장치용 박막 트랜지스터 기판 및 그 제조 방법 |
US6726776B1 (en) | 1995-11-21 | 2004-04-27 | Applied Materials, Inc. | Low temperature integrated metallization process and apparatus |
JPH09148431A (ja) * | 1995-11-21 | 1997-06-06 | Nec Corp | 半導体装置の製造方法 |
US6077781A (en) * | 1995-11-21 | 2000-06-20 | Applied Materials, Inc. | Single step process for blanket-selective CVD aluminum deposition |
US6066358A (en) * | 1995-11-21 | 2000-05-23 | Applied Materials, Inc. | Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer |
US5877087A (en) | 1995-11-21 | 1999-03-02 | Applied Materials, Inc. | Low temperature integrated metallization process and apparatus |
US5776836A (en) * | 1996-02-29 | 1998-07-07 | Micron Technology, Inc. | Self aligned method to define features smaller than the resolution limit of a photolithography system |
US5950099A (en) * | 1996-04-09 | 1999-09-07 | Kabushiki Kaisha Toshiba | Method of forming an interconnect |
US5654234A (en) * | 1996-04-29 | 1997-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang |
US5756396A (en) * | 1996-05-06 | 1998-05-26 | Taiwan Semiconductor Manufacturing Company Ltd | Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect |
US5993686A (en) * | 1996-06-06 | 1999-11-30 | Cabot Corporation | Fluoride additive containing chemical mechanical polishing slurry and method for use of same |
US6429120B1 (en) | 2000-01-18 | 2002-08-06 | Micron Technology, Inc. | Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals |
JPH1064902A (ja) * | 1996-07-12 | 1998-03-06 | Applied Materials Inc | アルミニウム材料の成膜方法及び成膜装置 |
US6077768A (en) * | 1996-07-19 | 2000-06-20 | Motorola, Inc. | Process for fabricating a multilevel interconnect |
US5783485A (en) * | 1996-07-19 | 1998-07-21 | Motorola, Inc. | Process for fabricating a metallized interconnect |
US6001420A (en) * | 1996-09-23 | 1999-12-14 | Applied Materials, Inc. | Semi-selective chemical vapor deposition |
US5965459A (en) * | 1996-10-11 | 1999-10-12 | International Business Machines Corporation | Method for removing crevices induced by chemical-mechanical polishing |
US6020263A (en) * | 1996-10-31 | 2000-02-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of recovering alignment marks after chemical mechanical polishing of tungsten |
US5849367A (en) * | 1996-12-11 | 1998-12-15 | Texas Instruments Incorporated | Elemental titanium-free liner and fabrication process for inter-metal connections |
AU5411498A (en) * | 1996-12-12 | 1998-07-03 | Asahi Kasei Kogyo Kabushiki Kaisha | Method of manufacturing semiconductor device |
US6110828A (en) * | 1996-12-30 | 2000-08-29 | Applied Materials, Inc. | In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization |
US6537905B1 (en) | 1996-12-30 | 2003-03-25 | Applied Materials, Inc. | Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug |
JPH10209279A (ja) * | 1997-01-27 | 1998-08-07 | Matsushita Electron Corp | 金属プラグの形成方法 |
US6139697A (en) * | 1997-01-31 | 2000-10-31 | Applied Materials, Inc. | Low temperature integrated via and trench fill process and apparatus |
US6268661B1 (en) * | 1999-08-31 | 2001-07-31 | Nec Corporation | Semiconductor device and method of its fabrication |
US5916855A (en) * | 1997-03-26 | 1999-06-29 | Advanced Micro Devices, Inc. | Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films |
US6139905A (en) * | 1997-04-11 | 2000-10-31 | Applied Materials, Inc. | Integrated CVD/PVD Al planarization using ultra-thin nucleation layers |
US6080665A (en) * | 1997-04-11 | 2000-06-27 | Applied Materials, Inc. | Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum |
JP3111924B2 (ja) * | 1997-04-11 | 2000-11-27 | 日本電気株式会社 | 半導体装置の製造方法 |
US5981374A (en) * | 1997-04-29 | 1999-11-09 | International Business Machines Corporation | Sub-half-micron multi-level interconnection structure and process thereof |
US6849557B1 (en) * | 1997-04-30 | 2005-02-01 | Micron Technology, Inc. | Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide |
US6149974A (en) * | 1997-05-05 | 2000-11-21 | Applied Materials, Inc. | Method for elimination of TEOS/ozone silicon oxide surface sensitivity |
US6605197B1 (en) | 1997-05-13 | 2003-08-12 | Applied Materials, Inc. | Method of sputtering copper to fill trenches and vias |
US6130161A (en) | 1997-05-30 | 2000-10-10 | International Business Machines Corporation | Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity |
US6069068A (en) * | 1997-05-30 | 2000-05-30 | International Business Machines Corporation | Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity |
US5904565A (en) * | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6240199B1 (en) | 1997-07-24 | 2001-05-29 | Agere Systems Guardian Corp. | Electronic apparatus having improved scratch and mechanical resistance |
US5989623A (en) | 1997-08-19 | 1999-11-23 | Applied Materials, Inc. | Dual damascene metallization |
US6080655A (en) | 1997-08-21 | 2000-06-27 | Micron Technology, Inc. | Method for fabricating conductive components in microelectronic devices and substrate structures thereof |
US6096576A (en) | 1997-09-02 | 2000-08-01 | Silicon Light Machines | Method of producing an electrical interface to an integrated circuit device having high density I/O count |
US5994775A (en) * | 1997-09-17 | 1999-11-30 | Lsi Logic Corporation | Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same |
US5990011A (en) * | 1997-09-18 | 1999-11-23 | Micron Technology, Inc. | Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches |
JP3545177B2 (ja) * | 1997-09-18 | 2004-07-21 | 株式会社荏原製作所 | 多層埋め込みCu配線形成方法 |
SG70654A1 (en) * | 1997-09-30 | 2000-02-22 | Ibm | Copper stud structure with refractory metal liner |
US6133139A (en) * | 1997-10-08 | 2000-10-17 | International Business Machines Corporation | Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof |
US6060388A (en) * | 1997-10-29 | 2000-05-09 | International Business Machines Corporation | Conductors for microelectronic circuits and method of manufacture |
EP1034566A1 (en) | 1997-11-26 | 2000-09-13 | Applied Materials, Inc. | Damage-free sculptured coating deposition |
US7253109B2 (en) | 1997-11-26 | 2007-08-07 | Applied Materials, Inc. | Method of depositing a tantalum nitride/tantalum diffusion barrier layer system |
TW374946B (en) * | 1997-12-03 | 1999-11-21 | United Microelectronics Corp | Definition of structure of dielectric layer patterns and the manufacturing method |
US6129613A (en) * | 1998-01-30 | 2000-10-10 | Philips Electronics North America Corp. | Semiconductor manufacturing apparatus and method for measuring in-situ pressure across a wafer |
US6424040B1 (en) * | 1998-02-04 | 2002-07-23 | Texas Instruments Incorporated | Integration of fluorinated dielectrics in multi-level metallizations |
KR100275728B1 (ko) | 1998-02-24 | 2001-01-15 | 윤종용 | 반도체장치의 장벽 금속막의 제조방법 및 이를 이용한 반도체장치의 금속배선막의 제조방법 |
US6287436B1 (en) | 1998-02-27 | 2001-09-11 | Innovent, Inc. | Brazed honeycomb collimator |
US6211073B1 (en) | 1998-02-27 | 2001-04-03 | Micron Technology, Inc. | Methods for making copper and other metal interconnections in integrated circuits |
US6281121B1 (en) * | 1998-03-06 | 2001-08-28 | Advanced Micro Devices, Inc. | Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal |
JP3116897B2 (ja) * | 1998-03-18 | 2000-12-11 | 日本電気株式会社 | 微細配線形成方法 |
US6455937B1 (en) * | 1998-03-20 | 2002-09-24 | James A. Cunningham | Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects |
US6303881B1 (en) | 1998-03-20 | 2001-10-16 | Viasystems, Inc. | Via connector and method of making same |
US6598291B2 (en) | 1998-03-20 | 2003-07-29 | Viasystems, Inc. | Via connector and method of making same |
US6140236A (en) * | 1998-04-21 | 2000-10-31 | Kabushiki Kaisha Toshiba | High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring |
US6218306B1 (en) | 1998-04-22 | 2001-04-17 | Applied Materials, Inc. | Method of chemical mechanical polishing a metal layer |
US6111301A (en) * | 1998-04-24 | 2000-08-29 | International Business Machines Corporation | Interconnection with integrated corrosion stop |
US6022800A (en) * | 1998-04-29 | 2000-02-08 | Worldwide Semiconductor Manufacturing Corporation | Method of forming barrier layer for tungsten plugs in interlayer dielectrics |
US6015749A (en) * | 1998-05-04 | 2000-01-18 | Taiwan Semiconductor Manufacturing Company | Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure |
US6218288B1 (en) | 1998-05-11 | 2001-04-17 | Micron Technology, Inc. | Multiple step methods for forming conformal layers |
US6127276A (en) * | 1998-06-02 | 2000-10-03 | United Microelectronics Corp | Method of formation for a via opening |
US6153521A (en) * | 1998-06-04 | 2000-11-28 | Advanced Micro Devices, Inc. | Metallized interconnection structure and method of making the same |
US6056869A (en) * | 1998-06-04 | 2000-05-02 | International Business Machines Corporation | Wafer edge deplater for chemical mechanical polishing of substrates |
JPH11354637A (ja) * | 1998-06-11 | 1999-12-24 | Oki Electric Ind Co Ltd | 配線の接続構造及び配線の接続部の形成方法 |
US6211087B1 (en) * | 1998-06-29 | 2001-04-03 | Vlsi Technology, Inc. | Chemical wet etch removal of underlayer material after performing chemical mechanical polishing on a primary layer |
US6391771B1 (en) * | 1998-07-23 | 2002-05-21 | Applied Materials, Inc. | Integrated circuit interconnect lines having sidewall layers |
US6303986B1 (en) | 1998-07-29 | 2001-10-16 | Silicon Light Machines | Method of and apparatus for sealing an hermetic lid to a semiconductor die |
US6287977B1 (en) * | 1998-07-31 | 2001-09-11 | Applied Materials, Inc. | Method and apparatus for forming improved metal interconnects |
US6284656B1 (en) | 1998-08-04 | 2001-09-04 | Micron Technology, Inc. | Copper metallurgy in integrated circuits |
US6060383A (en) * | 1998-08-10 | 2000-05-09 | Nogami; Takeshi | Method for making multilayered coaxial interconnect structure |
TW436366B (en) * | 1998-08-21 | 2001-05-28 | United Microelectronics Corp | Method of fabricating a plug |
US6048787A (en) * | 1998-09-08 | 2000-04-11 | Winbond Electronics Corp. | Borderless contacts for dual-damascene interconnect process |
US6288442B1 (en) | 1998-09-10 | 2001-09-11 | Micron Technology, Inc. | Integrated circuit with oxidation-resistant polymeric layer |
US6150269A (en) * | 1998-09-11 | 2000-11-21 | Chartered Semiconductor Manufacturing Company, Ltd. | Copper interconnect patterning |
US6180506B1 (en) | 1998-09-14 | 2001-01-30 | International Business Machines Corporation | Upper redundant layer for damascene metallization |
US6174803B1 (en) | 1998-09-16 | 2001-01-16 | Vsli Technology | Integrated circuit device interconnection techniques |
US6057230A (en) * | 1998-09-17 | 2000-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dry etching procedure and recipe for patterning of thin film copper layers |
US6245668B1 (en) * | 1998-09-18 | 2001-06-12 | International Business Machines Corporation | Sputtered tungsten diffusion barrier for improved interconnect robustness |
US6221775B1 (en) * | 1998-09-24 | 2001-04-24 | International Business Machines Corp. | Combined chemical mechanical polishing and reactive ion etching process |
JP3169907B2 (ja) * | 1998-09-25 | 2001-05-28 | 日本電気株式会社 | 多層配線構造およびその製造方法 |
US6069082A (en) * | 1998-10-13 | 2000-05-30 | Chartered Semiconductor Manufacturing Ltd. | Method to prevent dishing in damascene CMP process |
US6149776A (en) * | 1998-11-12 | 2000-11-21 | Applied Materials, Inc. | Copper sputtering target |
US6909114B1 (en) | 1998-11-17 | 2005-06-21 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device having LDD regions |
US6184137B1 (en) | 1998-11-25 | 2001-02-06 | Applied Materials, Inc. | Structure and method for improving low temperature copper reflow in semiconductor features |
KR100493013B1 (ko) * | 1998-11-30 | 2005-08-01 | 삼성전자주식회사 | 반도체소자의 금속 배선층 형성방법_ |
US6140240A (en) * | 1999-01-07 | 2000-10-31 | Vanguard International Semiconductor Corporation | Method for eliminating CMP induced microscratches |
US6114246A (en) * | 1999-01-07 | 2000-09-05 | Vlsi Technology, Inc. | Method of using a polish stop film to control dishing during copper chemical mechanical polishing |
US6358790B1 (en) | 1999-01-13 | 2002-03-19 | Agere Systems Guardian Corp. | Method of making a capacitor |
US6323537B1 (en) * | 1999-01-13 | 2001-11-27 | Agere Systems Guardian Corp. | Capacitor for an integrated circuit |
US20020127845A1 (en) * | 1999-03-01 | 2002-09-12 | Paul A. Farrar | Conductive structures in integrated circuits |
US6157081A (en) * | 1999-03-10 | 2000-12-05 | Advanced Micro Devices, Inc. | High-reliability damascene interconnect formation for semiconductor fabrication |
US6350690B1 (en) * | 1999-04-09 | 2002-02-26 | Advanced Micro Devices, Inc. | Process for achieving full global planarization during CMP of damascene semiconductor structures |
US6235633B1 (en) | 1999-04-12 | 2001-05-22 | Taiwan Semiconductor Manufacturing Company | Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process |
US6329280B1 (en) | 1999-05-13 | 2001-12-11 | International Business Machines Corporation | Interim oxidation of silsesquioxane dielectric for dual damascene process |
US6071808A (en) * | 1999-06-23 | 2000-06-06 | Lucent Technologies Inc. | Method of passivating copper interconnects in a semiconductor |
US6046108A (en) * | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
US6130157A (en) * | 1999-07-16 | 2000-10-10 | Taiwan Semiconductor Manufacturing Company | Method to form an encapsulation layer over copper interconnects |
US6521532B1 (en) | 1999-07-22 | 2003-02-18 | James A. Cunningham | Method for making integrated circuit including interconnects with enhanced electromigration resistance |
US6551872B1 (en) | 1999-07-22 | 2003-04-22 | James A. Cunningham | Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby |
JP2001036080A (ja) | 1999-07-26 | 2001-02-09 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US6413854B1 (en) | 1999-08-24 | 2002-07-02 | International Business Machines Corp. | Method to build multi level structure |
US7071557B2 (en) | 1999-09-01 | 2006-07-04 | Micron Technology, Inc. | Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same |
US6433429B1 (en) * | 1999-09-01 | 2002-08-13 | International Business Machines Corporation | Copper conductive line with redundant liner and method of making |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6734559B1 (en) | 1999-09-17 | 2004-05-11 | Advanced Micro Devices, Inc. | Self-aligned semiconductor interconnect barrier and manufacturing method therefor |
US6207558B1 (en) | 1999-10-21 | 2001-03-27 | Applied Materials, Inc. | Barrier applications for aluminum planarization |
US6348736B1 (en) | 1999-10-29 | 2002-02-19 | International Business Machines Corporation | In situ formation of protective layer on silsesquioxane dielectric for dual damascene process |
JP4236778B2 (ja) * | 1999-11-01 | 2009-03-11 | 株式会社ルネサステクノロジ | 半導体装置 |
US6417106B1 (en) | 1999-11-01 | 2002-07-09 | Taiwan Semiconductor Manufacturing Company | Underlayer liner for copper damascene in low k dielectric |
US6551924B1 (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch |
US6114243A (en) * | 1999-11-15 | 2000-09-05 | Chartered Semiconductor Manufacturing Ltd | Method to avoid copper contamination on the sidewall of a via or a dual damascene structure |
US6344419B1 (en) | 1999-12-03 | 2002-02-05 | Applied Materials, Inc. | Pulsed-mode RF bias for sidewall coverage improvement |
US6403465B1 (en) | 1999-12-28 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method to improve copper barrier properties |
US7211512B1 (en) | 2000-01-18 | 2007-05-01 | Micron Technology, Inc. | Selective electroless-plated copper metallization |
US7262130B1 (en) | 2000-01-18 | 2007-08-28 | Micron Technology, Inc. | Methods for making integrated-circuit wiring from copper, silver, gold, and other metals |
US6420262B1 (en) | 2000-01-18 | 2002-07-16 | Micron Technology, Inc. | Structures and methods to enhance copper metallization |
US6376370B1 (en) * | 2000-01-18 | 2002-04-23 | Micron Technology, Inc. | Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy |
JP2001223460A (ja) * | 2000-02-08 | 2001-08-17 | Fujitsu Ltd | 実装回路基板及びその製造方法 |
US6633083B2 (en) * | 2000-02-28 | 2003-10-14 | Advanced Micro Devices Inc. | Barrier layer integrity test |
EP1143506A3 (en) * | 2000-04-04 | 2004-02-25 | Nippon Telegraph and Telephone Corporation | Pattern forming method |
JP2001319928A (ja) * | 2000-05-08 | 2001-11-16 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
US6423629B1 (en) * | 2000-05-31 | 2002-07-23 | Kie Y. Ahn | Multilevel copper interconnects with low-k dielectrics and air gaps |
US6674167B1 (en) * | 2000-05-31 | 2004-01-06 | Micron Technology, Inc. | Multilevel copper interconnect with double passivation |
US6554979B2 (en) | 2000-06-05 | 2003-04-29 | Applied Materials, Inc. | Method and apparatus for bias deposition in a modulating electric field |
US6501180B1 (en) * | 2000-07-19 | 2002-12-31 | National Semiconductor Corporation | Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
JP2002050595A (ja) * | 2000-08-04 | 2002-02-15 | Hitachi Ltd | 研磨方法、配線形成方法及び半導体装置の製造方法 |
JP2002050767A (ja) * | 2000-08-04 | 2002-02-15 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US6617689B1 (en) | 2000-08-31 | 2003-09-09 | Micron Technology, Inc. | Metal line and method of suppressing void formation therein |
US7518284B2 (en) * | 2000-11-02 | 2009-04-14 | Danfoss A/S | Dielectric composite and a method of manufacturing a dielectric composite |
US7548015B2 (en) * | 2000-11-02 | 2009-06-16 | Danfoss A/S | Multilayer composite and a method of making such |
US8181338B2 (en) * | 2000-11-02 | 2012-05-22 | Danfoss A/S | Method of making a multilayer composite |
DE10054247C2 (de) * | 2000-11-02 | 2002-10-24 | Danfoss As | Betätigungselement und Verfahren zu seiner Herstellung |
US6436814B1 (en) | 2000-11-21 | 2002-08-20 | International Business Machines Corporation | Interconnection structure and method for fabricating same |
US6503641B2 (en) * | 2000-12-18 | 2003-01-07 | International Business Machines Corporation | Interconnects with Ti-containing liners |
US6680514B1 (en) * | 2000-12-20 | 2004-01-20 | International Business Machines Corporation | Contact capping local interconnect |
US6388327B1 (en) | 2001-01-09 | 2002-05-14 | International Business Machines Corporation | Capping layer for improved silicide formation in narrow semiconductor structures |
JP2002208633A (ja) * | 2001-01-10 | 2002-07-26 | Matsushita Electric Ind Co Ltd | 半導体装置およびその製造方法 |
JP2002217292A (ja) * | 2001-01-23 | 2002-08-02 | Hitachi Ltd | 半導体集積回路装置および半導体集積回路装置の製造方法 |
US6524929B1 (en) | 2001-02-26 | 2003-02-25 | Advanced Micro Devices, Inc. | Method for shallow trench isolation using passivation material for trench bottom liner |
US6486038B1 (en) | 2001-03-12 | 2002-11-26 | Advanced Micro Devices | Method for and device having STI using partial etch trench bottom liner |
US7087997B2 (en) * | 2001-03-12 | 2006-08-08 | International Business Machines Corporation | Copper to aluminum interlayer interconnect using stud and via liner |
US6521510B1 (en) | 2001-03-23 | 2003-02-18 | Advanced Micro Devices, Inc. | Method for shallow trench isolation with removal of strained island edges |
US6534379B1 (en) | 2001-03-26 | 2003-03-18 | Advanced Micro Devices, Inc. | Linerless shallow trench isolation method |
US6504225B1 (en) * | 2001-04-18 | 2003-01-07 | Advanced Micro Devices, Inc. | Teos seaming scribe line monitor |
US6743666B1 (en) * | 2001-04-27 | 2004-06-01 | Advanced Micro Devices, Inc. | Selective thickening of the source-drain and gate areas of field effect transistors |
JP4350337B2 (ja) * | 2001-04-27 | 2009-10-21 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置 |
US7372160B2 (en) * | 2001-05-31 | 2008-05-13 | Stmicroelectronics, Inc. | Barrier film deposition over metal for reduction in metal dishing after CMP |
JP2002367998A (ja) * | 2001-06-11 | 2002-12-20 | Ebara Corp | 半導体装置及びその製造方法 |
US6521523B2 (en) | 2001-06-15 | 2003-02-18 | Silicon Integrated Systems Corp. | Method for forming selective protection layers on copper interconnects |
US6782205B2 (en) | 2001-06-25 | 2004-08-24 | Silicon Light Machines | Method and apparatus for dynamic equalization in wavelength division multiplexing |
US6747781B2 (en) | 2001-06-25 | 2004-06-08 | Silicon Light Machines, Inc. | Method, apparatus, and diffuser for reducing laser speckle |
TW591089B (en) * | 2001-08-09 | 2004-06-11 | Cheil Ind Inc | Slurry composition for use in chemical mechanical polishing of metal wiring |
US6953389B2 (en) * | 2001-08-09 | 2005-10-11 | Cheil Industries, Inc. | Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching |
US6829092B2 (en) | 2001-08-15 | 2004-12-07 | Silicon Light Machines, Inc. | Blazed grating light valve |
JP2003068848A (ja) * | 2001-08-29 | 2003-03-07 | Fujitsu Ltd | 半導体装置及びその製造方法 |
US6989108B2 (en) * | 2001-08-30 | 2006-01-24 | Micron Technology, Inc. | Etchant gas composition |
US6930364B2 (en) * | 2001-09-13 | 2005-08-16 | Silicon Light Machines Corporation | Microelectronic mechanical system and methods |
US6746591B2 (en) | 2001-10-16 | 2004-06-08 | Applied Materials Inc. | ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature |
JP4198906B2 (ja) * | 2001-11-15 | 2008-12-17 | 株式会社ルネサステクノロジ | 半導体装置および半導体装置の製造方法 |
US6815342B1 (en) * | 2001-11-27 | 2004-11-09 | Lsi Logic Corporation | Low resistance metal interconnect lines and a process for fabricating them |
DE60224844T2 (de) * | 2001-12-21 | 2009-01-08 | Danfoss A/S | Dielektrisches betätigungsglied oder sensorstruktur und herstellungsverfahren |
KR100435784B1 (ko) * | 2001-12-21 | 2004-06-12 | 동부전자 주식회사 | 반도체 소자의 금속배선 형성 방법 |
US6800238B1 (en) | 2002-01-15 | 2004-10-05 | Silicon Light Machines, Inc. | Method for domain patterning in low coercive field ferroelectrics |
US6770566B1 (en) | 2002-03-06 | 2004-08-03 | Cypress Semiconductor Corporation | Methods of forming semiconductor structures, and articles and devices formed thereby |
US7294567B2 (en) * | 2002-03-11 | 2007-11-13 | Micron Technology, Inc. | Semiconductor contact device and method |
US6797620B2 (en) | 2002-04-16 | 2004-09-28 | Applied Materials, Inc. | Method and apparatus for improved electroplating fill of an aperture |
US6767751B2 (en) | 2002-05-28 | 2004-07-27 | Silicon Light Machines, Inc. | Integrated driver process flow |
US6822797B1 (en) | 2002-05-31 | 2004-11-23 | Silicon Light Machines, Inc. | Light modulator structure for producing high-contrast operation using zero-order light |
US6829258B1 (en) | 2002-06-26 | 2004-12-07 | Silicon Light Machines, Inc. | Rapidly tunable external cavity laser |
US6813059B2 (en) | 2002-06-28 | 2004-11-02 | Silicon Light Machines, Inc. | Reduced formation of asperities in contact micro-structures |
US6714337B1 (en) | 2002-06-28 | 2004-03-30 | Silicon Light Machines | Method and device for modulating a light beam and having an improved gamma response |
US6801354B1 (en) | 2002-08-20 | 2004-10-05 | Silicon Light Machines, Inc. | 2-D diffraction grating for substantially eliminating polarization dependent losses |
EP1540807B1 (en) * | 2002-09-20 | 2013-01-09 | Danfoss A/S | Elastomer actuator and method of making the actuator |
US6712480B1 (en) | 2002-09-27 | 2004-03-30 | Silicon Light Machines | Controlled curvature of stressed micro-structures |
US6713873B1 (en) * | 2002-11-27 | 2004-03-30 | Intel Corporation | Adhesion between dielectric materials |
DE60328913D1 (de) * | 2002-12-12 | 2009-10-01 | Danfoss As | Berührungssensorelement und sensorgruppe |
US6975032B2 (en) * | 2002-12-16 | 2005-12-13 | International Business Machines Corporation | Copper recess process with application to selective capping and electroless plating |
ES2309502T3 (es) | 2003-02-24 | 2008-12-16 | Danfoss A/S | Vendaje de compresion elastico electroactivo. |
US6829077B1 (en) | 2003-02-28 | 2004-12-07 | Silicon Light Machines, Inc. | Diffractive light modulator with dynamically rotatable diffraction plane |
US6806997B1 (en) | 2003-02-28 | 2004-10-19 | Silicon Light Machines, Inc. | Patterned diffractive light modulator ribbon for PDL reduction |
US20040248405A1 (en) * | 2003-06-02 | 2004-12-09 | Akira Fukunaga | Method of and apparatus for manufacturing semiconductor device |
US20040245636A1 (en) * | 2003-06-06 | 2004-12-09 | International Business Machines Corporation | Full removal of dual damascene metal level |
US7220665B2 (en) * | 2003-08-05 | 2007-05-22 | Micron Technology, Inc. | H2 plasma treatment |
KR100528069B1 (ko) * | 2003-09-02 | 2005-11-15 | 동부아남반도체 주식회사 | 반도체 소자 및 그 제조 방법 |
JP4130621B2 (ja) * | 2003-10-30 | 2008-08-06 | 株式会社東芝 | 半導体装置およびその製造方法 |
KR100561523B1 (ko) * | 2003-12-31 | 2006-03-16 | 동부아남반도체 주식회사 | 알루미늄 배선 형성 방법 |
KR100590205B1 (ko) * | 2004-01-12 | 2006-06-15 | 삼성전자주식회사 | 반도체 장치의 배선 구조체 및 그 형성 방법 |
US7090516B2 (en) * | 2004-02-09 | 2006-08-15 | Adc Telecommunications, Inc. | Protective boot and universal cap |
JP2005235860A (ja) * | 2004-02-17 | 2005-09-02 | Sanyo Electric Co Ltd | 半導体装置及びその製造方法 |
US7956672B2 (en) * | 2004-03-30 | 2011-06-07 | Ricoh Company, Ltd. | Reference voltage generating circuit |
US7378744B2 (en) * | 2004-05-10 | 2008-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance |
US7067409B2 (en) * | 2004-05-10 | 2006-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance |
KR20050114784A (ko) * | 2004-06-01 | 2005-12-07 | 동부아남반도체 주식회사 | 반도체 소자의 구리배선 형성방법 |
KR100628242B1 (ko) * | 2004-06-24 | 2006-09-26 | 동부일렉트로닉스 주식회사 | 반도체 소자의 베리어층 형성 방법 |
KR100602087B1 (ko) * | 2004-07-09 | 2006-07-14 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
KR101101192B1 (ko) * | 2004-08-26 | 2012-01-03 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 형성 방법 |
JP4503401B2 (ja) * | 2004-09-08 | 2010-07-14 | 株式会社荏原製作所 | 金属膜の成膜方法及び配線の形成方法 |
KR100552857B1 (ko) * | 2004-10-25 | 2006-02-22 | 동부아남반도체 주식회사 | 반도체 소자의 콘택 형성 방법 |
US20060113675A1 (en) * | 2004-12-01 | 2006-06-01 | Chung-Liang Chang | Barrier material and process for Cu interconnect |
US7105445B2 (en) * | 2005-01-14 | 2006-09-12 | International Business Machines Corporation | Interconnect structures with encasing cap and methods of making thereof |
US7335588B2 (en) * | 2005-04-15 | 2008-02-26 | International Business Machines Corporation | Interconnect structure and method of fabrication of same |
US7323410B2 (en) | 2005-08-08 | 2008-01-29 | International Business Machines Corporation | Dry etchback of interconnect contacts |
US7563704B2 (en) * | 2005-09-19 | 2009-07-21 | International Business Machines Corporation | Method of forming an interconnect including a dielectric cap having a tensile stress |
US20070080455A1 (en) * | 2005-10-11 | 2007-04-12 | International Business Machines Corporation | Semiconductors and methods of making |
US7253100B2 (en) * | 2005-11-17 | 2007-08-07 | International Business Machines Corporation | Reducing damage to ulk dielectric during cross-linked polymer removal |
US7863183B2 (en) * | 2006-01-18 | 2011-01-04 | International Business Machines Corporation | Method for fabricating last level copper-to-C4 connection with interfacial cap structure |
TWI293499B (en) * | 2006-01-25 | 2008-02-11 | Advanced Semiconductor Eng | Three dimensional package and method of making the same |
TWI287273B (en) * | 2006-01-25 | 2007-09-21 | Advanced Semiconductor Eng | Three dimensional package and method of making the same |
US8193087B2 (en) * | 2006-05-18 | 2012-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for improving copper line cap formation |
US20080077436A1 (en) * | 2006-06-01 | 2008-03-27 | Igeacare Systems Inc. | Home based healthcare system and method |
CA2653434A1 (en) * | 2006-06-01 | 2008-03-27 | Igeacare Systems, Inc. | Remote health care system with stethoscope |
WO2007138489A2 (en) * | 2006-06-01 | 2007-12-06 | Rajiv Muradia | Remote health care system with treatment verification |
US20080091470A1 (en) * | 2006-06-01 | 2008-04-17 | Igeacare Systems Inc. | Remote health care diagnostic tool |
EP2051287A4 (en) * | 2006-08-10 | 2014-05-21 | Ulvac Inc | METHOD FOR FORMING A CONDUCTIVE FILM, THIN FILM TRANSISTOR, PANEL WITH THIN FILM TRANSISTOR AND METHOD FOR PRODUCING A THIN FILM TRANSISTOR |
US7880371B2 (en) * | 2006-11-03 | 2011-02-01 | Danfoss A/S | Dielectric composite and a method of manufacturing a dielectric composite |
US7732999B2 (en) * | 2006-11-03 | 2010-06-08 | Danfoss A/S | Direct acting capacitive transducer |
US7569475B2 (en) * | 2006-11-15 | 2009-08-04 | International Business Machines Corporation | Interconnect structure having enhanced electromigration reliability and a method of fabricating same |
US7576003B2 (en) * | 2006-11-29 | 2009-08-18 | International Business Machines Corporation | Dual liner capping layer interconnect structure and method |
DE102007004884A1 (de) * | 2007-01-31 | 2008-08-14 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht |
US7859113B2 (en) * | 2007-02-27 | 2010-12-28 | International Business Machines Corporation | Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method |
US7655556B2 (en) * | 2007-03-23 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structures for semiconductor devices |
US7790599B2 (en) * | 2007-04-13 | 2010-09-07 | International Business Machines Corporation | Metal cap for interconnect structures |
US8502272B2 (en) | 2007-05-16 | 2013-08-06 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Metal-oxide-semiconductor high electron mobility transistors and methods of fabrication |
TWI339444B (en) * | 2007-05-30 | 2011-03-21 | Au Optronics Corp | Conductor structure, pixel structure, and methods of forming the same |
US7732924B2 (en) | 2007-06-12 | 2010-06-08 | International Business Machines Corporation | Semiconductor wiring structures including dielectric cap within metal cap layer |
JP4637872B2 (ja) * | 2007-06-12 | 2011-02-23 | シャープ株式会社 | 配線構造およびその製造方法 |
US7884018B2 (en) * | 2007-06-21 | 2011-02-08 | International Business Machines Corporation | Method for improving the selectivity of a CVD process |
US8138604B2 (en) | 2007-06-21 | 2012-03-20 | International Business Machines Corporation | Metal cap with ultra-low k dielectric material for circuit interconnect applications |
US7927990B2 (en) * | 2007-06-29 | 2011-04-19 | Sandisk Corporation | Forming complimentary metal features using conformal insulator layer |
KR100905872B1 (ko) * | 2007-08-24 | 2009-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 형성 방법 |
US7615831B2 (en) * | 2007-10-26 | 2009-11-10 | International Business Machines Corporation | Structure and method for fabricating self-aligned metal contacts |
US7964923B2 (en) * | 2008-01-07 | 2011-06-21 | International Business Machines Corporation | Structure and method of creating entirely self-aligned metallic contacts |
US7998864B2 (en) * | 2008-01-29 | 2011-08-16 | International Business Machines Corporation | Noble metal cap for interconnect structures |
US7834457B2 (en) * | 2008-02-28 | 2010-11-16 | International Business Machines Corporation | Bilayer metal capping layer for interconnect applications |
US7830010B2 (en) | 2008-04-03 | 2010-11-09 | International Business Machines Corporation | Surface treatment for selective metal cap applications |
EP2283265A2 (en) * | 2008-04-30 | 2011-02-16 | Danfoss Polypower A/S | A power actuated valve |
US20110189027A1 (en) * | 2008-04-30 | 2011-08-04 | Morten Kjaer Hansen | Pump powered by a polymer transducer |
US7956466B2 (en) * | 2008-05-09 | 2011-06-07 | International Business Machines Corporation | Structure for interconnect structure containing various capping materials for electrical fuse and other related applications |
US8772156B2 (en) * | 2008-05-09 | 2014-07-08 | International Business Machines Corporation | Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications |
US8354751B2 (en) * | 2008-06-16 | 2013-01-15 | International Business Machines Corporation | Interconnect structure for electromigration enhancement |
US8013446B2 (en) * | 2008-08-12 | 2011-09-06 | International Business Machines Corporation | Nitrogen-containing metal cap for interconnect structures |
US7939911B2 (en) * | 2008-08-14 | 2011-05-10 | International Business Machines Corporation | Back-end-of-line resistive semiconductor structures |
US7977201B2 (en) * | 2008-08-14 | 2011-07-12 | International Business Machines Corporation | Methods for forming back-end-of-line resistive semiconductor structures |
US8232645B2 (en) | 2008-08-14 | 2012-07-31 | International Business Machines Corporation | Interconnect structures, design structure and method of manufacture |
US8823176B2 (en) * | 2008-10-08 | 2014-09-02 | International Business Machines Corporation | Discontinuous/non-uniform metal cap structure and process for interconnect integration |
JP5406556B2 (ja) * | 2009-02-23 | 2014-02-05 | 関東化学株式会社 | 金属積層膜用エッチング液組成物 |
US20100276764A1 (en) | 2009-05-04 | 2010-11-04 | Yi-Jen Lo | Semiconductor structure with selectively deposited tungsten film and method for making the same |
TWI459507B (zh) * | 2009-06-18 | 2014-11-01 | United Microelectronics Corp | 一種製作矽貫通電極的方法 |
KR101604054B1 (ko) * | 2009-09-03 | 2016-03-16 | 삼성전자주식회사 | 반도체 소자 및 그 형성방법 |
US8039966B2 (en) * | 2009-09-03 | 2011-10-18 | International Business Machines Corporation | Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects |
US8411970B2 (en) * | 2010-03-16 | 2013-04-02 | Pixia Corp. | Method and system for determining statistical data for image pixels having a higher bit depth per band |
US9425146B2 (en) | 2010-09-28 | 2016-08-23 | Infineon Technologies Ag | Semiconductor structure and method for making same |
US8124525B1 (en) * | 2010-10-27 | 2012-02-28 | International Business Machines Corporation | Method of forming self-aligned local interconnect and structure formed thereby |
DE102010063294B4 (de) * | 2010-12-16 | 2019-07-11 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen |
WO2012133400A1 (ja) * | 2011-03-30 | 2012-10-04 | 東京エレクトロン株式会社 | Cu配線の形成方法 |
EP2535441A1 (en) * | 2011-06-14 | 2012-12-19 | Atotech Deutschland GmbH | Copper filled opening with a cap layer |
JP5949294B2 (ja) * | 2011-08-31 | 2016-07-06 | 日亜化学工業株式会社 | 半導体発光素子 |
US8877645B2 (en) | 2011-09-15 | 2014-11-04 | International Business Machines Corporation | Integrated circuit structure having selectively formed metal cap |
US8492274B2 (en) | 2011-11-07 | 2013-07-23 | International Business Machines Corporation | Metal alloy cap integration |
US8692442B2 (en) | 2012-02-14 | 2014-04-08 | Danfoss Polypower A/S | Polymer transducer and a connector for a transducer |
US8891222B2 (en) | 2012-02-14 | 2014-11-18 | Danfoss A/S | Capacitive transducer and a method for manufacturing a transducer |
US8796853B2 (en) * | 2012-02-24 | 2014-08-05 | International Business Machines Corporation | Metallic capped interconnect structure with high electromigration resistance and low resistivity |
KR101907694B1 (ko) * | 2012-03-06 | 2018-10-12 | 에스케이하이닉스 주식회사 | 반도체 소자 및 그 제조방법 |
CN103390647A (zh) * | 2012-05-10 | 2013-11-13 | 无锡华润上华半导体有限公司 | 一种功率mos器件结构 |
US9034664B2 (en) * | 2012-05-16 | 2015-05-19 | International Business Machines Corporation | Method to resolve hollow metal defects in interconnects |
KR101992352B1 (ko) | 2012-09-25 | 2019-06-24 | 삼성전자주식회사 | 반도체 장치 |
US9312203B2 (en) | 2013-01-02 | 2016-04-12 | Globalfoundries Inc. | Dual damascene structure with liner |
US8883020B2 (en) * | 2013-01-30 | 2014-11-11 | GlobalFoundries, Inc. | Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein |
US9536830B2 (en) | 2013-05-09 | 2017-01-03 | Globalfoundries Inc. | High performance refractory metal / copper interconnects to eliminate electromigration |
US9171801B2 (en) | 2013-05-09 | 2015-10-27 | Globalfoundries U.S. 2 Llc | E-fuse with hybrid metallization |
US9305879B2 (en) | 2013-05-09 | 2016-04-05 | Globalfoundries Inc. | E-fuse with hybrid metallization |
US8962479B2 (en) | 2013-05-10 | 2015-02-24 | International Business Machines Corporation | Interconnect structures containing nitrided metallic residues |
US9558999B2 (en) | 2013-09-12 | 2017-01-31 | Globalfoundries Inc. | Ultra-thin metal wires formed through selective deposition |
US9202749B2 (en) | 2014-02-06 | 2015-12-01 | International Business Machines Corporation | Process methods for advanced interconnect patterning |
US9281211B2 (en) | 2014-02-10 | 2016-03-08 | International Business Machines Corporation | Nanoscale interconnect structure |
US10079174B2 (en) | 2014-04-30 | 2018-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Composite contact plug structure and method of making same |
US9379221B1 (en) | 2015-01-08 | 2016-06-28 | International Business Machines Corporation | Bottom-up metal gate formation on replacement metal gate finFET devices |
US9913382B2 (en) * | 2015-04-23 | 2018-03-06 | Viasystems Technologies Corp. L.L.C. | Method for anchoring a conductive cap on a filled via in a printed circuit board and printed circuit board with an anchored conductive cap |
US9595473B2 (en) | 2015-06-01 | 2017-03-14 | International Business Machines Corporation | Critical dimension shrink through selective metal growth on metal hardmask sidewalls |
US9588298B2 (en) | 2015-06-04 | 2017-03-07 | Elenion Technologies, Llc | Edge coupler |
JP6738556B2 (ja) * | 2015-06-26 | 2020-08-12 | 三菱マテリアル株式会社 | 表面被覆切削工具 |
US9748169B1 (en) | 2016-04-04 | 2017-08-29 | International Business Machines Corporation | Treating copper interconnects |
CN107564850B (zh) * | 2016-07-01 | 2020-07-07 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
US10593563B2 (en) * | 2017-04-13 | 2020-03-17 | Invensas Corporation | Fan-out wafer level package with resist vias |
KR102378672B1 (ko) * | 2017-05-17 | 2022-03-24 | 이매진 코퍼레이션 | 고정밀 섀도 마스크 증착 시스템 및 그 방법 |
CN107170788A (zh) * | 2017-06-06 | 2017-09-15 | 武汉华星光电技术有限公司 | 一种显示屏 |
DE102017216937A1 (de) * | 2017-09-25 | 2019-03-28 | Robert Bosch Gmbh | Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer |
US10886225B2 (en) | 2018-03-05 | 2021-01-05 | International Business Machines Corporation | BEOL alternative metal interconnects: integration and process |
US11018087B2 (en) | 2018-04-25 | 2021-05-25 | International Business Machines Corporation | Metal interconnects |
CN109003767B (zh) * | 2018-07-18 | 2023-11-28 | 昆山万盛电子有限公司 | 一种横卧安装的压敏电阻器及其制备方法 |
US11081493B2 (en) * | 2019-05-16 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor memory device with sacrificial via |
CN116013853B (zh) * | 2023-03-27 | 2023-06-02 | 合肥晶合集成电路股份有限公司 | 互连结构的制备方法 |
Family Cites Families (114)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1399163A (en) * | 1972-11-08 | 1975-06-25 | Ferranti Ltd | Methods of manufacturing semiconductor devices |
US3911562A (en) * | 1974-01-14 | 1975-10-14 | Signetics Corp | Method of chemical polishing of planar silicon structures having filled grooves therein |
CH611938A5 (ko) * | 1976-05-19 | 1979-06-29 | Battelle Memorial Institute | |
DE2705225C2 (de) * | 1976-06-07 | 1983-03-24 | Nobuo Tokyo Nishida | Ornamentteil für Uhren usw. |
JPS5425178A (en) * | 1977-07-27 | 1979-02-24 | Fujitsu Ltd | Manufacture for semiconductor device |
US4244775A (en) * | 1979-04-30 | 1981-01-13 | Bell Telephone Laboratories, Incorporated | Process for the chemical etch polishing of semiconductors |
US4293374A (en) * | 1980-03-10 | 1981-10-06 | International Business Machines Corporation | High aspect ratio, high resolution mask fabrication |
US4367119A (en) * | 1980-08-18 | 1983-01-04 | International Business Machines Corporation | Planar multi-level metal process with built-in etch stop |
US4339305A (en) * | 1981-02-05 | 1982-07-13 | Rockwell International Corporation | Planar circuit fabrication by plating and liftoff |
JPS5815250A (ja) * | 1981-07-21 | 1983-01-28 | Fujitsu Ltd | 半導体装置の製造方法 |
JPS5821844A (ja) * | 1981-07-31 | 1983-02-08 | Nippon Telegr & Teleph Corp <Ntt> | 配線構造体の製造方法 |
JPS5830147A (ja) | 1981-08-18 | 1983-02-22 | Toshiba Corp | 半導体装置 |
DE3141567C2 (de) * | 1981-10-20 | 1986-02-06 | Siemens AG, 1000 Berlin und 8000 München | Verfahren zum Herstellen von aus Tantal, Wolfram oder Molybdän bestehenden Schichten bei niedrigen Temperaturen und Verwendung dieser Schichten |
US4386116A (en) * | 1981-12-24 | 1983-05-31 | International Business Machines Corporation | Process for making multilayer integrated circuit substrate |
JPS58210634A (ja) * | 1982-05-31 | 1983-12-07 | Toshiba Corp | 半導体装置の製造方法 |
JPS5982746A (ja) * | 1982-11-04 | 1984-05-12 | Toshiba Corp | 半導体装置の電極配線方法 |
JPS59121835A (ja) * | 1982-12-28 | 1984-07-14 | Fujitsu Ltd | 半導体装置及びその製造方法 |
JPS59175763A (ja) * | 1983-03-25 | 1984-10-04 | Fujitsu Ltd | 半導体装置 |
US4565157A (en) * | 1983-03-29 | 1986-01-21 | Genus, Inc. | Method and apparatus for deposition of tungsten silicides |
KR910006249B1 (ko) * | 1983-04-01 | 1991-08-17 | 가부시기가이샤 히다찌세이사꾸쇼 | 반도체 장치 |
GB2137808A (en) * | 1983-04-06 | 1984-10-10 | Plessey Co Plc | Integrated circuit processing method |
US4486946A (en) * | 1983-07-12 | 1984-12-11 | Control Data Corporation | Method for using titanium-tungsten alloy as a barrier metal in silicon semiconductor processing |
US4600624A (en) * | 1983-09-20 | 1986-07-15 | International Business Machines Corporation | Composite insulator structure |
US4532702A (en) * | 1983-11-04 | 1985-08-06 | Westinghouse Electric Corp. | Method of forming conductive interconnection between vertically spaced levels in VLSI devices |
JPS60115245A (ja) * | 1983-11-28 | 1985-06-21 | Toshiba Corp | 半導体装置の製造方法 |
JPS60117719A (ja) * | 1983-11-30 | 1985-06-25 | Fujitsu Ltd | 半導体装置の製造方法 |
CA1260754A (en) * | 1983-12-26 | 1989-09-26 | Teiji Majima | Method for forming patterns and apparatus used for carrying out the same |
JPS60142545A (ja) * | 1983-12-27 | 1985-07-27 | インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション | 多層複合構造体 |
JPS60173857A (ja) * | 1984-02-20 | 1985-09-07 | Toshiba Corp | 半導体装置の製造方法 |
US4851295A (en) * | 1984-03-16 | 1989-07-25 | Genus, Inc. | Low resistivity tungsten silicon composite film |
US4845050A (en) * | 1984-04-02 | 1989-07-04 | General Electric Company | Method of making mo/tiw or w/tiw ohmic contacts to silicon |
US4640004A (en) * | 1984-04-13 | 1987-02-03 | Fairchild Camera & Instrument Corp. | Method and structure for inhibiting dopant out-diffusion |
FR2566181B1 (fr) * | 1984-06-14 | 1986-08-22 | Commissariat Energie Atomique | Procede d'autopositionnement d'une ligne d'interconnexion sur un trou de contact electrique d'un circuit integre |
US4545852A (en) * | 1984-06-20 | 1985-10-08 | Hewlett-Packard Company | Planarization of dielectric films on integrated circuits |
US4526631A (en) * | 1984-06-25 | 1985-07-02 | International Business Machines Corporation | Method for forming a void free isolation pattern utilizing etch and refill techniques |
US4560436A (en) * | 1984-07-02 | 1985-12-24 | Motorola, Inc. | Process for etching tapered polyimide vias |
US4720908A (en) * | 1984-07-11 | 1988-01-26 | Texas Instruments Incorporated | Process for making contacts and interconnects for holes having vertical sidewalls |
US4751197A (en) * | 1984-07-18 | 1988-06-14 | Texas Instruments Incorporated | Make-link programming of semiconductor devices using laser enhanced thermal breakdown of insulator |
JPH0713295B2 (ja) * | 1985-02-22 | 1995-02-15 | 株式会社日立製作所 | スパツタリング装置 |
US4560435A (en) * | 1984-10-01 | 1985-12-24 | International Business Machines Corporation | Composite back-etch/lift-off stencil for proximity effect minimization |
KR900001825B1 (ko) * | 1984-11-14 | 1990-03-24 | 가부시끼가이샤 히다찌세이사꾸쇼 | 성막 지향성을 고려한 스퍼터링장치 |
JPH0697693B2 (ja) * | 1984-12-05 | 1994-11-30 | 株式会社東芝 | Mos型fetのゲート構造の製造方法 |
JPS61137367A (ja) * | 1984-12-10 | 1986-06-25 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
US4659427A (en) * | 1984-12-31 | 1987-04-21 | Gte Laboratories Incorporated | Via formation for multilayered metalization |
US5045916A (en) * | 1985-01-22 | 1991-09-03 | Fairchild Semiconductor Corporation | Extended silicide and external contact technology |
DE3650077T2 (de) * | 1985-03-15 | 1995-02-23 | Hewlett Packard Co | Metallisches Verbindungssystem mit einer ebenen Fläche. |
FR2583220B1 (fr) * | 1985-06-11 | 1987-08-07 | Thomson Csf | Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique |
US4617087A (en) * | 1985-09-27 | 1986-10-14 | International Business Machines Corporation | Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits |
US4789648A (en) * | 1985-10-28 | 1988-12-06 | International Business Machines Corporation | Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias |
US4944836A (en) * | 1985-10-28 | 1990-07-31 | International Business Machines Corporation | Chem-mech polishing method for producing coplanar metal/insulator films on a substrate |
US4702792A (en) * | 1985-10-28 | 1987-10-27 | International Business Machines Corporation | Method of forming fine conductive lines, patterns and connectors |
US4824802A (en) * | 1986-02-28 | 1989-04-25 | General Electric Company | Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures |
US4746219A (en) * | 1986-03-07 | 1988-05-24 | Texas Instruments Incorporated | Local interconnect |
US4689113A (en) * | 1986-03-21 | 1987-08-25 | International Business Machines Corporation | Process for forming planar chip-level wiring |
US4849079A (en) * | 1986-05-23 | 1989-07-18 | International Business Machines Corp. | Process for preparing low electrical contact resistance composition |
US4756927A (en) * | 1986-05-29 | 1988-07-12 | Massachusetts Institute Of Technology | Method and apparatus for refractory metal deposition |
DE3772659D1 (de) * | 1986-06-28 | 1991-10-10 | Ulvac Corp | Verfahren und vorrichtung zum beschichten unter anwendung einer cvd-beschichtungstechnik. |
DE3783405T2 (de) * | 1986-08-19 | 1993-08-05 | Fujitsu Ltd | Halbleiteranordnung mit einer duennschicht-verdrahtung und verfahren zum herstellen derselben. |
EP0261846B1 (en) * | 1986-09-17 | 1992-12-02 | Fujitsu Limited | Method of forming a metallization film containing copper on the surface of a semiconductor device |
US4924295A (en) * | 1986-11-28 | 1990-05-08 | Siemens Aktiengesellschaft | Integrated semi-conductor circuit comprising at least two metallization levels composed of aluminum or aluminum compounds and a method for the manufacture of same |
US4732658A (en) * | 1986-12-03 | 1988-03-22 | Honeywell Inc. | Planarization of silicon semiconductor devices |
US4756810A (en) * | 1986-12-04 | 1988-07-12 | Machine Technology, Inc. | Deposition and planarizing methods and apparatus |
US4855798A (en) * | 1986-12-19 | 1989-08-08 | Texas Instruments Incorporated | Semiconductor and process of fabrication thereof |
US4795722A (en) * | 1987-02-05 | 1989-01-03 | Texas Instruments Incorporated | Method for planarization of a semiconductor device prior to metallization |
US4753709A (en) * | 1987-02-05 | 1988-06-28 | Texas Instuments Incorporated | Method for etching contact vias in a semiconductor device |
US4783248A (en) * | 1987-02-10 | 1988-11-08 | Siemens Aktiengesellschaft | Method for the production of a titanium/titanium nitride double layer |
US4884123A (en) * | 1987-02-19 | 1989-11-28 | Advanced Micro Devices, Inc. | Contact plug and interconnect employing a barrier lining and a backfilled conductor material |
JPS63269546A (ja) * | 1987-04-27 | 1988-11-07 | Nec Corp | 半導体装置の製造方法 |
JPS63299251A (ja) * | 1987-05-29 | 1988-12-06 | Toshiba Corp | 半導体装置の製造方法 |
JPH0660391B2 (ja) * | 1987-06-11 | 1994-08-10 | 日電アネルバ株式会社 | スパッタリング装置 |
US4956313A (en) * | 1987-08-17 | 1990-09-11 | International Business Machines Corporation | Via-filling and planarization technique |
US4902645A (en) * | 1987-08-24 | 1990-02-20 | Fujitsu Limited | Method of selectively forming a silicon-containing metal layer |
JPS6460011A (en) * | 1987-08-31 | 1989-03-07 | Nec Corp | High frequency transistor amplifier |
EP0312986A1 (de) * | 1987-10-22 | 1989-04-26 | Siemens Aktiengesellschaft | Verfahren zum Rückätzen von Wolfram mit Titannitrid als Unterlage in Kontaktlöchern von höchstintegrierten Halbleiterschaltungen |
US4824544A (en) * | 1987-10-29 | 1989-04-25 | International Business Machines Corporation | Large area cathode lift-off sputter deposition device |
US4873565A (en) * | 1987-11-02 | 1989-10-10 | Texas Instruments Incorporated | Method and apparatus for providing interconnection between metallization layers on semiconductor devices |
JPH0654774B2 (ja) * | 1987-11-30 | 1994-07-20 | 株式会社東芝 | 半導体装置及びその製造方法 |
US4962414A (en) * | 1988-02-11 | 1990-10-09 | Sgs-Thomson Microelectronics, Inc. | Method for forming a contact VIA |
US4926237A (en) * | 1988-04-04 | 1990-05-15 | Motorola, Inc. | Device metallization, device and method |
JP2776826B2 (ja) * | 1988-04-15 | 1998-07-16 | 株式会社日立製作所 | 半導体装置およびその製造方法 |
FR2630587A1 (fr) * | 1988-04-22 | 1989-10-27 | Philips Nv | Procede pour etablir des contacts electriques de petites dimensions sur un dispositif semiconducteur |
US4822753A (en) * | 1988-05-09 | 1989-04-18 | Motorola, Inc. | Method for making a w/tin contact |
DE3881032T2 (de) * | 1988-05-26 | 1993-11-25 | Fairchild Semiconductor | Verbindungssystem von hoher Leistungsfähigkeit für eine integrierte Schaltung. |
US5027185A (en) * | 1988-06-06 | 1991-06-25 | Industrial Technology Research Institute | Polycide gate FET with salicide |
US4847111A (en) * | 1988-06-30 | 1989-07-11 | Hughes Aircraft Company | Plasma-nitridated self-aligned tungsten system for VLSI interconnections |
US5008730A (en) * | 1988-10-03 | 1991-04-16 | International Business Machines Corporation | Contact stud structure for semiconductor devices |
US5112693A (en) * | 1988-10-03 | 1992-05-12 | Ppg Industries, Inc. | Low reflectance, highly saturated colored coating for monolithic glazing |
JPH02170424A (ja) * | 1988-12-22 | 1990-07-02 | Nec Corp | 半導体装置の製造方法 |
US5084417A (en) * | 1989-01-06 | 1992-01-28 | International Business Machines Corporation | Method for selective deposition of refractory metals on silicon substrates and device formed thereby |
JP2537413B2 (ja) * | 1989-03-14 | 1996-09-25 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
US4920073A (en) * | 1989-05-11 | 1990-04-24 | Texas Instruments, Incorporated | Selective silicidation process using a titanium nitride protective layer |
US5169685A (en) * | 1989-06-12 | 1992-12-08 | General Electric Company | Method for forming non-columnar deposits by chemical vapor deposition |
US4994162A (en) * | 1989-09-29 | 1991-02-19 | Materials Research Corporation | Planarization method |
JP2732539B2 (ja) * | 1989-10-06 | 1998-03-30 | 日本電気株式会社 | 真空成膜装置 |
US5070391A (en) * | 1989-11-30 | 1991-12-03 | Sgs-Thomson Microelectronics, Inc. | Semiconductor contact via structure and method |
US5026470A (en) * | 1989-12-19 | 1991-06-25 | International Business Machines | Sputtering apparatus |
DE69129081T2 (de) * | 1990-01-29 | 1998-07-02 | Varian Associates | Gerät und Verfahren zur Niederschlagung durch einen Kollimator |
US5008217A (en) * | 1990-06-08 | 1991-04-16 | At&T Bell Laboratories | Process for fabricating integrated circuits having shallow junctions |
US4992135A (en) * | 1990-07-24 | 1991-02-12 | Micron Technology, Inc. | Method of etching back of tungsten layers on semiconductor wafers, and solution therefore |
US5138432A (en) * | 1990-08-30 | 1992-08-11 | Cornell Research Foundation, Inc. | Selective deposition of tungsten on TiSi2 |
KR100228259B1 (ko) * | 1990-10-24 | 1999-11-01 | 고지마 마따오 | 박막의 형성방법 및 반도체장치 |
JP2841976B2 (ja) * | 1990-11-28 | 1998-12-24 | 日本電気株式会社 | 半導体装置およびその製造方法 |
JP2660359B2 (ja) * | 1991-01-30 | 1997-10-08 | 三菱電機株式会社 | 半導体装置 |
US5187119A (en) * | 1991-02-11 | 1993-02-16 | The Boeing Company | Multichip module and integrated circuit substrates having planarized patterned surfaces |
US5143867A (en) * | 1991-02-13 | 1992-09-01 | International Business Machines Corporation | Method for depositing interconnection metallurgy using low temperature alloy processes |
US5243222A (en) * | 1991-04-05 | 1993-09-07 | International Business Machines Corporation | Copper alloy metallurgies for VLSI interconnection structures |
CA2061119C (en) * | 1991-04-19 | 1998-02-03 | Pei-Ing P. Lee | Method of depositing conductors in high aspect ratio apertures |
JPH05160070A (ja) * | 1991-05-31 | 1993-06-25 | Texas Instr Inc <Ti> | 半導体装置の接点とその製法 |
US5171412A (en) * | 1991-08-23 | 1992-12-15 | Applied Materials, Inc. | Material deposition method for integrated circuit manufacturing |
US5244836A (en) * | 1991-12-30 | 1993-09-14 | North American Philips Corporation | Method of manufacturing fusible links in semiconductor devices |
US5300813A (en) * | 1992-02-26 | 1994-04-05 | International Business Machines Corporation | Refractory metal capped low resistivity metal conductor lines and vias |
US5262354A (en) * | 1992-02-26 | 1993-11-16 | International Business Machines Corporation | Refractory metal capped low resistivity metal conductor lines and vias |
US5300812A (en) * | 1992-12-09 | 1994-04-05 | General Electric Company | Plasticized polyetherimide adhesive composition and usage |
US5817574A (en) * | 1993-12-29 | 1998-10-06 | Intel Corporation | Method of forming a high surface area interconnection structure |
-
1992
- 1992-02-26 US US07/841,967 patent/US5300813A/en not_active Expired - Lifetime
- 1992-08-12 US US07/928,335 patent/US5403779A/en not_active Expired - Lifetime
-
1993
- 1993-01-21 KR KR1019930000764A patent/KR0128264B1/ko not_active IP Right Cessation
- 1993-02-01 SG SG1997004072A patent/SG70045A1/en unknown
- 1993-02-01 SG SG200105658A patent/SG115407A1/en unknown
- 1993-02-01 EP EP93101519A patent/EP0561132B1/en not_active Expired - Lifetime
- 1993-02-01 SG SG1997004069A patent/SG70043A1/en unknown
- 1993-02-01 DE DE69329663T patent/DE69329663T2/de not_active Expired - Lifetime
- 1993-02-01 SG SG200201110A patent/SG111047A1/en unknown
- 1993-02-01 EP EP97105003A patent/EP0788156B1/en not_active Expired - Lifetime
- 1993-02-01 DE DE69332917T patent/DE69332917T2/de not_active Expired - Lifetime
- 1993-02-01 SG SG1996000500A patent/SG44450A1/en unknown
- 1993-02-01 EP EP99112665A patent/EP0966037B1/en not_active Expired - Lifetime
- 1993-02-01 SG SG1997004075A patent/SG70046A1/en unknown
- 1993-02-01 DE DE69333604T patent/DE69333604T2/de not_active Expired - Lifetime
- 1993-02-01 SG SG1997004071A patent/SG70044A1/en unknown
- 1993-02-01 SG SG200105657A patent/SG105511A1/en unknown
- 1993-02-18 JP JP5028812A patent/JP2516307B2/ja not_active Expired - Lifetime
- 1993-02-24 CN CN93101333A patent/CN1044649C/zh not_active Expired - Lifetime
- 1993-05-04 TW TW082103470A patent/TW291576B/zh not_active IP Right Cessation
- 1993-09-21 US US08/125,107 patent/US5426330A/en not_active Expired - Lifetime
-
1994
- 1994-09-15 CN CN94115341A patent/CN1081390C/zh not_active Expired - Lifetime
- 1994-11-22 US US08/346,208 patent/US5585673A/en not_active Expired - Lifetime
-
1996
- 1996-12-03 US US08/753,991 patent/US5889328A/en not_active Expired - Lifetime
-
1997
- 1997-01-28 KR KR1019970002510A patent/KR0134122B1/ko not_active IP Right Cessation
- 1997-01-28 KR KR1019970002511A patent/KR0134121B1/ko not_active IP Right Cessation
- 1997-01-28 KR KR1019970002512A patent/KR0134120B1/ko not_active IP Right Cessation
- 1997-09-25 CN CN97119558A patent/CN1111908C/zh not_active Expired - Lifetime
- 1997-09-25 CN CN97119556A patent/CN1112730C/zh not_active Expired - Lifetime
- 1997-09-25 CN CNB971195579A patent/CN1150597C/zh not_active Expired - Lifetime
-
1998
- 1998-07-10 US US09/113,917 patent/US5976975A/en not_active Expired - Lifetime
- 1998-07-10 US US09/113,916 patent/US6323554B1/en not_active Expired - Lifetime
- 1998-07-10 US US09/113,918 patent/US6147402A/en not_active Expired - Fee Related
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100385109B1 (ko) * | 1999-08-26 | 2003-05-22 | 샤프 가부시키가이샤 | 금속 배선의 제조방법 |
US6802985B1 (en) | 1999-08-26 | 2004-10-12 | Sharp Kabushiki Kaisha | Method for fabricating metal wirings |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR930018660A (ko) | 반도체 디바이스, 라이너와 금속도선 및 비아 제조방법 | |
US6136707A (en) | Seed layers for interconnects and methods for fabricating such seed layers | |
US5527739A (en) | Process for fabricating a semiconductor device having an improved metal interconnect structure | |
US6188135B1 (en) | Copper interconnect with top barrier layer | |
US5693563A (en) | Etch stop for copper damascene process | |
US6287954B1 (en) | Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity | |
US6566258B1 (en) | Bi-layer etch stop for inter-level via | |
US6140237A (en) | Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer | |
US20110068470A1 (en) | Apparatus For Making Interconnect Seed Layers And Products | |
US6117769A (en) | Pad structure for copper interconnection and its formation | |
US6054382A (en) | Method of improving texture of metal films in semiconductor integrated circuits | |
US6187670B1 (en) | Multi-stage method for forming optimized semiconductor seed layers | |
US6261946B1 (en) | Method for forming semiconductor seed layers by high bias deposition | |
US6080669A (en) | Semiconductor interconnect interface processing by high pressure deposition | |
US6146993A (en) | Method for forming in-situ implanted semiconductor barrier layers | |
US6063707A (en) | Selective PVD growth of copper on patterned structures by selectively resputtering and sputtering areas of a substrate | |
US6443743B1 (en) | Method for reducing via resistance in small high aspect ratio holes filled using aluminum extrusion | |
CA2514454A1 (en) | Sacrificial metal liner for copper interconnects | |
JP2002526926A (ja) | バリア層形成のための改善した方法 | |
US5948705A (en) | Method of forming interconnection line | |
KR100364260B1 (ko) | 반도체 집적 회로의 제조 방법 | |
US6838364B2 (en) | Sputtered tungsten diffusion barrier for improved interconnect robustness | |
KR930001311A (ko) | 반도체 장치의 금속 배선층 형성방법 | |
JP3445557B2 (ja) | チタン−タンタル障壁層薄膜及びその形成方法 | |
KR100574922B1 (ko) | 반도체장치의 다층배선구조 및 그 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120927 Year of fee payment: 16 |
|
EXPY | Expiration of term |