JP2516307B2 - 耐熱金属でキャップした低抵抗率の導体構造およびその形成方法 - Google Patents

耐熱金属でキャップした低抵抗率の導体構造およびその形成方法

Info

Publication number
JP2516307B2
JP2516307B2 JP5028812A JP2881293A JP2516307B2 JP 2516307 B2 JP2516307 B2 JP 2516307B2 JP 5028812 A JP5028812 A JP 5028812A JP 2881293 A JP2881293 A JP 2881293A JP 2516307 B2 JP2516307 B2 JP 2516307B2
Authority
JP
Japan
Prior art keywords
refractory metal
alloy
layer
metal
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP5028812A
Other languages
English (en)
Other versions
JPH05343532A (ja
Inventor
ラジブ・ヴィー・ジョシ
ジェローム・ジェイ・クオモ
ホルマズドヤール・エム・ダラール
ルイ・エル・シュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH05343532A publication Critical patent/JPH05343532A/ja
Application granted granted Critical
Publication of JP2516307B2 publication Critical patent/JP2516307B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/015Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、全般的には、半導体な
どの基板上の回路および関連するパッケージを相互接続
する導線およびバイアを有する回路装置及び製造方法に
関し、より詳細には、物理的蒸着すなわち物理的気相成
長(PVD)による低抵抗率金属の付着と化学的蒸着す
なわち化学的気相成長(CVD)による耐熱金属の付着
を組み合わせて使用して、基板内のシームまたはホール
を充填する低コストの方法に関する。本発明は特に、サ
ブミクロン回路の製造に適用される。
【0002】
【従来の技術】アルミニウムや銅などの低抵抗率金属と
それらの二元合金および三元合金は、半導体製造におけ
る細線相互接続として広く使用されている。細線相互接
続金属の典型例には、AlxCuy(xとyの合計が1に
等しく、xとyが共に0以上で1以下)や、Al−Pd
−Cu、AL−Pd−Nb、Al−Cu−Siなどの三
元合金、ならびにその他の類似の低抵抗率金属をベース
とする合金がある。今日、超大規模集積(VLSI)回
路製造においては、線幅寸法を小さくすることに力が注
がれているため、不十分な分離、電気移動、および平面
化など、信頼性の面で問題が生じている。
【0003】IBM Technical Disclosure Bulletin、
Vol.33, NO.5, pp.217〜218(1990年10月)所載
のアーン(Ahn)他の論文には、水素の存在中でWF6
SiH4の混合物を使用した選択的付着によって製造さ
れた、タングステンで包まれた銅導線およびバイア・ホ
ールを開示している。上記論文のようなカプセル封じさ
れた相互接続は、電気移動に対する抵抗力がかなり高
く、選択的なタングステン被膜の粒径が小さいため、反
射率が下がり、それによって、フォトレジスト・イメー
ジを集束し解像するフォトリソグラフィ・ツールの能力
が改善される。しかし、上記論文に記載された、低温を
使用して形成されたタングステン層はシリコンを豊富に
含有しており(たとえば、3〜4%)、ケイ化銅の形成
によって銅の抵抗率が低下するため、銅に対する良好な
拡散障壁とならない。したがって、低温で選択的手段に
よって拡散障壁を付着するのは困難である。さらに、ア
ーン他の技術は、通常は気体を放出する水分とWF6
反応によって形成される、線の底部でのドーナツ形状の
形成に依拠している。ドーナツ形状の形成は信頼性に欠
けると考えられている。
【0004】ドルトン(Dalton)他は、VMIC Conferenc
e, pp.289〜292(1990年6月12〜13日)におい
て、WF6のSiH4還元またはH2還元を伴うホット・
ウォールCVD反応によってアルミニウムまたは合金の
導体上に選択的タングステン層を形成すると、アルミニ
ウムとタングステンの界面でフッ素が取り込まれること
を指摘している。このフッ素の取込みは、式1で示すW
6とアルミニウムの反応の副産物である。
【0005】
【数1】 WF6 + 2Al −〉 2AlF3
W フッ化アルミニウムの薄い層は、金属1のバイアと金属
2のバイアの間の直列接触抵抗を増大させる。ドルトン
は、CVDを使用したタングステンのカプセル封じの前
に、アルミニウムの上面にTiW膜をスパッタすると、
フッ素吸着の問題が解決されると報告している。
【0006】ドルトンは、まず平面状表面上にアルミニ
ウムを付着し、スパッタしたTiW層で保護被覆してか
ら(従来の加工との唯一の違い)、フォトレジストによ
る結像および現像、続いて反応性イオン・エッチング
(RIE)によってアルミニウムをパターン化する、相
互接続形成のための従来の方式を開示している。次に、
このようにして形成された構造をSiO2やポリイミド
などの不動態化誘電体で保護被覆する。不動態化誘電体
自体をその後、パターン化し、RIEを施し、メタライ
ズして、多層構造を形成する。図1はドルトンの論文か
ら転載したものであり、従来の加工方式で作成された多
層デバイスが、誘電層中の、金属導線の位置にシームが
あり、上面が非常に不規則であることを示している。
【0007】RIEによって誘電体を平面化するのは困
難である。平面性は一部には、パターン密度に依存し、
表面が平面でないと、以後のメタライゼーション中にパ
ドリングの問題が発生する。ポリイミド上でRIE技術
を使用する場合、アルミニウムまたは銅をベースとする
導線をポリイミド表面までエッチングする際、導線の上
面からフォトレジストを除去するのにエッチ・ストップ
が必要である。これは、フォトレジスト除去工程でポリ
イミドも除去されてしまうからである。銅含有率の高い
アルミニウム合金または銅合金のRIEはきわめて困難
である。金属RIEを含む従来の方法の重大な欠点は、
微細形状をもつ場合に粒子の欠陥により多数の金属短絡
が発生しがちなことである。
【0008】米国特許第4824802号は、多段VL
SIメタライゼーション構造における段間誘電体バイア
または接点ホールを充填する方法を開示している。具体
的には、タングステンやモリブデンなどの中間金属を、
CVDによって絶縁体中の開口部に選択的に付着し、あ
るいは表面全体および絶縁体の開口部に非選択的に付着
し、次に、中間金属の上面に、アゾキノンノヴォラック
型レジスト、ポリメタクリレート、ポリイミド、または
他の熱可塑性材料などの平面化レジストを付着する。次
に、中間金属がレジストと同一平面になるレベルまでエ
ッチングすることにより、平面化構造が得られる。米国
特許第4824802号の方法では、エッチングに伴う
金属腐食その他の問題を回避できない。また、Al−C
uや他の軟質合金は、タングステンやモリブデンなどの
硬質金属と異なる特性を持つため、これらの軟質合金の
平面化にはこの方法は役に立たない。さらに、米国特許
第4824802号の方法を使用して、バイアおよび導
線を完全に充填することは困難である。
【0009】米国特許第4944836号は、基板上に
共平面の金属/絶縁体膜を形成するのに使用できる化学
機械式研磨技術を開示している。具体的には、米国特許
第4944836号では、下にある絶縁層をパターン化
し、Al−Cu膜を付着してから、希硝酸に溶かしたア
ルミナ・スラリで表面を機械的にこすってAl−Cuを
除去する、化学機械式研磨技術を使用することを考えて
いる。この研磨用コンパウンドは、Al−Cuの除去率
が下の絶縁体よりもかなり高くなる傾向がある。得られ
る構造は、絶縁層で平面化されたAl−Cu線を備えて
おり、多層構造を製造する際に後続の層が容易に付加で
きる。
【0010】米国特許第4956313号には、基板上
の第1の不動態化層の上面にAl−Cu合金線をパター
ン化し、Al−Cu合金線の輪郭と共形の、好ましくは
リンケイ酸ガラス(PSG)やホウリンケイ酸ガラス
(BPSG)などのドープ・ガラスである第2の不動態
層で線を保護被覆した後、第2の不動態層中にバイアを
形成して線を剥き出しにし、CVDによって第2の不動
態化層の表面上およびバイア内にタングステンを付着す
る、バイア充填および平面化技術が開示されている。こ
の特許では、CVDタングステンが共形となる特徴をも
ち、空隙を形成せずにバイアを充填できることが報告さ
れている。この構造は次に、研磨スラリによる研磨で平
面化される。
【0011】米国特許第4944836号も第4956
313号も、Al−Cu合金などの低抵抗率の軟質金属
には研磨が実用的でないことを認識していない。これ
は、スラリのために、このような金属の表面にひっかき
傷がついたり、表面が汚れたり、腐食する傾向があるか
らである。さらに、第4956313号による平面化構
造では、複数の加工段階が必要であり、コストが上昇し
生産高が低下する。
【0012】ロスナーゲル(Rossnagel)他は、J. Vac.
Sci. Technol. 2:261(1991年3月/4月)に所載
の論文で、リフトオフ・パターン化技術およびホール充
填に適合する被膜を付着するためのコリメート・マグネ
トロン・スパッタ付着技術を開示している。この技術
は、参照によって本明細書に組み込まれる米国特許第4
824544号でも提示されている。
【0013】シオザキ他は、Abstracts of the 19th Co
nference on Solid State Devicesand Materialsに所載
の論文で、MoSixなどの高抵抗率の硬質金属の上面
のホールを充填するために選択的タングステン付着を使
用することを開示している。これは、軟質金属のカプセ
ル封じとは無関係である。
【0014】
【発明が解決しようとする課題】本発明の目的は、加工
歩留りの高い方法を使って、サブミクロン・スケールで
基板上の回路を相互接続する、低コストで、耐食性およ
び耐摩耗性があり、電気移動の少ない電気導体を有する
回路装置を提供することである。
【0015】本発明の別の目的は、線をRIEにかけず
に、サブミクロン回路の製造に特に適した、カプセル封
じされた微細電気導線を低コストで形成する方法を提供
することである。
【0016】本発明の別の目的は、電気移動を減少させ
る耐摩耗性の硬質キャップを備えた、低抵抗率の導線ま
たはバイアを有する回路装置を提供することである。
【0017】本発明の別の目的は、CVD耐熱金属でカ
プセル封じされたPVD低抵抗率金属から形成された独
特な相互接続線を有する回路装置を提供することであ
る。
【0018】本発明の別の目的は、タングステンをCV
D付着する前に、耐熱金属、またはその合金もしくは化
合物から形成されたバイアまたは相互接続線中にライナ
を設ける段階を含む、高縦横比のバイアまたは相互接続
線におけるCVDタングステンの接着を促進する方法を
提供することである。
【0019】
【課題を解決するための手段】本発明によれば、耐食性
および耐摩耗性があり、電気移動が少ない電気導体をも
たらし、加工歩留りの高い、簡単で費用効果の高い技術
が実現される。本発明の回路装置は、基板と、上記基板
上に形成された誘電体層と、上記基板表面を露出するよ
うに上記誘電体層に形成された開口部と、上記誘電体層
の表面と同一平面にある高さから上記基板に向かって延
び、上記誘電体層の開口部中に形成された導電体とを備
え、上記導電体が、耐熱金属または耐熱金属の合金によ
りカプセル封止された低抵抗率の金属または合金であ
り、上記低抵抗率の金属または合金が、上記誘電体層の
上記表面と同じ高さの上記導電体の上記表面に向かって
相互に互いに近づくように傾斜した側壁を有し、上記耐
熱金属または耐熱金属の合金が不均一な傾斜組成で存在
し、上記基板に近い位置よりも、上記導電体の上記表面
付近の位置の方が、上記耐熱金属または耐熱金属の合金
に取り込まれたシリコンの含有量が多い。そして、上記
耐熱金属または耐熱金属の合金が、チタン、タングステ
ン、タンタル、クロム、ならびにそれらの合金から成る
群から選ばれる。そして、上記低抵抗率の金属または合
金の少なくとも一部分と、上記耐熱金属または耐熱金属
の合金との間に位置する導電性接着層を備える。そし
て、上記接着層が、チタン、タングステン、クロム、タ
ンタル、ならびにそれらの合金から成る群から選ばれ
る。集積回路基板上の誘電体層に形成された開口部内
に、銅または銅を含む合金を充填する本発明の方法は、 (a)方向性付着が支配的になる1ミリトルよりも低い
圧力で、コリメータを介して、上記開口部の底部に耐熱
金属または耐熱金属の合金の第1層をスパッタリングす
る工程と、 (b)散乱付着が支配的になる1ミリトルよりも高い圧
力で、コリメータを介して、上記第1層の上及び上記開
口部の側壁に耐熱金属または耐熱金属の合金の第2層を
スパッタリングする工程と、 (c)上記第2層で内側が覆われた上記開口部内に上記
銅または銅の合金を充填する工程とを含む。集積回路基
板上の誘電体層に形成された開口部内に、導電体を充填
する本発明の方法は、 (a)方向性付着が支配的になる1ミリトルよりも低い
圧力で、コリメータを介して、上記開口部の底部に耐熱
金属または耐熱金属の合金の第1層をスパッタリングす
る工程と、 (b)散乱付着が支配的になる1ミリトルよりも高い圧
力で、コリメータを介して、上記第1層の上及び上記開
口部の側壁に耐熱金属または耐熱金属の合金の第2層を
スパッタリングする工程と、 (c)上記導電体を、上記第2層で内側が覆われた上記
開口部のうち、上記誘電体の上面よりも低い高さまで充
填する工程と、 (d)上記開口部の残部に耐熱金属または耐熱金属の合
金を充填する工程とを含む。そして、上記工程(d)
は、WF6のSiH4還元によるタングステンの化学蒸着
により、上記開口部の残部にタングステンを充填する。
そして、上記SiH4とWF6の比は、上記タングステン
の上面の近くでSiの含有量が多くなるように変化され
る。
【0020】
【実施例】本発明は、全般的には、バイアおよび導線
が、耐食性および耐摩耗性があり電気移動が少ない比較
的硬質の耐熱金属でキャップされた軟質で低抵抗率の金
属を含み、かつバイアおよび導線が基板上に被覆された
誘電層と同一平面になっている、金属で充填したバイア
および導線を基板上に形成する方法に関するものであ
る。使用するPVD付着技術に応じて、図2ないし6、
図9ないし13、および図19ないし20に関して説明
する手順に従って、複数の異なる新規な構造が形成され
る。技術および形成される構造は、特定の基板および誘
電体オーバーレイの使用だけに限定されない(たとえ
ば、図2ないし6および図14ないし18に示すような
無機層と有機層の複合体も、単層無機絶縁体材料または
有機絶縁体材料と同様に使用できる)ことに留意された
い。さらに、本発明は特定の金属の組合せだけに限定さ
れるものではない。そうではなく、本発明の目的は、耐
摩耗性および耐食性があり、電気移動が少ない硬質耐熱
金属で軟質低抵抗率金属または合金を上張りすることで
ある。本発明は特に、アルミニウムおよび銅の合金を使
用する電気システムに関している。というのは、サブミ
クロン級のバイアおよびトレンチにおいて、耐熱金属ラ
イナ材料の均一な共形被覆がPVDコリメート・スパッ
タリングによって確実な高縦横比充填で付着できること
が分かったからである。耐熱金属ライナは、以後の加工
中、銅に対する有効な拡散障壁として働く。
【0021】図2に関して、まず、あとでパターン化さ
れる誘電体で基板10を被覆する。基板10は、シリコ
ン、ガリウムヒ素または集積回路の製作に適した他の材
料であることが好ましい。しかし、基板10はセラミッ
ク、ガラス、または半導体をパッケージし、薄膜相互接
続を形成するのに一般に使用される複合材料でもよい。
基板10には、電界効果トランジスタ(FET)、バイ
ポーラ・トランジスタ、抵抗体、ショットキー・ダイオ
ードなどを含めて、複数の半導体デバイスを形成するこ
とが好ましい。図7ないし8、図9ないし13、図14
ないし18、図19ないし20、図21の各図に示す基
板10は、上述の属性のみならず、当技術分野で既知の
他の多数の属性を持つことができることに留意された
い。
【0022】図2に示す誘電性複合体は、下部無機誘電
層11および上部無機誘電層13を備えており、これら
の誘電層は二酸化シリコン(SiO2)、窒化シリコン
(Si34)などでよい。下部無機誘電層11および上
部無機誘電層13は共に、まず導電性基板10上に90
トルでSiO2を付着し、可動イオン拡散に対する障壁
としてSi34(0.075〜0.1μm)を形成す
る、プラズマ強化化学蒸着(PECVD)法を使用して
付着することが好ましい。下部無機誘電層11と上部無
機誘電層13の間にポリイミドなどのより厚い有機誘電
層12を付着する。下部無機誘電層11、有機誘電層1
2、および上部無機誘電層13で形成される誘電性複合
体の代わりに、SiO2、リンケイ酸ガラス、ホウリン
ケイ酸ガラスなどの無機誘電体、またはポリイミドなど
の有機誘電体の単層も使用でき、これらは酸化雰囲気中
での成長、スパッタリング、PECVDなど周知のいく
つかの技術により付着できる。図2ないし6および図1
4ないし18は複合体構造を使用するステップを示し、
図7ないし8、図9ないし13、および図19ないし2
0は単一無機層もしくは単一誘電層を使用するステップ
を示しているが、この誘電層(たとえば、無機もしくは
有機)は本発明の実施を制限するものではなく、本発明
を実施する際に、どんな誘電体も単独もしくは組合せで
使用できることに留意されたい。
【0023】図2は、誘電性複合体中に形成された開口
部14を示している。この開口部は導線用のバイアまた
はトレンチとすることができる。VLSIの応用例で
は、基板10上または基板10内に形成された高密度の
複雑なパターンが回路を最終的に相互接続する、図2に
示すような数百ないし数千の開口部14が基板10にあ
る可能性が高い。開口部14は、まずコントラスト強化
リソグラフィ(CEL)を使用し、次にマルチウェーハ
・ツールによりCHF3およびO2を使って最適のオーバ
ーエッチでトレンチまたはホールのエッチングを行っ
て、開口部14が所望の寸法をもち、基板10の表面上
の接点まで延びて、バイア・スタッド・パターンを形成
するようにすることが好ましい。導線パターンの場合、
誘電層は、使用する金属厚さより約10%高い深さまで
部分的にエッチングすることが好ましい。ポリイミド1
2をエッチングする際は、低温でのO2 RIEが好ま
しい。図2ないし6、図9ないし13、図14ないし1
8、図19ないし20に示す開口部14の形成が当技術
分野で周知であり、多数の異なる技術で形成できること
に留意されたい。
【0024】図2に示したような上方に突き出したデバ
イスのない平坦な基板10とは対照的に、図7および8
に示すようなデバイス18が開始基板10上に形成され
ている場合、開口部22の形成前に、デバイス18上に
被覆された絶縁体20を平面化しておく必要がある。平
面化は、RIE、化学機械式研磨、RIEと化学機械式
研磨の組合せ、または他の手段で行うことができる。
【0025】図3ないし6は、平面パターン化構造が、
上部無機誘電層13上、および開口部14の底部で剥き
出しになった基板10上に付着された耐熱金属層15を
有する、本発明の第1の実施例である。この平面パター
ン化構造は、図2に示す構造、もしくは図8に示す構
造、または被覆された誘電体中に開口部14が形成され
た他の構造とすることができる。これは、マイセル(Ma
issel)およびグレン(Glen)編 Handbook of Thin Fil
m Technology(McGraw-Hill Co., 1983年、pp.1〜1
00)にもっともよく記載されているPVD技術を使用し
て実施する。この時点でPVDを使用することの重要な
特徴は、耐熱金属層15が誘電体中の開口部14の側壁
を被覆しないことである。本発明を実施する際に、米国
特許第4824544号に記載されたものと類似のPV
Dコリメート・スパッタリングが使用できるが、コリメ
ート・スパッタリングでは、図3とは対照的に、開口部
14の側壁を被覆する共形層が形成されることに留意さ
れたい。コリメート・スパッタリングによる耐熱金属ラ
イナの形成については、後で詳述する。耐熱金属は、チ
タン(Ti)、Ti/TiNなどのチタン合金または化
合物、タングステン(W)、チタン/タングステン(T
i/W)合金、またはクロム(Cr)もしくはタンタル
(Ta)およびそれらの合金、あるいは導電性酸化物、
それらの窒化物、ケイ化物のような他の適切な材料とす
ることができる。銅をベースとする線またはバイアを形
成する場合、銅の拡散障壁として働くことができる耐熱
金属を使用して、開口部14に付着された銅が後続の加
工中に基板10中に拡散しないようにする必要がある。
【0026】次に、蒸発を使用したPVDにより耐熱金
属層15上に単一、二元または三元メタライゼーション
16を付着する。この場合も、蒸発を使用するため、開
口部14の側壁は被覆されない。しかし、コリメート・
スパッタリングによって、メタライゼーション16を付
着することもできることに留意されたい。ただし、この
場合は、開口部14内および誘電スタックの上面におけ
るメタライゼーションの共形被覆が形成される。メタラ
イゼーションはAlxCuyであることが好ましい。ここ
で、xとyの合計は1に等しく、xとyは共に0以上、
1以下である。ただし、Al−Pd−Cuなどの三元合
金やAl−Pd−Nb−Auなどの多成分合金も適して
いる。メタライゼーション16の主要な特徴は、耐熱金
属層15に比べて低抵抗率で軟質の材料であることであ
る。線パターンまたは段間バイア・パターンを表す開口
部14は、線またはバイアの表面から100〜400n
mの深さまでメタライゼーション16で充填することが
好ましい。図9ないし13、図14ないし18、図19
ないし20に示すメタライゼーション16は、上記と同
じ種類のものであることに留意されたい。
【0027】図4は、タングステン、チタン、クロム、
タンタル、コバルト、ニッケル、モリブデン、またはT
i/TiNなどの合金または化合物のような耐熱金属1
7を構造上に付着するステップを示している。耐熱金属
17の付着には、1段階CVD、または図9ないし13
でもっともよく説明される2段階プロセスを用いること
ができる。2段階プロセスでは、第1段階でチタンや窒
化チタンなどの接着促進化合物のコリメート・スパッタ
リングなどによって共形ブランケット被覆を形成し、第
2段階で、タングステンの薄いCVD層を付着して共形
度を高める。耐熱金属のCVD付着には、WF6のSi
4還元またはH2還元を用いることが好ましい。特に好
ましいCVD手順では、WF6のSiH4還元の後にWF
6のH2還元を行う。これは、TiN層上では、タングス
テンのCVDがWF6のSiH4還元によって円滑に進行
するが、H2還元によってはそうでないためである。耐
熱金属17は、開口部14中の下部軟質低抵抗率メタラ
イゼーション16に、耐摩耗性および耐食性を有し電気
移動の少ない被覆を提供する。CVDと、WF6のSi
4還元を併用することの具体的な利点は、SiH4とW
6の比率を様々に変えて、タングステンに取り込むシ
リコンの量を変化させれば、有利な特性が得られること
である。たとえば、誘電体の開口部14を充填する際
に、SiH4とWF6の比率を0.5:1にして耐熱金属
17を付着すると、低抵抗率耐熱金属が得られるので好
ましい。しかし、誘電体の上面に向かっては、SiH4
とWF6の比率を2.0:1にして付着すると、シリコ
ンの取込み量が増え、耐摩耗性が向上するので好まし
い。上述のCVD技術を使用すると、シリコンでドープ
したタングステンを耐摩耗性被覆または研磨ストップと
して使用できる(たとえば、このタングステンは化学機
械式研磨で使用される、硝酸第二鉄に混ぜたアルミナ・
スラリに対する抵抗力が高い)。蒸着によって付着され
るメタライゼーション16は開口部14の側壁を被覆し
ないので、耐熱金属17をCVD付着すると、メタライ
ゼーション16の周りにテーパ付き側壁ができ、メタラ
イゼーション16は、耐熱金属17および下部耐熱金属
層15中に完全にカプセル封じされる。
【0028】図5および図6は、耐熱金属17をCVD
付着した後に構造を平面化して、硬質耐摩耗性耐熱金属
17中にカプセル封じされた中心の軟質低抵抗率メタラ
イゼーション16を備える、2部分からなる導電バイア
または線が、基板10上の誘電性複合体の上面と同一平
面にある上面を持つ、構造を形成するステップを示して
いる。希釈硝酸第二鉄に混ぜたアルミナなどのスラリに
よる化学機械式研磨、またはSF6もしくはCl2をベー
スとする薬品の存在中でのRIEによって、1段階また
は2段階で平面化を行うことができる。化学機械式研磨
を使用する場合、スラリは、スタック上のさまざまな金
属層を除去できるように選択できる。たとえば、希釈硝
酸第二鉄スラリに混ぜたアルミナを使って銅の上面のT
i/TiN/Wを除去でき、さらにアルミナなしのスラ
リに切り換えて、残りの銅を除去できる。耐熱金属17
をRIEで除去し、続いて上部の有機層または無機層上
の残りのメタライゼーション16および残りの耐熱金属
17を化学機械式に研磨することも可能である。可能な
特定の平面化手順としては、化学機械式研磨、あるいは
SF6もしくはCl2をベースとする薬品によるRIEに
よって、タングステン耐熱金属17を、図5に示すよう
にAl−Cu合金メタライゼーション16の表面まで除
去してから、タングステンをマスクとして使って、Al
−Cu CVD層をエッチングし、最後に誘電体層13
の表面上の残った耐熱金属層15を研磨するか、ウェッ
ト・エッチングするか、あるいはCl2中でRIEエッ
チングして、図6に示す構造を得る方法がある。
【0029】図9ないし図13は、本発明の別の実施例
を示している。ここでは、上述の諸要素と同じ要素は、
各図で同じ数字で示してある。図9に示すように、基板
10上に形成された無機または有機誘電層22中に形成
された開口部14に銅メタライゼーション16が付着さ
れている。図9に示す構造と図3に示す構造との主要な
違いは、銅メタライゼーションを付着する前に、コリメ
ート・スパッタリングによって、無機または有機誘電層
22の表面上と開口部14内に、チタン、窒化チタン
(TiN)、タングステン、タンタル、クロム、または
それらの合金や化合物などの耐熱金属の薄いライナ層2
4が付着されることである。
【0030】上述のように、コリメート・スパッタリン
グは、リフトオフ手順について述べた米国特許第482
4544号に全般的に記載されている。本発明では、方
向性付着が支配的になる低圧ではなく、散乱が支配的に
なる高圧でコリメート・スパッタリングを使用すると、
高縦横比のサブミクロン・レベルのトレンチまたはバイ
ア内に共形被覆を形成できる(たとえば、側壁と基部が
共に被覆される)ことが分かった。縦横比とは一般に、
トレンチの場合は高さと幅、バイアの場合は高さと直径
の比を指す。縦横比が2より大きなトレンチおよびバイ
アは一般に、縦横比が高いとみなされる。コリメート・
スパッタリングでは、圧力が1ミリトルより高い(好ま
しくは約3ミリトル)と散乱が支配的になる傾向があ
り、1ミリトルより低いと方向性付着が支配的になる。
コリメート・スパッタリングについては、後で、図14
ないし18および図19に関してより詳しく述べる。
【0031】図9に示すように、開口部14の底面およ
び側壁を耐熱金属24で完全に被覆することは、銅をベ
ースとする合金のメタライゼーション16を使用すると
き特に重要である。なぜなら、銅は、拡散障壁が設けら
れていない場合、以後の高温加工中に基板10中に拡散
して、デバイスを破壊するからである。蒸発もしくはコ
リメート・スパッタリング、またはその他の技術を使用
し、PVDにより銅メタライゼーション16を付着でき
る。高縦横比のサブミクロン級のホールを充填する際、
従来のCVD技術では、アルミニウムおよび銅をベース
とする合金でホールをうまく充填できなかった。したが
って、充填のための好ましい方法として、PVD技術を
用いる。
【0032】メタライゼーション16を付着した後、接
着を促進するため、銅メタライゼーション16表面上に
チタン、タングステン、Ti/TiN、タンタル、また
はクロムを付着する。次に、WF6のSiH4還元または
2還元によるCVDでタングステンなどの耐熱金属層
17を付着すると、図10に示す構造が得られる。上述
のとおり、CVD中にSiH4とWF6の比率を変える
と、耐熱金属層15の上面付近に、シリコンを取り込ん
だより硬質でより耐摩耗性の高いタングステン層が都合
よく形成できる。薄い界面層26は、下部の銅メタライ
ゼーション16を腐食しないものを選ぶ必要がある。耐
熱金属24と17は異なる材料にすることができる。
【0033】図11は研磨またはRIEによって第1の
タングステンを平面化するステップを示しており、図1
2はウェット・エッチングで銅を選択的に除去するステ
ップを示している。銅線または銅合金線を形成する際に
は、過酸化水素(H22)および四酸化水素(H24
をベースとするウェット・エッチ溶液を使って銅を平面
化するのが好都合である。室温では、この種の溶液はタ
ングステンまたは誘電体をエッチングしないが、誘電体
より上の位置にある銅はすべてエッチングによって除去
する。これは、このような銅がウェット・エッチ溶液か
ら保護されないためである(たとえば、室温において、
22はほぼ無限の選択性を持つ)。図13は、ウェッ
ト・エッチ後、RIE、研磨、またはその他の技術によ
り耐熱金属17(タングステン)を選択的に除去できる
ことを示している。耐熱金属層24の表面より上に突き
出したタングステン耐熱金属17を除去するための好ま
しい方法は、硝酸第二鉄スラリに混ぜたアルミナなどに
よる化学機械式研磨を用いるものである。タングステン
は、比較的硬質の材料なので、化学機械式研磨を受けて
もひっかき傷がついたり腐食したりしない。上述の3段
階法ではなく、化学機械式研磨を使用して1段階で、タ
ングステン耐熱金属17、界面層26の接着促進材、お
よび絶縁層22の表面より上の位置にある銅メタライゼ
ーション16を除去することが好ましいこともある。
【0034】図14ないし18は、本発明のさらに別の
実施例を示す。ここで、同じ数字は同じ要素を示してい
る。図14は、参照によって本明細書に組み込まれる、
J. Vac. Sci. Technol. 2:261(1991年3月/4
月)に所載のロスナーゲル(Rossnagel)らの論文およ
び米国特許第4824544号に記載されたようなコリ
メート・スパッタリングを使用して、メタライゼーショ
ンの前に、開口部14に完全にまたは部分的に共形的に
耐熱金属ライナ28を付着するステップを示している。
コリメート・スパッタリングでは、耐熱金属原子は、
0.5ミリトルより高い圧力のとき、深さと面積の縦横
比が1より大きな蜂の巣形構造を通過する。表1に、ラ
イナ付着に使用した条件を示す。
【0035】
【表1】 コリメーションによるライナの付着 縦横比 ステップ被覆度 底面/上面 側壁/上面(%) (%) 1:1 38 60 1:2 39 70 1:4 42 100 コリメーションなし 0 10 12。
【0036】表1に示した調査では、圧力は0.5ミリ
トルから15ミリトルまで、電力は0.5kWから12
kWまで変化させた。表1は明らかに、コリメーション
を使用しないとステップ被覆度が悪いことを示してい
る。より高い圧力(たとえば、3ミリトル)と、縦横比
が少なくとも1:1のコリメータを使用して、7:8を
上回る縦横比のバイアまたは接点をTi/TiN二重層
またはTi/W二重層で被覆したところ、ステップ被覆
度が、底部では40%を超え、側壁では30%を上回っ
た。これは、半導体製造業者が、高縦横比のトレンチま
たはバイア内に、CVDタングステンの接着を促進する
共形層を形成できるようになるので、当技術分野におい
て大きな進歩である。さらに、以下に詳述するとおり、
TiNまたは他の適切な材料の共形層を形成すると、銅
をベースとする合金に対する有効な拡散障壁が得られ
る。上述のように、トレンチまたはバイアの側壁および
底部を完全に被覆するには、方向性付着が支配的になる
低圧ではなく、散乱付着が支配的になる(たとえば、1
ミリトルより高い)圧力を使用する必要がある。
【0037】上述のコリメート・スパッタリングでTi
Nをその場で付着する場合、チタン・ターゲットの存在
下でN2プラズマをアルゴンと共に使用した。このライ
ナは、接着力を改善し、下部基板上のCVDタングステ
ンの腐食を防止できる。コリメータの縦横比が増すと、
ライナの共形度が上がる。
【0038】この構造中で、銅の線またはバイアを使用
するとき、拡散障壁として機能できる頑丈なライナが必
要である。耐熱ライナ(たとえば、Ta、Ti/TiN
やTi/Wなど)の低圧コリメーションによって、誘電
体の開口部14の側壁に多孔性構造が形成された。この
多孔性構造を防止し、側壁に高密度の構造を形成するた
め、2段階コリメーション・プロセスを使用した。具体
的には、第1段階で、0.8ミリトルより低い圧力で薄
いライナを付着し、60%を超える底部被覆率を、第2
段階では、圧力をその場で3ミリトルから4ミリトルに
高めて、同じコリメータを使用して側壁上に高密度のマ
イクロ構造を得た。本発明以前には、特に低温で高縦横
比のサブミクロン・ホール中にライナを形成する手段は
なかった。CVD耐熱金属17または低抵抗率軟質金属
の拡散障壁としてTi/W二重層ライナを使用した場合
も、Ti/TiN二重層ライナを使用した場合も、結果
は同様であった。
【0039】図15ないし18は、本発明の別の実施例
において、図3ないし6および図9ないし13に示すス
テップと類似のステップを使用することを示している。
図9の場合と同様、図15は、耐熱金属ライナの上面と
開口部14の底部に、PVD蒸着によりTi、Cr、T
a、Ti/Wなどの接着促進層26を付着することを示
している。図3の場合と同様、図15は、PVD蒸着技
術により、誘電体の表面から100〜400nm下のレ
ベルまでAl−Cu合金またはその他のメタライゼーシ
ョン16を付着するステップを示している。図16は、
タングステンまたはその他の耐熱金属の共形層を付着す
ることにより低抵抗率メタライゼーション16をキャッ
プするステップ、図17は、RIEもしくは研磨などに
よりタングステンを平面化するステップ、図18は、図
11および図12に示すように、H22によるアルミニ
ウム銅合金の2段階ウェット・エッチングを施し、続い
てタングステンの化学機械式研磨を施して構造を平面化
するか、あるいは1段階のRIEもしくは化学機械式研
磨により単に平面化するステップを示す。1段階の化学
機械式研磨では、タングステン研磨に使用するものと同
様のスラリが使用できる。図6に示す構造と同様、図1
8に示す構造は、テーパ付き耐熱金属17領域がある低
抵抗率メタライゼーション16をカプセル封じするCV
D耐熱金属17を有する。耐熱金属28と17は異なる
材料にすることができる。
【0040】図19および20は、本発明のさらに別の
実施例を示す。ここで、同じ要素は同じ数字で示してあ
る。図19に示すように、共形の好ましくは耐熱金属の
ライナ/拡散障壁層28と、共形AlxCuy(x+y=
1、0≦x≦1、0≦y≦1)または他の適切な低抵抗
率合金もしくはメタライゼーション16の層を共に、P
VDコリメート・スパッタリング手順によって基板10
上の有機または無機誘電層22の開口部に付着する。次
に、CVDによりタングステン、チタン、タンタルなど
の耐熱金属17キャッピング層を付着し、構造をブラン
ケット被覆する。図20は、次にRIE、化学機械式研
磨、またはその他の技術を使用して構造を平面化するス
テップを示している。図20の構造と図18の構造を比
較すると、バイアまたは線の形状が全く異なることが分
かる。どちらの構造も、耐熱金属17でキャップされた
低抵抗率メタライゼーション16を備えているが、2つ
のメタライゼーションはおそらく異なる環境で機能す
る。
【0041】上述の技術に従って製造した耐熱金属でキ
ャップした線で実験を行った。実験では、線の長さを1
3.5cmから50cmまで変化させ、チップの面積を
1.6mm2近くとした。金属ピッチは1μmから2μ
mまで変化させた。充填したホールの縦横比は2〜8、
線の場合は2〜4とした。表2に、抵抗率試験の結果を
示す。
【0042】
【表2】 研磨後のタングステンでキャップした低抵抗率金属の線抵抗率a (A)蒸着を使用して形成b 構造 W付着前の抵抗率 W付着後の抵抗率 歩留り Ti(20nm)/TiN(35nm)/Al−Cu(800nm)/W(20 0nm) 0.042 0.04 95% Ti(20nm)/Al−Cu(800nm)/W(200nm) 0.042 0.052 95% Ti(20nm)/Al−Cu(800nm)/Ti(20nm)/W(200 nm) 0.042 0.054 94% Ti(20nm)/TiN(25nm)/CVD W(30nm)/Al−Cu (1300nm)/W(200nm) 0.025 0.023 97% Ti(50nm)/TiN(25nm)/Ti(20nm)/Al−Cu(13 00nm)/Ti(20nm)/TiN(20nm)/W(200nm) 0.025 0.032 96% Ti(50nm)/TiN(50nm)/Ti(50nm)/Al−Cu(60 0nm)/Ti(20nm)/Cu(700nm)/Ti(20nm)/TiN (20nm)/CVD W(200nm) 0.023 0.021 100% (B)コリメート・スパッタリングを使用して形成c Ti(20nm)/TiN(35nm)/Al−Cu(800nm)/CVD W(200nm) 0.042 0.04 84% Ti(20nm)/Al−Cu(800nm)/CVD W(200nm) 0.042 0.05 81% Ti(20nm)/W(20nm)/Al−Cu(800nm)/CVD W( 200nm) 0.040 0.039 85% Ti(20nm)/TiN(75nm)/Cu(800nm)/Ti(20nm )/TiN(25nm)/CVD W(200nm) 0.024 0.022 80% (C)コリメーションなしのスパッタリングで形成d Ti(20nm)/TiN(35nm)/Al−Cu(800nm)/CVD W(200nm) 0.040 0.04 86% a)すべての実験で、線の長さは13.5cmから50
cmまで変化させ、チップの面積は1.6mm2近くと
した。金属ピッチは1μmから2μmまで変化させた。
充填したホールの縦横比は2〜8、線の場合は2〜4と
した。 b)蒸着実験では、コリメート・スパッタリングでTi
/TiN二重層を付着した。 c)コリメーション縦横比1:1 d)スパッタリング圧力の範囲0.5〜0.8ミリトル 表2の結果は、この新規技術の加工歩留りが非常に良
く、タングステン・キャップが存在しても線抵抗率はそ
れほど影響を受けないことを示している。上記データの
一部は、Al−Cu合金の真下にチタンが存在すると抵
抗率が増すことを示している。これは、界面にTiAl
3が形成されるためである。チタンとアルミニウム銅層
の間にチタンの合金または化合物(たとえばTiN)を
設けると、TiAl3が形成されなくなり、抵抗率が低
く維持される。表2の1番下の項目は、コリメーション
なしのスパッタリングを使用する場合、スパッタリング
は、圧力が低く(たとえば、1ミリトルを下回る)、方
向性付着が卓越する条件で行う必要があることを示して
いる。
【0043】化学機械式研磨の後、耐熱金属キャップ上
でH22またはH24を使ってRIEまたはウェット・
エッチを行うと、キャッピング層の厚さを最低限にする
うえで好都合であると予想される。耐熱金属の層が厚い
と、キャパシタンスが増大し、好ましくない。研磨後の
ウェット・エッチ手順またはRIE手順(たとえばSF
6エッチング)が可能になるため、半導体設計者は、耐
熱金属の厚い層を使用して、化学機械式研磨の際下部の
低抵抗率Al−Cu線またはバイアを最大限に保護で
き、しかも次に余分な耐熱金属を除去することにより、
上部に耐熱金属がほとんどない構造を形成できる。たと
えば、厚さ500〜600nmの耐熱層を付着し、これ
を使って研磨による損傷から保護し、次にウェット・エ
ッチングまたはRIEにより耐熱金属層を50nmの厚
さまで縮小することができる。
【0044】図21は、最上部の絶縁体層と同一平面に
あるタングステンでキャップしたAlxuy合金線があ
る上面を備えた、1つの多レベル半導体デバイスの例を
示している。上記で詳述したように、CVDタングステ
ンを含むバイアまたはトレンチは、接着を促進するた
め、コリメート・スパッタリングで形成したTiNライ
ナ層を備えることが好ましい。本発明の実施の範囲内で
他の多数の半導体デバイスも製造できる。
【0045】
【発明の効果】本発明により、耐食性および耐摩耗性が
あり、電気移動が少ない電気導体をもたらす、加工歩留
りの高い、簡単で費用効果の高い技術が提供される。も
っとも重要な点として、この技術では、最初に平面状表
面だけで付着を行うので、厄介な誘電体平面化ステップ
が必要でない。
【図面の簡単な説明】
【図1】不均一な上面を示す、従来の技術による半導体
基板の横断面図である。
【図2】本発明の1実施例を示す、半導体基板の横断面
図である。
【図3】本発明の1実施例を示す、半導体基板の横断面
図である。
【図4】本発明の1実施例を示す、半導体基板の横断面
図である。
【図5】本発明の1実施例を示す、半導体基板の横断面
図である。
【図6】本発明の1実施例を示す、半導体基板の横断面
図である。
【図7】絶縁体のパターン化に先立って、平面化の前の
絶縁体で保護被覆したデバイスを備えた基板の横断面図
である。
【図8】絶縁体のパターン化に先立って、平面化の後の
絶縁体で保護被覆したデバイスを備えた基板の横断面図
である。
【図9】本発明の別の実施例を示す、半導体基板の横断
面図である。
【図10】本発明の別の実施例を示す、半導体基板の横
断面図である。
【図11】本発明の別の実施例を示す、半導体基板の横
断面図である。
【図12】本発明の別の実施例を示す、半導体基板の横
断面図である。
【図13】本発明の別の実施例を示す、半導体基板の横
断面図である。
【図14】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図15】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図16】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図17】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図18】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図19】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図20】本発明のさらに別の実施例を示す、半導体基
板の横断面図である。
【図21】CVDタングステンでPVD AlxCUy
キャップした典型的な多レベル埋込み構造の断面図であ
る。
【符号の説明】
10 基板 11 上部無機誘電層 12 有機誘電層 13 下部無機誘電層 14 開口部 15 耐熱金属層 16 メタライゼーション 17 耐熱金属 18 デバイス 20 絶縁体 24 耐熱金属 28 耐熱金属ライナ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェローム・ジェイ・クオモ アメリカ合衆国10540、ニューヨーク州 リンカーン・デール、ロヴェル・ストリ ート(番地なし) (72)発明者 ホルマズドヤール・エム・ダラール アメリカ合衆国12547、ニューヨーク州 ミルトン、カッセル・ロード 16 (72)発明者 ルイ・エル・シュー アメリカ合衆国12524、ニューヨーク州 フィッシュキル、クロズビー・コート 7 (56)参考文献 特開 昭59−121835(JP,A) 特開 昭60−117719(JP,A) 特開 昭58−21844(JP,A) 特開 平3−123021(JP,A)

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】基板と、 上記基板上に形成された誘電体層と、 上記基板表面を露出するように上記誘電体層に形成され
    た開口部と、 上記誘電体層の表面と同一平面にある高さから上記基板
    に向かって延び、上記誘電体層の開口部中に形成された
    導電体とを備え、 上記導電体が、耐熱金属または耐熱金属の合金によりカ
    プセル封止された低抵抗率の金属または合金であり、上
    記低抵抗率の金属または合金が、上記誘電体層の上記表
    面と同じ高さの上記導電体の上記表面に向かって相互に
    互いに近づくように傾斜した側壁を有し、 上記耐熱金属または耐熱金属の合金が不均一な傾斜組成
    で存在し、上記基板に近い位置よりも、上記導電体の上
    記表面付近の位置の方が、上記耐熱金属または耐熱金属
    の合金に取り込まれたシリコンの含有量が多いことを特
    徴とする、回路装置。
  2. 【請求項2】上記耐熱金属または耐熱金属の合金が、チ
    タン、タングステン、タンタル、クロム、ならびにそれ
    らの合金から成る群から選ばれることを特徴とする、請
    求項1に記載の回路装置。
  3. 【請求項3】上記低抵抗率の金属または合金の少なくと
    も一部分と、上記耐熱金属または耐熱金属の合金との間
    に位置する導電性接着層を備えることを特徴とする、請
    求項1に記載の回路装置。
  4. 【請求項4】上記接着層が、チタン、タングステン、ク
    ロム、タンタル、ならびにそれらの合金から成る群から
    選ばれることを特徴とする、請求項3に記載の回路装
    置。
  5. 【請求項5】集積回路基板上の誘電体層に形成された開
    口部内に、銅または銅を含む合金を充填する方法におい
    て、 (a)方向性付着が支配的になる1ミリトルよりも低い
    圧力で、コリメータを介して、上記開口部の底部に耐熱
    金属または耐熱金属の合金の第1層をスパッタリングす
    る工程と、 (b)散乱付着が支配的になる1ミリトルよりも高い圧
    力で、コリメータを介して、上記第1層の上及び上記開
    口部の側壁に耐熱金属または耐熱金属の合金の第2層を
    スパッタリングする工程と、 (c)上記第2層で内側が覆われた上記開口部内に上記
    銅または銅の合金を充填する工程とを含む上記方法。
  6. 【請求項6】集積回路基板上の誘電体層に形成された開
    口部内に、導電体を充填する方法において、 (a)方向性付着が支配的になる1ミリトルよりも低い
    圧力で、コリメータを介して、上記開口部の底部に耐熱
    金属または耐熱金属の合金の第1層をスパッタリングす
    る工程と、 (b)散乱付着が支配的になる1ミリトルよりも高い圧
    力で、コリメータを介して、上記第1層の上及び上記開
    口部の側壁に耐熱金属または耐熱金属の合金の第2層を
    スパッタリングする工程と、 (c)上記導電体を、上記第2層で内側が覆われた上記
    開口部のうち、上記誘電体の上面よりも低い高さまで充
    填する工程と、 (d)上記開口部の残部に耐熱金属または耐熱金属の合
    金を充填する工程とを含む上記方法。
  7. 【請求項7】上記工程(d)は、WF6のSiH4還元に
    よるタングステンの化学蒸着により、上記開口部の残部
    にタングステンを充填することを特徴とする請求項6に
    記載の方法。
  8. 【請求項8】上記SiH4とWF6の比は、上記タングス
    テンの上面の近くでSiの含有量が多くなるように変化
    されることを特徴とする請求項7に記載の方法。
JP5028812A 1992-02-26 1993-02-18 耐熱金属でキャップした低抵抗率の導体構造およびその形成方法 Expired - Lifetime JP2516307B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/841,967 US5300813A (en) 1992-02-26 1992-02-26 Refractory metal capped low resistivity metal conductor lines and vias
US841967 1992-02-26

Publications (2)

Publication Number Publication Date
JPH05343532A JPH05343532A (ja) 1993-12-24
JP2516307B2 true JP2516307B2 (ja) 1996-07-24

Family

ID=25286205

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5028812A Expired - Lifetime JP2516307B2 (ja) 1992-02-26 1993-02-18 耐熱金属でキャップした低抵抗率の導体構造およびその形成方法

Country Status (8)

Country Link
US (8) US5300813A (ja)
EP (3) EP0788156B1 (ja)
JP (1) JP2516307B2 (ja)
KR (4) KR0128264B1 (ja)
CN (5) CN1044649C (ja)
DE (3) DE69329663T2 (ja)
SG (8) SG70043A1 (ja)
TW (1) TW291576B (ja)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
DE69327600T2 (de) * 1992-02-28 2000-06-21 St Microelectronics Inc Herstellungsverfahren von Submikronkontakten
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5596172A (en) * 1993-05-07 1997-01-21 Motorola, Inc. Planar encapsulation process
US5412250A (en) * 1993-09-24 1995-05-02 Vlsi Technology, Inc. Barrier enhancement at the salicide layer
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JP2699839B2 (ja) * 1993-12-03 1998-01-19 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) * 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
US5430328A (en) * 1994-05-31 1995-07-04 United Microelectronics Corporation Process for self-align contact
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
EP0697730B1 (en) * 1994-08-05 1999-11-24 International Business Machines Corporation Method of forming an Al-Ge alloy with WGe polishing stop
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
KR0171069B1 (ko) * 1994-10-27 1999-03-30 문정환 반도체 장치의 접촉부 형성방법
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
WO1996016436A1 (en) * 1994-11-18 1996-05-30 Advanced Micro Devices, Inc. Method of making a chemical-mechanical polishing slurry and the polishing slurry
US5580823A (en) * 1994-12-15 1996-12-03 Motorola, Inc. Process for fabricating a collimated metal layer and contact structure in a semiconductor device
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
DE69527344T2 (de) * 1994-12-29 2003-02-27 St Microelectronics Inc Verfahren zur Herstellung einer Halbleiterverbindungsstruktur
EP0720078B1 (en) * 1994-12-30 1999-04-28 Co.Ri.M.Me. Threshold voltage extracting method and circuit using the same
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5920296A (en) * 1995-02-01 1999-07-06 Pixel International Flat screen having individually dipole-protected microdots
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
TW290731B (ja) * 1995-03-30 1996-11-11 Siemens Ag
US6348708B1 (en) * 1995-04-10 2002-02-19 Lg Semicon Co., Ltd. Semiconductor device utilizing a rugged tungsten film
TW298674B (ja) * 1995-07-07 1997-02-21 At & T Corp
US5747879A (en) * 1995-09-29 1998-05-05 Intel Corporation Interface between titanium and aluminum-alloy in metal stack for integrated circuit
KR19990063767A (ko) * 1995-09-29 1999-07-26 피터 엔. 데트킨 전용챔버 증착된 두개의 티타늄 박층을 가진 집적회로용 금속적층
US5573633A (en) * 1995-11-14 1996-11-12 International Business Machines Corporation Method of chemically mechanically polishing an electronic component
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09148431A (ja) * 1995-11-21 1997-06-06 Nec Corp 半導体装置の製造方法
KR0175410B1 (ko) * 1995-11-21 1999-02-01 김광호 액정 표시 장치용 박막 트랜지스터 기판 및 그 제조 방법
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US5776836A (en) * 1996-02-29 1998-07-07 Micron Technology, Inc. Self aligned method to define features smaller than the resolution limit of a photolithography system
US5950099A (en) * 1996-04-09 1999-09-07 Kabushiki Kaisha Toshiba Method of forming an interconnect
US5654234A (en) * 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5756396A (en) * 1996-05-06 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US5783485A (en) * 1996-07-19 1998-07-21 Motorola, Inc. Process for fabricating a metallized interconnect
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5965459A (en) * 1996-10-11 1999-10-12 International Business Machines Corporation Method for removing crevices induced by chemical-mechanical polishing
US6020263A (en) * 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US5849367A (en) * 1996-12-11 1998-12-15 Texas Instruments Incorporated Elemental titanium-free liner and fabrication process for inter-metal connections
TW417178B (en) * 1996-12-12 2001-01-01 Asahi Chemical Ind Method for making semiconductor device
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6110828A (en) * 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
JPH10209279A (ja) * 1997-01-27 1998-08-07 Matsushita Electron Corp 金属プラグの形成方法
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6268661B1 (en) * 1999-08-31 2001-07-31 Nec Corporation Semiconductor device and method of its fabrication
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
US6080665A (en) * 1997-04-11 2000-06-27 Applied Materials, Inc. Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
JP3111924B2 (ja) * 1997-04-11 2000-11-27 日本電気株式会社 半導体装置の製造方法
US6139905A (en) * 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US5981374A (en) * 1997-04-29 1999-11-09 International Business Machines Corporation Sub-half-micron multi-level interconnection structure and process thereof
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6240199B1 (en) 1997-07-24 2001-05-29 Agere Systems Guardian Corp. Electronic apparatus having improved scratch and mechanical resistance
US5989623A (en) 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6080655A (en) 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
US6096576A (en) * 1997-09-02 2000-08-01 Silicon Light Machines Method of producing an electrical interface to an integrated circuit device having high density I/O count
US5994775A (en) * 1997-09-17 1999-11-30 Lsi Logic Corporation Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
JP3545177B2 (ja) * 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
US5990011A (en) * 1997-09-18 1999-11-23 Micron Technology, Inc. Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US6133139A (en) 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
US6060388A (en) * 1997-10-29 2000-05-09 International Business Machines Corporation Conductors for microelectronic circuits and method of manufacture
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6129613A (en) * 1998-01-30 2000-10-10 Philips Electronics North America Corp. Semiconductor manufacturing apparatus and method for measuring in-situ pressure across a wafer
US6424040B1 (en) * 1998-02-04 2002-07-23 Texas Instruments Incorporated Integration of fluorinated dielectrics in multi-level metallizations
KR100275728B1 (ko) 1998-02-24 2001-01-15 윤종용 반도체장치의 장벽 금속막의 제조방법 및 이를 이용한 반도체장치의 금속배선막의 제조방법
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
US6281121B1 (en) * 1998-03-06 2001-08-28 Advanced Micro Devices, Inc. Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal
JP3116897B2 (ja) * 1998-03-18 2000-12-11 日本電気株式会社 微細配線形成方法
US6303881B1 (en) 1998-03-20 2001-10-16 Viasystems, Inc. Via connector and method of making same
US6455937B1 (en) * 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6598291B2 (en) 1998-03-20 2003-07-29 Viasystems, Inc. Via connector and method of making same
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6218306B1 (en) * 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6022800A (en) * 1998-04-29 2000-02-08 Worldwide Semiconductor Manufacturing Corporation Method of forming barrier layer for tungsten plugs in interlayer dielectrics
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6127276A (en) * 1998-06-02 2000-10-03 United Microelectronics Corp Method of formation for a via opening
US6056869A (en) * 1998-06-04 2000-05-02 International Business Machines Corporation Wafer edge deplater for chemical mechanical polishing of substrates
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6211087B1 (en) * 1998-06-29 2001-04-03 Vlsi Technology, Inc. Chemical wet etch removal of underlayer material after performing chemical mechanical polishing on a primary layer
US6391771B1 (en) * 1998-07-23 2002-05-21 Applied Materials, Inc. Integrated circuit interconnect lines having sidewall layers
US6303986B1 (en) 1998-07-29 2001-10-16 Silicon Light Machines Method of and apparatus for sealing an hermetic lid to a semiconductor die
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6060383A (en) * 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
TW436366B (en) * 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6048787A (en) * 1998-09-08 2000-04-11 Winbond Electronics Corp. Borderless contacts for dual-damascene interconnect process
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6150269A (en) * 1998-09-11 2000-11-21 Chartered Semiconductor Manufacturing Company, Ltd. Copper interconnect patterning
US6180506B1 (en) 1998-09-14 2001-01-30 International Business Machines Corporation Upper redundant layer for damascene metallization
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
US6245668B1 (en) * 1998-09-18 2001-06-12 International Business Machines Corporation Sputtered tungsten diffusion barrier for improved interconnect robustness
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
JP3169907B2 (ja) * 1998-09-25 2001-05-28 日本電気株式会社 多層配線構造およびその製造方法
US6069082A (en) * 1998-10-13 2000-05-30 Chartered Semiconductor Manufacturing Ltd. Method to prevent dishing in damascene CMP process
US6149776A (en) * 1998-11-12 2000-11-21 Applied Materials, Inc. Copper sputtering target
US6909114B1 (en) 1998-11-17 2005-06-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having LDD regions
US6184137B1 (en) 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
KR100493013B1 (ko) * 1998-11-30 2005-08-01 삼성전자주식회사 반도체소자의 금속 배선층 형성방법_
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
US6140240A (en) * 1999-01-07 2000-10-31 Vanguard International Semiconductor Corporation Method for eliminating CMP induced microscratches
US6358790B1 (en) 1999-01-13 2002-03-19 Agere Systems Guardian Corp. Method of making a capacitor
US6323537B1 (en) * 1999-01-13 2001-11-27 Agere Systems Guardian Corp. Capacitor for an integrated circuit
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6350690B1 (en) * 1999-04-09 2002-02-26 Advanced Micro Devices, Inc. Process for achieving full global planarization during CMP of damascene semiconductor structures
US6235633B1 (en) 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6329280B1 (en) 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
JP2001036080A (ja) 1999-07-26 2001-02-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
JP2001135168A (ja) * 1999-08-26 2001-05-18 Sharp Corp 金属配線の製造方法
US7071557B2 (en) 1999-09-01 2006-07-04 Micron Technology, Inc. Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6734559B1 (en) 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6348736B1 (en) 1999-10-29 2002-02-19 International Business Machines Corporation In situ formation of protective layer on silsesquioxane dielectric for dual damascene process
US6417106B1 (en) 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
JP4236778B2 (ja) * 1999-11-01 2009-03-11 株式会社ルネサステクノロジ 半導体装置
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6403465B1 (en) 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
JP2001223460A (ja) * 2000-02-08 2001-08-17 Fujitsu Ltd 実装回路基板及びその製造方法
US6633083B2 (en) * 2000-02-28 2003-10-14 Advanced Micro Devices Inc. Barrier layer integrity test
EP1143506A3 (en) * 2000-04-04 2004-02-25 Nippon Telegraph and Telephone Corporation Pattern forming method
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP2002050767A (ja) * 2000-08-04 2002-02-15 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6617689B1 (en) * 2000-08-31 2003-09-09 Micron Technology, Inc. Metal line and method of suppressing void formation therein
US7548015B2 (en) * 2000-11-02 2009-06-16 Danfoss A/S Multilayer composite and a method of making such
US7400080B2 (en) * 2002-09-20 2008-07-15 Danfoss A/S Elastomer actuator and a method of making an actuator
DE10054247C2 (de) * 2000-11-02 2002-10-24 Danfoss As Betätigungselement und Verfahren zu seiner Herstellung
US8181338B2 (en) * 2000-11-02 2012-05-22 Danfoss A/S Method of making a multilayer composite
US7518284B2 (en) * 2000-11-02 2009-04-14 Danfoss A/S Dielectric composite and a method of manufacturing a dielectric composite
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6388327B1 (en) 2001-01-09 2002-05-14 International Business Machines Corporation Capping layer for improved silicide formation in narrow semiconductor structures
JP2002208633A (ja) * 2001-01-10 2002-07-26 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002217292A (ja) 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US7087997B2 (en) * 2001-03-12 2006-08-08 International Business Machines Corporation Copper to aluminum interlayer interconnect using stud and via liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
US6504225B1 (en) * 2001-04-18 2003-01-07 Advanced Micro Devices, Inc. Teos seaming scribe line monitor
US6743666B1 (en) * 2001-04-27 2004-06-01 Advanced Micro Devices, Inc. Selective thickening of the source-drain and gate areas of field effect transistors
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US7372160B2 (en) * 2001-05-31 2008-05-13 Stmicroelectronics, Inc. Barrier film deposition over metal for reduction in metal dishing after CMP
JP2002367998A (ja) * 2001-06-11 2002-12-20 Ebara Corp 半導体装置及びその製造方法
US6521523B2 (en) 2001-06-15 2003-02-18 Silicon Integrated Systems Corp. Method for forming selective protection layers on copper interconnects
US6782205B2 (en) 2001-06-25 2004-08-24 Silicon Light Machines Method and apparatus for dynamic equalization in wavelength division multiplexing
US6747781B2 (en) 2001-06-25 2004-06-08 Silicon Light Machines, Inc. Method, apparatus, and diffuser for reducing laser speckle
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
US6829092B2 (en) 2001-08-15 2004-12-07 Silicon Light Machines, Inc. Blazed grating light valve
JP2003068848A (ja) 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6815342B1 (en) * 2001-11-27 2004-11-09 Lsi Logic Corporation Low resistance metal interconnect lines and a process for fabricating them
EP1466149B1 (en) * 2001-12-21 2008-01-23 Danfoss A/S Dielectric actuator or sensor structure and method of making it
KR100435784B1 (ko) * 2001-12-21 2004-06-12 동부전자 주식회사 반도체 소자의 금속배선 형성 방법
US6800238B1 (en) 2002-01-15 2004-10-05 Silicon Light Machines, Inc. Method for domain patterning in low coercive field ferroelectrics
US6770566B1 (en) 2002-03-06 2004-08-03 Cypress Semiconductor Corporation Methods of forming semiconductor structures, and articles and devices formed thereby
US7294567B2 (en) * 2002-03-11 2007-11-13 Micron Technology, Inc. Semiconductor contact device and method
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US6767751B2 (en) 2002-05-28 2004-07-27 Silicon Light Machines, Inc. Integrated driver process flow
US6822797B1 (en) 2002-05-31 2004-11-23 Silicon Light Machines, Inc. Light modulator structure for producing high-contrast operation using zero-order light
US6829258B1 (en) 2002-06-26 2004-12-07 Silicon Light Machines, Inc. Rapidly tunable external cavity laser
US6714337B1 (en) 2002-06-28 2004-03-30 Silicon Light Machines Method and device for modulating a light beam and having an improved gamma response
US6813059B2 (en) 2002-06-28 2004-11-02 Silicon Light Machines, Inc. Reduced formation of asperities in contact micro-structures
US6801354B1 (en) 2002-08-20 2004-10-05 Silicon Light Machines, Inc. 2-D diffraction grating for substantially eliminating polarization dependent losses
US6712480B1 (en) 2002-09-27 2004-03-30 Silicon Light Machines Controlled curvature of stressed micro-structures
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
AU2003287874A1 (en) * 2002-12-12 2004-06-30 Danfoss A/S Tactile sensor element and sensor array
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
ATE398988T1 (de) 2003-02-24 2008-07-15 Danfoss As Elektroaktive elastische kompressionsbandage
US6829077B1 (en) 2003-02-28 2004-12-07 Silicon Light Machines, Inc. Diffractive light modulator with dynamically rotatable diffraction plane
US6806997B1 (en) 2003-02-28 2004-10-19 Silicon Light Machines, Inc. Patterned diffractive light modulator ribbon for PDL reduction
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US20040245636A1 (en) * 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
JP4130621B2 (ja) * 2003-10-30 2008-08-06 株式会社東芝 半導体装置およびその製造方法
KR100561523B1 (ko) * 2003-12-31 2006-03-16 동부아남반도체 주식회사 알루미늄 배선 형성 방법
KR100590205B1 (ko) * 2004-01-12 2006-06-15 삼성전자주식회사 반도체 장치의 배선 구조체 및 그 형성 방법
US7090516B2 (en) * 2004-02-09 2006-08-15 Adc Telecommunications, Inc. Protective boot and universal cap
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US7956672B2 (en) * 2004-03-30 2011-06-07 Ricoh Company, Ltd. Reference voltage generating circuit
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7378744B2 (en) * 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
KR100628242B1 (ko) * 2004-06-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 베리어층 형성 방법
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR101101192B1 (ko) * 2004-08-26 2012-01-03 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
JP4503401B2 (ja) * 2004-09-08 2010-07-14 株式会社荏原製作所 金属膜の成膜方法及び配線の形成方法
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7105445B2 (en) * 2005-01-14 2006-09-12 International Business Machines Corporation Interconnect structures with encasing cap and methods of making thereof
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US7323410B2 (en) 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
TWI293499B (en) 2006-01-25 2008-02-11 Advanced Semiconductor Eng Three dimensional package and method of making the same
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
CA2653346A1 (en) * 2006-06-01 2007-12-06 Igeacare Systems, Inc. Remote health care system with treatment verification
WO2008035211A2 (en) * 2006-06-01 2008-03-27 Rajiv Muradia Remote health care system with stethoscope
CA2653432A1 (en) * 2006-06-01 2008-02-21 Igeacare Systems, Inc. Home based healthcare system and method
WO2008053366A2 (en) * 2006-06-01 2008-05-08 Rajiv Muradia Remote health care diagnostic tool
JP5247448B2 (ja) * 2006-08-10 2013-07-24 株式会社アルバック 導電膜形成方法、薄膜トランジスタの製造方法
US7732999B2 (en) * 2006-11-03 2010-06-08 Danfoss A/S Direct acting capacitive transducer
US7880371B2 (en) * 2006-11-03 2011-02-01 Danfoss A/S Dielectric composite and a method of manufacturing a dielectric composite
US7569475B2 (en) * 2006-11-15 2009-08-04 International Business Machines Corporation Interconnect structure having enhanced electromigration reliability and a method of fabricating same
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
US7655556B2 (en) 2007-03-23 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for semiconductor devices
US7790599B2 (en) * 2007-04-13 2010-09-07 International Business Machines Corporation Metal cap for interconnect structures
US8502272B2 (en) * 2007-05-16 2013-08-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Metal-oxide-semiconductor high electron mobility transistors and methods of fabrication
TWI339444B (en) * 2007-05-30 2011-03-21 Au Optronics Corp Conductor structure, pixel structure, and methods of forming the same
US7732924B2 (en) 2007-06-12 2010-06-08 International Business Machines Corporation Semiconductor wiring structures including dielectric cap within metal cap layer
JP4637872B2 (ja) * 2007-06-12 2011-02-23 シャープ株式会社 配線構造およびその製造方法
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process
US8138604B2 (en) * 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
KR100905872B1 (ko) * 2007-08-24 2009-07-03 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US7964923B2 (en) * 2008-01-07 2011-06-21 International Business Machines Corporation Structure and method of creating entirely self-aligned metallic contacts
US7998864B2 (en) 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US7830010B2 (en) 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
EP2283265A2 (en) * 2008-04-30 2011-02-16 Danfoss Polypower A/S A power actuated valve
US20110189027A1 (en) * 2008-04-30 2011-08-04 Morten Kjaer Hansen Pump powered by a polymer transducer
US8772156B2 (en) * 2008-05-09 2014-07-08 International Business Machines Corporation Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
US7956466B2 (en) 2008-05-09 2011-06-07 International Business Machines Corporation Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8013446B2 (en) * 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US7977201B2 (en) * 2008-08-14 2011-07-12 International Business Machines Corporation Methods for forming back-end-of-line resistive semiconductor structures
US8232645B2 (en) 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
US7939911B2 (en) * 2008-08-14 2011-05-10 International Business Machines Corporation Back-end-of-line resistive semiconductor structures
US8823176B2 (en) 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
JP5406556B2 (ja) * 2009-02-23 2014-02-05 関東化学株式会社 金属積層膜用エッチング液組成物
US20100276764A1 (en) 2009-05-04 2010-11-04 Yi-Jen Lo Semiconductor structure with selectively deposited tungsten film and method for making the same
TWI459507B (zh) * 2009-06-18 2014-11-01 United Microelectronics Corp 一種製作矽貫通電極的方法
US8039966B2 (en) * 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8411970B2 (en) * 2010-03-16 2013-04-02 Pixia Corp. Method and system for determining statistical data for image pixels having a higher bit depth per band
US9425146B2 (en) 2010-09-28 2016-08-23 Infineon Technologies Ag Semiconductor structure and method for making same
US8124525B1 (en) * 2010-10-27 2012-02-28 International Business Machines Corporation Method of forming self-aligned local interconnect and structure formed thereby
DE102010063294B4 (de) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
EP2535441A1 (en) * 2011-06-14 2012-12-19 Atotech Deutschland GmbH Copper filled opening with a cap layer
JP5949294B2 (ja) * 2011-08-31 2016-07-06 日亜化学工業株式会社 半導体発光素子
US8877645B2 (en) 2011-09-15 2014-11-04 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US8492274B2 (en) 2011-11-07 2013-07-23 International Business Machines Corporation Metal alloy cap integration
US8891222B2 (en) 2012-02-14 2014-11-18 Danfoss A/S Capacitive transducer and a method for manufacturing a transducer
US8692442B2 (en) 2012-02-14 2014-04-08 Danfoss Polypower A/S Polymer transducer and a connector for a transducer
US8796853B2 (en) * 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
KR101907694B1 (ko) * 2012-03-06 2018-10-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
CN103390647A (zh) * 2012-05-10 2013-11-13 无锡华润上华半导体有限公司 一种功率mos器件结构
US9034664B2 (en) * 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
KR101992352B1 (ko) 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US8883020B2 (en) * 2013-01-30 2014-11-11 GlobalFoundries, Inc. Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9305879B2 (en) 2013-05-09 2016-04-05 Globalfoundries Inc. E-fuse with hybrid metallization
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US8962479B2 (en) 2013-05-10 2015-02-24 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US9558999B2 (en) 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US9202749B2 (en) 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
US9379221B1 (en) 2015-01-08 2016-06-28 International Business Machines Corporation Bottom-up metal gate formation on replacement metal gate finFET devices
US9913382B2 (en) * 2015-04-23 2018-03-06 Viasystems Technologies Corp. L.L.C. Method for anchoring a conductive cap on a filled via in a printed circuit board and printed circuit board with an anchored conductive cap
US9595473B2 (en) 2015-06-01 2017-03-14 International Business Machines Corporation Critical dimension shrink through selective metal growth on metal hardmask sidewalls
US9588298B2 (en) 2015-06-04 2017-03-07 Elenion Technologies, Llc Edge coupler
JP6738556B2 (ja) * 2015-06-26 2020-08-12 三菱マテリアル株式会社 表面被覆切削工具
US9748169B1 (en) 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
CN107564850B (zh) * 2016-07-01 2020-07-07 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US10593563B2 (en) * 2017-04-13 2020-03-17 Invensas Corporation Fan-out wafer level package with resist vias
CN109642309B (zh) * 2017-05-17 2021-08-17 埃马金公司 高精准度蔽荫掩模沉积系统及其方法
CN107170788A (zh) * 2017-06-06 2017-09-15 武汉华星光电技术有限公司 一种显示屏
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10886225B2 (en) 2018-03-05 2021-01-05 International Business Machines Corporation BEOL alternative metal interconnects: integration and process
US11018087B2 (en) 2018-04-25 2021-05-25 International Business Machines Corporation Metal interconnects
CN109003767B (zh) * 2018-07-18 2023-11-28 昆山万盛电子有限公司 一种横卧安装的压敏电阻器及其制备方法
CN116013853B (zh) * 2023-03-27 2023-06-02 合肥晶合集成电路股份有限公司 互连结构的制备方法

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1399163A (en) * 1972-11-08 1975-06-25 Ferranti Ltd Methods of manufacturing semiconductor devices
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
CH611938A5 (ja) * 1976-05-19 1979-06-29 Battelle Memorial Institute
DE2705225C2 (de) * 1976-06-07 1983-03-24 Nobuo Tokyo Nishida Ornamentteil für Uhren usw.
JPS5425178A (en) * 1977-07-27 1979-02-24 Fujitsu Ltd Manufacture for semiconductor device
US4244775A (en) * 1979-04-30 1981-01-13 Bell Telephone Laboratories, Incorporated Process for the chemical etch polishing of semiconductors
US4293374A (en) * 1980-03-10 1981-10-06 International Business Machines Corporation High aspect ratio, high resolution mask fabrication
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4339305A (en) * 1981-02-05 1982-07-13 Rockwell International Corporation Planar circuit fabrication by plating and liftoff
JPS5815250A (ja) * 1981-07-21 1983-01-28 Fujitsu Ltd 半導体装置の製造方法
JPS5821844A (ja) * 1981-07-31 1983-02-08 Nippon Telegr & Teleph Corp <Ntt> 配線構造体の製造方法
JPS5830147A (ja) 1981-08-18 1983-02-22 Toshiba Corp 半導体装置
DE3141567C2 (de) * 1981-10-20 1986-02-06 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen von aus Tantal, Wolfram oder Molybdän bestehenden Schichten bei niedrigen Temperaturen und Verwendung dieser Schichten
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
JPS58210634A (ja) * 1982-05-31 1983-12-07 Toshiba Corp 半導体装置の製造方法
JPS5982746A (ja) * 1982-11-04 1984-05-12 Toshiba Corp 半導体装置の電極配線方法
JPS59121835A (ja) * 1982-12-28 1984-07-14 Fujitsu Ltd 半導体装置及びその製造方法
JPS59175763A (ja) * 1983-03-25 1984-10-04 Fujitsu Ltd 半導体装置
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
KR910006249B1 (ko) * 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
GB2137808A (en) * 1983-04-06 1984-10-10 Plessey Co Plc Integrated circuit processing method
US4486946A (en) * 1983-07-12 1984-12-11 Control Data Corporation Method for using titanium-tungsten alloy as a barrier metal in silicon semiconductor processing
US4600624A (en) * 1983-09-20 1986-07-15 International Business Machines Corporation Composite insulator structure
US4532702A (en) * 1983-11-04 1985-08-06 Westinghouse Electric Corp. Method of forming conductive interconnection between vertically spaced levels in VLSI devices
JPS60115245A (ja) * 1983-11-28 1985-06-21 Toshiba Corp 半導体装置の製造方法
JPS60117719A (ja) * 1983-11-30 1985-06-25 Fujitsu Ltd 半導体装置の製造方法
CA1260754A (en) * 1983-12-26 1989-09-26 Teiji Majima Method for forming patterns and apparatus used for carrying out the same
JPS60142545A (ja) * 1983-12-27 1985-07-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多層複合構造体
JPS60173857A (ja) * 1984-02-20 1985-09-07 Toshiba Corp 半導体装置の製造方法
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4845050A (en) * 1984-04-02 1989-07-04 General Electric Company Method of making mo/tiw or w/tiw ohmic contacts to silicon
US4640004A (en) * 1984-04-13 1987-02-03 Fairchild Camera & Instrument Corp. Method and structure for inhibiting dopant out-diffusion
FR2566181B1 (fr) * 1984-06-14 1986-08-22 Commissariat Energie Atomique Procede d'autopositionnement d'une ligne d'interconnexion sur un trou de contact electrique d'un circuit integre
US4545852A (en) * 1984-06-20 1985-10-08 Hewlett-Packard Company Planarization of dielectric films on integrated circuits
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4560436A (en) * 1984-07-02 1985-12-24 Motorola, Inc. Process for etching tapered polyimide vias
US4720908A (en) * 1984-07-11 1988-01-26 Texas Instruments Incorporated Process for making contacts and interconnects for holes having vertical sidewalls
US4751197A (en) * 1984-07-18 1988-06-14 Texas Instruments Incorporated Make-link programming of semiconductor devices using laser enhanced thermal breakdown of insulator
JPH0713295B2 (ja) * 1985-02-22 1995-02-15 株式会社日立製作所 スパツタリング装置
US4560435A (en) * 1984-10-01 1985-12-24 International Business Machines Corporation Composite back-etch/lift-off stencil for proximity effect minimization
KR900001825B1 (ko) * 1984-11-14 1990-03-24 가부시끼가이샤 히다찌세이사꾸쇼 성막 지향성을 고려한 스퍼터링장치
JPH0697693B2 (ja) * 1984-12-05 1994-11-30 株式会社東芝 Mos型fetのゲート構造の製造方法
JPS61137367A (ja) * 1984-12-10 1986-06-25 Hitachi Ltd 半導体集積回路装置の製造方法
US4659427A (en) * 1984-12-31 1987-04-21 Gte Laboratories Incorporated Via formation for multilayered metalization
US5045916A (en) * 1985-01-22 1991-09-03 Fairchild Semiconductor Corporation Extended silicide and external contact technology
DE3650077T2 (de) * 1985-03-15 1995-02-23 Hewlett Packard Co Metallisches Verbindungssystem mit einer ebenen Fläche.
FR2583220B1 (fr) * 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
US4617087A (en) * 1985-09-27 1986-10-14 International Business Machines Corporation Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4824802A (en) * 1986-02-28 1989-04-25 General Electric Company Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
US4746219A (en) * 1986-03-07 1988-05-24 Texas Instruments Incorporated Local interconnect
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4849079A (en) * 1986-05-23 1989-07-18 International Business Machines Corp. Process for preparing low electrical contact resistance composition
US4756927A (en) 1986-05-29 1988-07-12 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
EP0256557B1 (en) * 1986-08-19 1993-01-07 Fujitsu Limited Semiconductor device having thin film wiring layer and method of forming thin wiring layer
DE3782904T2 (de) * 1986-09-17 1993-04-08 Fujitsu Ltd Verfahren zur ausbildung einer kupfer enthaltenden metallisierungsschicht auf der oberflaeche eines halbleiterbauelementes.
US4924295A (en) * 1986-11-28 1990-05-08 Siemens Aktiengesellschaft Integrated semi-conductor circuit comprising at least two metallization levels composed of aluminum or aluminum compounds and a method for the manufacture of same
US4732658A (en) * 1986-12-03 1988-03-22 Honeywell Inc. Planarization of silicon semiconductor devices
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
US4753709A (en) * 1987-02-05 1988-06-28 Texas Instuments Incorporated Method for etching contact vias in a semiconductor device
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4783248A (en) * 1987-02-10 1988-11-08 Siemens Aktiengesellschaft Method for the production of a titanium/titanium nitride double layer
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPS63269546A (ja) * 1987-04-27 1988-11-07 Nec Corp 半導体装置の製造方法
JPS63299251A (ja) * 1987-05-29 1988-12-06 Toshiba Corp 半導体装置の製造方法
JPH0660391B2 (ja) * 1987-06-11 1994-08-10 日電アネルバ株式会社 スパッタリング装置
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
EP0305143B1 (en) * 1987-08-24 1993-12-08 Fujitsu Limited Method of selectively forming a conductor layer
JPS6460011A (en) * 1987-08-31 1989-03-07 Nec Corp High frequency transistor amplifier
EP0312986A1 (de) * 1987-10-22 1989-04-26 Siemens Aktiengesellschaft Verfahren zum Rückätzen von Wolfram mit Titannitrid als Unterlage in Kontaktlöchern von höchstintegrierten Halbleiterschaltungen
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4873565A (en) * 1987-11-02 1989-10-10 Texas Instruments Incorporated Method and apparatus for providing interconnection between metallization layers on semiconductor devices
JPH0654774B2 (ja) * 1987-11-30 1994-07-20 株式会社東芝 半導体装置及びその製造方法
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
US4926237A (en) * 1988-04-04 1990-05-15 Motorola, Inc. Device metallization, device and method
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
FR2630587A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour etablir des contacts electriques de petites dimensions sur un dispositif semiconducteur
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
DE3881032T2 (de) * 1988-05-26 1993-11-25 Fairchild Semiconductor Verbindungssystem von hoher Leistungsfähigkeit für eine integrierte Schaltung.
US5027185A (en) * 1988-06-06 1991-06-25 Industrial Technology Research Institute Polycide gate FET with salicide
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
US5008730A (en) * 1988-10-03 1991-04-16 International Business Machines Corporation Contact stud structure for semiconductor devices
US5112693A (en) * 1988-10-03 1992-05-12 Ppg Industries, Inc. Low reflectance, highly saturated colored coating for monolithic glazing
JPH02170424A (ja) * 1988-12-22 1990-07-02 Nec Corp 半導体装置の製造方法
US5084417A (en) * 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
JP2537413B2 (ja) * 1989-03-14 1996-09-25 三菱電機株式会社 半導体装置およびその製造方法
US4920073A (en) * 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
US5169685A (en) * 1989-06-12 1992-12-08 General Electric Company Method for forming non-columnar deposits by chemical vapor deposition
US4994162A (en) * 1989-09-29 1991-02-19 Materials Research Corporation Planarization method
JP2732539B2 (ja) * 1989-10-06 1998-03-30 日本電気株式会社 真空成膜装置
US5070391A (en) * 1989-11-30 1991-12-03 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US5026470A (en) * 1989-12-19 1991-06-25 International Business Machines Sputtering apparatus
DE69129081T2 (de) * 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
US5008217A (en) * 1990-06-08 1991-04-16 At&T Bell Laboratories Process for fabricating integrated circuits having shallow junctions
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2841976B2 (ja) * 1990-11-28 1998-12-24 日本電気株式会社 半導体装置およびその製造方法
JP2660359B2 (ja) * 1991-01-30 1997-10-08 三菱電機株式会社 半導体装置
US5187119A (en) * 1991-02-11 1993-02-16 The Boeing Company Multichip module and integrated circuit substrates having planarized patterned surfaces
US5143867A (en) * 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
US5243222A (en) * 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
CA2061119C (en) * 1991-04-19 1998-02-03 Pei-Ing P. Lee Method of depositing conductors in high aspect ratio apertures
JPH05160070A (ja) * 1991-05-31 1993-06-25 Texas Instr Inc <Ti> 半導体装置の接点とその製法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5244836A (en) * 1991-12-30 1993-09-14 North American Philips Corporation Method of manufacturing fusible links in semiconductor devices
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300812A (en) * 1992-12-09 1994-04-05 General Electric Company Plasticized polyetherimide adhesive composition and usage
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure

Also Published As

Publication number Publication date
EP0788156A2 (en) 1997-08-06
DE69332917D1 (de) 2003-05-28
CN1044649C (zh) 1999-08-11
EP0788156B1 (en) 2004-08-25
US5426330A (en) 1995-06-20
CN1150597C (zh) 2004-05-19
CN1192040A (zh) 1998-09-02
DE69329663D1 (de) 2000-12-21
DE69329663T2 (de) 2001-05-03
CN1111908C (zh) 2003-06-18
KR0134121B1 (ko) 1998-04-20
SG111047A1 (en) 2005-05-30
KR0128264B1 (ko) 1998-04-07
DE69332917T2 (de) 2003-12-24
KR0134120B1 (ko) 1998-04-20
US6147402A (en) 2000-11-14
SG70043A1 (en) 2000-01-25
SG70044A1 (en) 2000-01-25
KR930018660A (ko) 1993-09-22
SG70046A1 (en) 2000-01-25
TW291576B (ja) 1996-11-21
EP0966037A3 (en) 2000-03-08
US6323554B1 (en) 2001-11-27
DE69333604T2 (de) 2005-09-15
US5976975A (en) 1999-11-02
CN1076548A (zh) 1993-09-22
EP0788156A3 (en) 1998-04-15
DE69333604D1 (de) 2004-09-30
CN1192049A (zh) 1998-09-02
CN1081390C (zh) 2002-03-20
JPH05343532A (ja) 1993-12-24
KR0134122B1 (ko) 1998-04-20
SG70045A1 (en) 2000-01-25
EP0561132A1 (en) 1993-09-22
US5403779A (en) 1995-04-04
EP0966037A2 (en) 1999-12-22
US5585673A (en) 1996-12-17
CN1192050A (zh) 1998-09-02
EP0966037B1 (en) 2003-04-23
EP0561132B1 (en) 2000-11-15
CN1112730C (zh) 2003-06-25
SG44450A1 (en) 1997-12-19
CN1120241A (zh) 1996-04-10
SG115407A1 (en) 2005-10-28
US5300813A (en) 1994-04-05
SG105511A1 (en) 2004-08-27
US5889328A (en) 1999-03-30

Similar Documents

Publication Publication Date Title
JP2516307B2 (ja) 耐熱金属でキャップした低抵抗率の導体構造およびその形成方法
JP2989408B2 (ja) 基板に埋込み金属を形成する方法
JP3083735B2 (ja) 表面拡散による高アスペクト比低抵抗率線/バイア構造およびその製造方法
US5985762A (en) Method of forming a self-aligned copper diffusion barrier in vias
US6245663B1 (en) IC interconnect structures and methods for making same
US6133144A (en) Self aligned dual damascene process and structure with low parasitic capacitance
US7166922B1 (en) Continuous metal interconnects
US20040171256A1 (en) Mask layer and interconnect structure for dual damascene semiconductor manufacturing
US6503828B1 (en) Process for selective polishing of metal-filled trenches of integrated circuit structures
KR20020068132A (ko) 구리 배선용 장벽층 형성 방법

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090430

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100430

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110430

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110430

Year of fee payment: 15

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120430

Year of fee payment: 16

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120430

Year of fee payment: 16

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 17

EXPY Cancellation because of completion of term