JP2002217292A - 半導体集積回路装置および半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置および半導体集積回路装置の製造方法

Info

Publication number
JP2002217292A
JP2002217292A JP2001014068A JP2001014068A JP2002217292A JP 2002217292 A JP2002217292 A JP 2002217292A JP 2001014068 A JP2001014068 A JP 2001014068A JP 2001014068 A JP2001014068 A JP 2001014068A JP 2002217292 A JP2002217292 A JP 2002217292A
Authority
JP
Japan
Prior art keywords
film
contact hole
integrated circuit
circuit device
sputtering method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001014068A
Other languages
English (en)
Inventor
Yoji Ashihara
洋司 芦原
Tatsuyuki Saito
達之 齋藤
Takao Tanaka
宇乙 田中
Shusuke Suzuki
秀典 鈴木
Hideaki Tsugane
秀明 津金
Yasuko Yoshida
安子 吉田
Ken Okuya
謙 奥谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Solutions Technology Ltd
Original Assignee
Hitachi Ltd
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi ULSI Systems Co Ltd filed Critical Hitachi Ltd
Priority to JP2001014068A priority Critical patent/JP2002217292A/ja
Priority to US09/822,318 priority patent/US6764945B2/en
Publication of JP2002217292A publication Critical patent/JP2002217292A/ja
Priority to US10/844,479 priority patent/US7088001B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1078Multiple stacked thin films not being formed in openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

(57)【要約】 【課題】 配線間の良好なコンタクトを図り、半導体集
積回路装置の信頼性、製品歩留まりを向上させる。 【解決手段】 コンタクトホールC2内に、通常スパッ
タ法により第1のスパッタ膜25を堆積し、この第1の
スパッタ膜25上に、長距離スパッタ法により第2のス
パッタ膜26を堆積した後、第2のスパッタ膜26上に
CVD法によりW膜27を堆積し、コンタクトホールC
2外部の第1、第2のスパッタ膜25、26およびW膜
27を除去することによりコンタクトホールC2内にプ
ラグを形成する。このように、指向性の異なる第1のス
パッタ膜25と第2のスパッタ膜26とでバリア膜を構
成することにより、バリア性を向上させることができ
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置および半導体集積回路装置の製造技術に関し、特に、
半導体集積回路装置の配線間や半導体基板と配線との接
続に適用して有効な技術に関するものである。
【0002】
【従来の技術】近年、LSIの高集積化に伴い、配線と
絶縁膜とを繰り返し形成する多層配線構造がとられてい
る。これら複数の配線間もしくは半導体基板と配線との
間は、層間絶縁膜中のコンタクトホール内に形成された
導電性部(プラグ等)を介して接続される。
【0003】特開平11−87353号公報には、接続
孔CH内および銅配線11上に、ロングスロースパッタ
リングにより、バリア層として有効に機能するTiN膜
12を成膜し、W層を堆積した後、CMPによりタング
ステン層13とTiN層12を研磨することにより導電
性プラグを形成する技術が開示されている。
【0004】特開平8−181212号公報には、Ti
N膜の剥離防止と、バリア性向上のため、コンタクトホ
ール内に、コリメーションスパッタ法により形成したT
i膜をアニール処理し、TiN膜23を形成し、その上
に反応性スパッタTiN膜24を形成した後、CVD法
でW膜12を形成することにより第二の配線膜を形成す
る技術が開示されている。
【0005】特開平10−242271号公報には、接
続プラグと溝配線の接触を確保するため、接続プラグ4
5を形成した後、接続プラグ45が配線溝46に侵入す
るよう配線溝46を掘り込み、下地膜47としてLDス
パッタ法によりTiN/Ti膜を成膜した後、Cu層4
8aを形成することにより溝配線48を形成する技術が
開示されている(図4)。
【0006】特開平6−140359号公報には、コン
タクトホール50内およびBPSG膜30上に、コリメ
ータ60を通してスパッタターゲット70から化学反応
スパッタリングによって層40を形成する技術が開示さ
れている。
【0007】特開平4−207033号公報には、良好
なビアホールの埋め込みと、配線層の平坦化のため、ビ
アホール底部に高温・高バイアスまたは高温スパッタ
法、選択メタルCVD法により第1の導電膜の堆積を行
い、その後に通常スパッタ及び蒸着法で第2の導電膜を
堆積する技術が開示されている。
【0008】特開平4−207033号公報には、スパ
ッタによりチタン、窒化チタンを堆積させたバリア膜5
aと、バリア膜5a上にスパッタによりタングステンを
堆積させた下地膜5bと、CVDによりタングステン膜
を堆積させ開口を充填する充填膜5cとでプラグ5を構
成する技術が開示されている。
【0009】
【発明が解決しようとする課題】本発明者らは、配線間
もしくは半導体基板と配線との間の接続不良を解消すべ
く、コンタクトホール(ビアホール)内への導電性膜の
埋め込み技術について検討している。
【0010】このコンタクトホールは、配線や半導体基
板上に形成され、コンタクトホールの内壁には、バリア
膜が形成された後、タングステン(W)膜等の導電性膜
が埋め込まれる。このバリア膜は、W膜形成時の原料ガ
スと配線(例えばアルミニウム)との反応を防止するた
め等の理由により形成される。
【0011】しかしながら、半導体集積回路装置の微細
化に伴い、コンタクトホールのアスペクト比が大きくな
り、例えば、アスペクト比が3.0を越えるような場合
には、コンタクトホール底部の前記バリア膜のバリア性
が低くなり、接続不良が増加する。
【0012】また、配線幅やコンタクトホールの径の微
細化に伴い、配線とコンタクトホールとのマージンが小
さくなる傾向にあり、目はずれ(配線パターンに対する
コンタクトホールパターンのずれ)が生じやすくなって
いる。このような場合は、追って詳細に説明するよう
に、配線の側壁にサブトレンチ(径の小さい窪み)が生
じ、バリア性の確保がますます困難となる。
【0013】本発明の目的は、配線間もしくは半導体基
板と配線との間の良好なコンタクトを図ることを目的と
する。
【0014】また、本発明の他の目的は、配線間もしく
は半導体基板と配線との間の良好なコンタクトを図るこ
とにより半導体集積回路装置の信頼性を高め、また、製
品歩留まりを向上させることである。
【0015】本発明の目的ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0016】
【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要を簡単に説明すれば、下
記のとおりである。
【0017】(1)本発明の半導体集積回路装置の製造
方法は、コンタクトホール内に、第1のスパッタ法によ
り第1の導電性膜を堆積し、この第1の導電性膜上に、
前記第1のスパッタ法より指向性の高い第2のスパッタ
法により第2の導電性膜を堆積した後、第2の導電性膜
上に第3の導電性膜を堆積することによりプラグを形成
する。
【0018】(2)本発明の半導体集積回路装置の製造
方法は、コンタクトホール内に、長距離スパッタ法もし
くはイオン化スパッタ法により第1の導電性膜を堆積
し、この第1の導電性膜上に、通常スパッタ法により第
2の導電性膜を堆積した後、第2の導電性膜上に第3の
導電性膜を堆積することによりプラグを形成する。
【0019】(3)本発明の半導体集積回路装置は、絶
縁膜中に形成されたコンタクトホールと、このコンタク
トホールの底部および側壁に形成された第1のスパッタ
膜と、コンタクトホールの底部および側壁の前記第1の
スパッタ膜上に形成された第2のスパッタ膜であって、
第1のスパッタ膜より指向性が高い第2のスパッタ膜
と、コンタクトホール内部に埋め込まれた導電性膜とを
有する。
【0020】(4)本発明の半導体集積回路装置は、絶
縁膜中に形成されたコンタクトホールと、このコンタク
トホールの底部および側壁に形成された第1のスパッタ
膜であって、長距離スパッタ法もしくはイオン化スパッ
タ法により形成された第1のスパッタ膜と、コンタクト
ホールの底部および側壁の前記第1のスパッタ膜上に形
成され、第1のスパッタ膜より指向性が低い第2のスパ
ッタ膜と、コンタクトホール内部に埋め込まれた導電性
膜とを有する。
【0021】
【発明の実施の形態】本願発明の実施の形態を説明する
にあたり、本願における用語の基本的な意味を説明する
と次の通りである。
【0022】本願において半導体装置というときは、特
に単結晶シリコン基板上に作られるものだけでなく、特
にそうでない旨が明示された場合を除き、SOI(Silic
on On Insulator)基板やTFT(Thin Film Transistor)
液晶製造用基板などといった他の基板上に作られるもの
を含むものとする。
【0023】また、半導体ウエハ(半導体基板)とは、
半導体装置の製造に用いるシリコンその他の半導体単結
晶基板(一般にほぼ平面円形状)、サファイア基板、ガ
ラス基板、その他の絶縁、反絶縁または半導体基板等並
びにそれらの複合的基板を言う。
【0024】以下の実施の形態においては便宜上その必
要があるときは、複数のセクションまたは実施の形態に
分割して説明するが、特に明示した場合を除き、それら
はお互いに無関係なものではなく、一方は他方の一部ま
たは全部の変形例、詳細、補足説明等の関係にある。
【0025】また、以下の実施の形態において、要素の
数等(個数、数値、量、範囲等を含む)に言及する場
合、特に明示した場合および原理的に明らかに特定の数
に限定される場合等を除き、その特定の数に限定される
ものではなく、特定の数以上でも以下でもよい。
【0026】さらに、以下の実施の形態において、その
構成要素(要素ステップ等も含む)は、特に明示した場
合および原理的に明らかに必須であると考えられる場合
等を除き、必ずしも必須のものではないことは言うまで
もない。
【0027】同様に、以下の実施の形態において、構成
要素等の形状、位置関係等に言及するときは、特に明示
した場合および原理的に明らかにそうでないと考えられ
る場合等を除き、実質的にその形状等に近似または類似
するもの等を含むものとする。このことは、上記数値お
よび範囲についても同様である。
【0028】また、実施の形態を説明するための全図に
おいて同一機能を有するものは同一の符号を付し、その
繰り返しの説明は省略する。
【0029】また、本実施の形態においては、電界効果
トランジスタを例示するMOS・FET(Metal Oxide
Semiconductor Field Effect Transistor)をMOSと
略し、pチャネル型のMOS・FETをpMOSと略
し、nチャネル型のMOS・FETをnMOSと略す。
MOS・FETは、MIS・FET(Metal InsulatorS
emiconductor Field Effect Transistor)を含むが、こ
こではMOS・FETで代表して説明する。
【0030】また、本願で長距離スパタリングとは、一
般にターゲットと被処理ウエハの被処理面との最短距離
(固有距離)が150mm以上のものを言うが、ウエハ
の直径が200から300mmまたはそれ以上の被処理
ウエハでは、165mm以上が望ましい。また、さらに
条件の厳しいものでは、180mm以上が更に望まし
い。これに対して、通常の指向性でないスパタリングで
は、その距離(固有距離)は一般に50mm前後で、最
大でも100mm程度である。固有距離が150mm未
満のものを便宜上、非長距離スパタリングと呼ぶ。
【0031】また、本願に適用可能なスパタリングを分
類すると以下のようになる。スパタリングはまず、通常
スパタリングと指向性スパタリングに大分類される。指
向性スパタリングはコリメートスパタリング、イオン化
スパタリング、長距離スパタリングの各類に分けらる。
長距離スパタリングは更にバイアス長距離スパタリング
と通常長距離スパタリングに細分類される。
【0032】これらのうち、コリメートスパタリングは
異物の問題はあるが、装置がコンパクトに出来るメリッ
トがある。また、イオン化スパタリングは距離が比較的
短くとも高い指向性を確保することが出来る。バイアス
長距離スパタリングはバイアスにより、通常長距離スパ
タリングよりも更に高い指向性を実現できる。
【0033】更に、本願において、材料に言及すると
き、たとえば、「銅配線」、「銅からなる部材」等と言
うときは、特にそうでない旨明示した場合を除き、純粋
な銅(不純物および添加物が1%未満)のみでなく、銅
を主成分の一つとする材料も含むものとする。
【0034】(実施の形態1)次に、本発明の実施の形
態である半導体集積回路装置の製造方法について説明す
る。図1〜図26は、本発明の実施の形態である半導体
集積回路装置の製造方法を示した基板の要部断面図であ
る。
【0035】まず、図1に示すように、半導体基板1の
主表面に、通常のMISFET形成プロセスにより、n
チャネル型MISFETQnおよびpチャネル型MIS
FETQpを形成する。
【0036】通常のMISFET形成プロセスには、例
えば、次のようなものがある。
【0037】まず、p型の単結晶シリコンからなる半導
体基板1をエッチングすることにより素子分離溝2を形
成し、基板1を熱酸化することによって、溝の内壁に薄
い酸化シリコン膜を形成する。次に、溝の内部を含む基
板1上にCVD(Chemical Vapor deposition)法で酸
化シリコン膜7を堆積し、化学的機械研磨(CMP;Ch
emical Mechanical Polishing)法で溝の上部の酸化シ
リコン膜7を研磨し、その表面を平坦化する。
【0038】次に、基板1にp型不純物およびn型不純
物をイオン打ち込みした後、熱処理により不純物を拡散
させることによって、p型ウエル3およびn型ウエル4
を形成した後、熱酸化によりp型ウエル3およびn型ウ
エル4のそれぞれの表面に膜厚6nm程度の清浄なゲート
酸化膜8を形成する。
【0039】次に、ゲート酸化膜8の上部にリンをドー
プした低抵抗多結晶シリコン膜9aをCVD法で堆積
し、続いてその上部にスパッタリング法で薄いWN膜
(図示せず)とW膜9bとを堆積し、さらにその上部に
CVD法で窒化シリコン膜10を堆積する。
【0040】次いで、窒化シリコン膜10をドライエッ
チングすることにより、ゲート電極を形成する領域に窒
化シリコン膜10を残し、窒化シリコン膜10をマスク
にしてW膜9b、WN膜(図示せず)および多結晶シリ
コン膜9aをドライエッチングすることにより、多結晶
シリコン膜9a、WN膜およびW膜9bからなるゲート
電極9を形成する。
【0041】次に、ゲート電極9の両側のp型ウエル3
にn型不純物をイオン打ち込みすることによってn-
半導体領域11を形成し、n型ウエル4にp型不純物を
イオン打ち込みすることによってp-型半導体領域12
を形成する。
【0042】次いで、基板1上にCVD法で窒化シリコ
ン膜を堆積した後、異方的にエッチングすることによっ
て、ゲート電極9の側壁にサイドウォールスペーサ13
を形成する。
【0043】次いで、p型ウエル3にn型不純物をイオ
ン打ち込みすることによってn+型半導体領域14(ソ
ース、ドレイン)を形成し、n型ウエル4にp型不純物
をイオン打ち込みすることによってp+型半導体領域1
5(ソース、ドレイン)を形成する。
【0044】次に、半導体基板1の表面を、フッ酸系の
洗浄液を用いて洗浄する。この洗浄は、半導体基板1の
表面の不純物や自然酸化膜を除去するために行われる。
次いで、スパッタ法によりCo膜を堆積し、500から
540℃で1分間の熱処理を施すことにより、半導体基
板1(n+型半導体領域14、p+型半導体領域15)と
Co膜との接触部においてシリサイド化反応をおこさせ
る。
【0045】次いで、未反応のCo膜をエッチングによ
り除去し、半導体基板1(n+型半導体領域14、p+
半導体領域15)上に、CoSi2層16を残存させ
る。次いで、700から800℃で、1分間程度の熱処
理を施し、CoSi2層16を低抵抗化する。なお、半
導体基板1上にTi膜を堆積し、TiSi2膜を形成し
てもよい。
【0046】このCoSi2層16は、ソース、ドレイ
ン領域(n+型半導体領域14、p+型半導体領域15)
の抵抗を下げ、また、ソース、ドレイン領域上に形成さ
れるプラグとの接触抵抗を下げるために形成される。ま
た、ゲート電極9(配線)の抵抗を下げるため、ゲート
電極9上にも、CoSi2層16を形成してもよい。
【0047】ここまでの工程で、LDD(Lightly Doped
Drain)構造のソース、ドレインを備えたnチャネル型
MISFETQnおよびpチャネル型MISFETQp
が形成される。
【0048】この後、MISFETQnおよびQp上に
酸化シリコン膜等の層間絶縁膜とAl膜等の導電性膜を
交互に堆積し、複数の配線を形成するのであるが、以下
層間絶縁膜と配線の形成について図2〜図26を参照し
ながら詳細に説明する。
【0049】まず、図2に示すようにMISFETQn
およびQp上にCVD法で膜厚700nm〜800nm程度
の酸化シリコン膜を堆積した後、酸化シリコン膜をCM
P法で研磨してその表面を平坦化することによって層間
絶縁膜TH1を形成する。
【0050】次に、層間絶縁膜TH1上にフォトレジス
ト膜を形成し(図示せず)、このフォトレジスト膜をマ
スクに層間絶縁膜TH1をエッチングすることにより半
導体基板1主面のn+型半導体領域14およびp+型半導
体領域15上にコンタクトホールC1を形成する。次い
で、コンタクトホールC1底部の自然酸化膜等を除去す
るため、アルゴン(Ar)雰囲気中で、プリクリーン処
理を行う。
【0051】ここで、図3は、図2のコンタクトホール
C1部の拡大図である。図中、ソース、ドレイン領域
(n+型半導体領域14、p+型半導体領域15)は省略
されている。以降は、このコンタクトホールC1部の拡
大図用いて、工程を説明する。
【0052】次いで、図4に示すように、コンタクトホ
ールC1内を含む層間絶縁膜TH1上に、スパッタ法も
しくはCVD法によりTi膜およびTiN膜の積層膜か
らなるバリア用高融点金属膜18を堆積する。なお、前
記プリクリーン処理とバリア用高融点金属膜18の形成
工程は、高真空中で連続して行われる。
【0053】次いで、図5に示すように、バリア用高融
点金属膜18上に、CVD法によりW膜19を堆積す
る。この際、コンタクトホールC1内に充分充填される
程度の膜厚のW膜19を堆積する。
【0054】次いで、図6に示すように、W膜19およ
びバリア用高融点金属膜18を層間絶縁膜TH1が露出
するまでCMP法により研磨することによってコンタク
トホールC1内にプラグP1を形成する。
【0055】次いで、図7に示すように、層間絶縁膜T
H1およびプラグP1上に、スパッタ法によりTi膜、
TiN膜およびTi膜の積層膜(Ti/TiN/Ti=
5/50/10nm)からなるバリア用高融点金属膜2
1を堆積した後、アルミニウム(Al)合金膜22(3
50nm)を堆積する。さらに、Al合金膜22上に、
TiN膜およびTi膜の積層膜(TiN/Ti=40/
5nm)からなるキャップ金属膜23を堆積する。この
キャップ金属膜23は、Al合金膜22の保護膜とし
て、また、後述する第1層配線M1のパターニングの際
の反射防止膜としての役割を果たす。
【0056】次いで、図8に示すように、キャップ金属
膜23上にレジスト膜R1を塗布した後、図9に示すよ
うに、レジスト膜R1を感光・現像することにより、第
1層配線形成予定領域に、レジスト膜R1を残存させ
る。
【0057】次いで、図10に示すように、パターニン
グされたレジスト膜R1をマスクに、ドライエッチング
法を用いて、バリア用高融点金属膜21、Al合金膜2
2およびキャップ金属膜23をエッチングすることによ
り、これらの膜(21、22、23)からなる第1層配
線M1(Al配線)を形成する。配線幅および配線間隔
は、約0.25μmである。次いで、第1層配線M1上
に残存するレジスト膜R1を、プラズマ中でのアッシン
グ処理(灰化処理)により除去する(図11)。この後、
エッチング時に生じた残渣等を除去する(後処理)。
【0058】次いで、図12に示すように、第1層配線
M1上を含む層間絶縁膜TH1上に、酸化シリコン膜T
H2aを堆積する。この酸化シリコン膜TH2aは、高
密度プラズマCVD(以下HDP−CVDという)法に
より形成する。このHDP−CVDとは、低圧かつ高電
子密度雰囲気で行われるCVDであって、通常のプラズ
マCVDでは、圧力1〜10Torrで、電子密度が1×1
9〜1×1010で処理が行われるのに対し、HDP−
CVDでは、圧力0.001〜0.01Torrで、電子密
度が1×1012以上で処理が行われる。従って、成膜成
分(この場合酸化シリコン)が堆積すると同時に、高密
度プラズマによるエッチングが同時に起こり、幅の狭い
配線間にも酸化シリコンを埋め込むことができる。
【0059】次いで、図13に示すように、酸化シリコ
ン膜TH2a上に、酸化シリコン膜TH2bを堆積す
る。この酸化シリコン膜TH2bは、オゾン(O3)お
よびテトラエトキシシランを用いたプラズマCVD法に
より形成する。以下、この膜をTEOS膜という。
【0060】次いで、図14に示すように、TEOS膜
TH2bの上部をCMP法により研磨することによりT
EOS膜TH2bの表面を平坦化する。次いで、酸化シ
リコン膜TH2bの膜減りを補償するために、さらに、
TEOS膜TH2cを堆積する(図15)。この結果、酸
化シリコン膜TH2aおよびTEOS膜TH2b、TH
2cからなる層間絶縁膜TH2(絶縁膜)が完成する。
【0061】次いで、図16に示すように、層間絶縁膜
TH2上に、レジスト膜R2を塗布した後、レジスト膜
R2を感光・現像することにより、第1層配線M1上の
プラグP2形成予定領域のレジスト膜R2を除去する
(図17)。
【0062】次いで、図18に示すように、パターニン
グされたレジスト膜R2をマスクに、ドライエッチング
法を用いて、層間絶縁膜TH2を第1層配線M1が露出
するまでエッチングすることにより、直径約0.25μ
m、深さ約0.9μmのコンタクトホールC2を形成す
る。次いで、図19に示すように、層間絶縁膜TH2上
に残存するレジスト膜R2を、プラズマ中でのアッシン
グ処理(灰化処理)により除去し、さらに、エッチング
時に生じた残渣等を除去する(後処理)。
【0063】次いで、コンタクトホールC2底部の自然
酸化膜等を除去するため、アルゴン(Ar)雰囲気中
で、プリクリーン処理を行う。処理条件を以下に示す。
Ar流量11sccm、圧力106±14mPa、基板
側パワー300±10W、常温で、25±5nm(TE
OS膜、平坦部換算)のエッチングを行う。このプリク
リーン処理により、層間絶縁膜TH2の上端部がエッチ
ングされ、テーパー状となる(図20)。
【0064】次に、プリクリーン処理後、高真空下で、
半導体基板1を搬送し、コンタクトホールC2内を含む
層間絶縁膜TH2上に、通常スパッタ法によりTi膜お
よびTiN膜の積層膜からなる第1のスパッタ膜25
(第1の導電性膜)を堆積する(図21)。この積層膜
は、例えば、Tiをターゲットとしたスパッタ法を用い
て、Ti膜を堆積し、その後、スパッタ装置内に窒素を
導入することによりTiN膜を堆積する。処理条件の一
例を示す。まず、Ti膜を、Ar流量97sccm、圧
力0.93±0.04Pa、DCパワー3.0±0.3
kW、300±20℃、ターゲット−ウエハ間52mm
で、30±3nm程度堆積する。次いで、TiN膜を、
Ar流量37sccm、窒素流量53sccm、圧力
0.49±0.04Pa、DCパワー8.0±0.5k
W、300±20℃、ターゲット−ウエハ間52mm
で、50±5nm程度堆積する。
【0065】ここで、Ti膜を最初に形成するのは、T
iN膜中のNと第1層配線M1を構成するAlとの反応
を防止するためである。即ち、Al合金膜22上のキャ
ップ金属膜23が、前述のコンタクトホールC2の形成
時に、エッチングされ、Al合金膜22が露出した場合
に、コンタクトホールC2内に直接TiN膜を形成する
と、Al合金膜22とTiN膜が接触し、接触部におい
てAlNが形成される。このAlNは、高抵抗であるた
め、第1層配線M1とプラグP2との接触不良の原因と
なる。そこで、前述のような場合であっても、Al合金
膜22とTiN膜が直接接触しないよう、間にTi膜を
設けるのである。
【0066】続いて、図22に示すように、第1のスパ
ッタ膜25上に、W膜からなる第2のスパッタ膜26
(第2の導電性膜)を堆積する。この第2のスパッタ膜
26は、長距離スパッタ法により形成する。処理条件の
一例を示す。このW膜は、Ar流量28sccm、圧力
0.20±0.03Pa、DCパワー4.0±0.2k
W、25℃、ターゲット−ウエハ間291mmで、30
±3nm程度堆積する。また、例えば、この第1、第2
のスパッタ膜(25、26)は、通常スパッタを行う室
と長距離スパッタを行う室とを有するスパッタ装置(マ
ルチチャンバー)を用いて成膜する。
【0067】ここで、通常スパッタ(非長距離スパッ
タ)とは、前述のようにターゲットと半導体基板との距
離が、150mm未満の条件下で行われるスパッタをい
い、長距離スパッタとは、ターゲットと半導体基板との
距離が、150mm以上の条件下で行われるスパッタを
いう。
【0068】通常スパッタでは、図21に示すように、
コンタクトホールの側壁上部(層間絶縁膜TH2のコー
ナー部)において、膜が厚く付く傾向がある。また、こ
の庇状に突き出た膜によりコンタクトホール底面への粒
子の到達が阻害され、コンタクトホール底部には、膜が
薄くつく傾向がある。
【0069】これは、通常スパッタでは、ターゲットと
半導体基板との間が短いため、ターゲットから叩き出さ
れた粒子があらゆる方向に飛散し、堆積する。従って、
コンタクトホール側壁上部においては、上からのみなら
ず横からも粒子が堆積する。その結果、コンタクトホー
ル側壁上部には、膜が厚く付いてしまう。
【0070】これに対して、長距離スパッタでは、ター
ゲットと半導体基板との間が長いため、ターゲットから
叩き出された粒子のうち半導体基板まで到達する粒子の
方向性が制限される。その結果、コンタクトホール側壁
上部における横方向からの粒子の堆積が少なく、コンタ
クトホール側壁上部の膜の膜厚を低減することができる
ため、コンタクトホール底部の膜の膜厚を確保すること
ができる(図22参照)。また、図29示すように、堆積
する粒子の方向性が制限される(粒子の指向性がある)
ため、コンタクトホール側壁には、膜が薄くつく傾向が
ある。
【0071】また、通常スパッタ法により成膜された膜
は、長距離スパッタ法で成膜された膜より圧縮応力が小
さいという特徴を有する。ここで、圧縮応力とは、半導
体基板上にかかる膜を堆積した場合、半導体基板を凸形
状にしようとする応力をいう。通常スパッタ法により成
膜された膜の圧縮応力は、0〜1GPa程度であるのに
対し、長距離スパッタ法で成膜された膜の圧縮応力は、
1〜5GPa程度である。
【0072】また、この第2のスパッタ膜26は、他の
高融点金属膜もしくは高融点金属化合物を用いてもよい
が、後述するように第2のスパッタ膜26上には、CV
D法によりW膜27が堆積されるため、このW膜形成時
のシード膜となるよう、コンタクトホールC2内に埋め
込まれる高融点金属膜と同じ金属膜を形成する方が、よ
り好ましい。
【0073】次いで、図23に示すように、第2のスパ
ッタ膜26上に、CVD法によりW膜27(第3の導電
性膜)を堆積する。このW膜27の成膜条件の一例を示
す。Ar流量2200sccm、窒素流量300scc
m、水素1100sccm、WF6流量95sccm、
圧力11970±266Pa、450±5℃で、200
±30nm程度堆積する。
【0074】次いで、図24に示すように、コンタクト
ホールC2外部のW膜27および第1、第2のスパッタ
膜25、26を、層間絶縁膜TH2の表面が露出するま
で、CMP法により除去する。この結果、W膜27およ
び第1、第2のスパッタ膜25、26よりなるプラグP
2が形成される。
【0075】次に、図25に示すように、第1層配線M
1と同様に、層間絶縁膜TH2およびプラグP2上に、
バリア用高融点金属膜M21、アルミニウム(Al)合
金膜M22およびキャップ金属膜M23を順次堆積し、
パターニングすることにより第2層配線M2を形成す
る。
【0076】この後、層間絶縁膜(TH3〜)、プラグ
(P3〜)および配線(M3〜)を、層間絶縁膜TH
2、プラグP2および配線M1、M2と同様に、繰り返
し形成することにより、多層配線を有する半導体集積回
路装置が形成される。5層配線(M1〜M5)の例を、
図26に示す。
【0077】以降の工程の図は省略するが、最上層配線
(図26の場合は、第5層配線M5)上には、窒化シリ
コン膜および酸化シリコン膜等から成るパッシベーショ
ン膜PVを形成する。次いで、このパッシベーション膜
PVの一部をエッチングにより除去し、最上層配線上の
ボンディングパッド部を露出させた後、ボンディングパ
ッド部に金等からなるバンプ下地電極を形成し、さら
に、バンプ下地電極上に金もしくは半田等からなるバン
プ電極を形成する。この後、パッケージ基板等に実装さ
れ半導体集積回路装置が完成する。
【0078】このように、本実施の形態によれば、第1
層配線M1上のコンタクトホールC2内に第1のスパッ
タ膜25および第2のスパッタ膜26を形成したので、
バリア性を向上させることができる。
【0079】例えば、アスペクト比が大きな(3以上)
のコンタクトホールにおいては、図27(a)に示すよ
うに、コンタクトホール底部の高融点金属膜225のバ
リア性が低くなる。バリア性が低くなり、第1層配線M
1が露出してしまうと、第1層配線を構成M1するAl
(Al合金膜22)と、W膜27の原料となるWF6
の反応により昇華性のある反応物が生成する。その結
果、第1層配線M1(Al)が、侵食され、第1層配線
M1とプラグP2との接触面積が確保できなくなり、接
続不良を生じさせる。
【0080】また、配線幅や配線間隔が小さい場合に
は、配線とコンタクトホールとのマージンが小さくな
り、目はずれ(配線パターンに対するコンタクトホール
パターンのずれ)が生じやすくなる。このような場合
は、図27(b)に示すように、配線の側壁にサブトレ
ンチ(径の小さい窪み)が生じ、バリア性がさらに、低
くなる。この場合は、サブトレンチがAl合金膜22ま
で延在しているため、AlとWF6との反応が起こりや
すく、第1層配線M1の高抵抗化や第1層配線M1とプ
ラグP2と接続不良を生じさせる。
【0081】しかしながら、本実施の形態によれば、第
1のスパッタ膜25および第2のスパッタ膜26により
バリア膜を構成しているので、バリア性を向上させるこ
とができる。特に、第2のスパッタ膜26は、前述した
通り、堆積(垂直)方向に、結晶粒の指向性を有するた
め、コンタクトホールC2底部のカバレッジを大きくす
ることができる。図28に、第1のスパッタ膜と第2の
スパッタ膜の結晶粒の様子を示す。θ1は、第1のスパ
ッタ膜25の結晶粒の指向性を示し、θ2は、第2のス
パッタ膜26の結晶粒の指向性を示す。
【0082】また、圧縮応力の小さい第1のスパッタ膜
を下層とし、圧縮応力の大きい第2のスパッタ膜を上層
としたので、第1のスパッタ膜のコンタクトホール底部
コーナー部のカバレッジを確保することができる。例え
ば、圧縮応力の大きい第2のスパッタ膜26を下層とし
た場合には、図29に示すように、コンタクトホールC
2の底部の膜は、a方向に、また、コンタクトホール側
壁の膜は、b方向に応力がかかるため、コンタクトホー
ル底部コーナー部においては、非常に大きな応力がかか
り、クラック等が生じやすい。この後、さらに、第1の
スパッタ膜25を堆積しても、コンタクトホール径が第
2のスパッタ膜25により小さくなっている上、第2の
スパッタ膜は、粒子の指向性が低いため、前述のごと
く、コンタクトホール底部のカバレッジが悪い。従っ
て、コンタクトホール底部コーナー部のクラック発生部
を覆うことが困難となる。
【0083】これに対し、本実施の形態では、圧縮応力
の小さい第1のスパッタ膜を下層とし、圧縮応力の大き
い第2のスパッタ膜を上層としたので、第1のスパッタ
膜のコンタクトホール底部コーナー部のカバレッジを確
保することができる。
【0084】また、バリア膜の一部もしくは全部をCV
D膜で形成した場合には、有機物である反応副生物が膜
中に侵入し、膜の抵抗を大きくしてしまう。また、バリ
ア膜の一部をCVD膜とする場合には、スパッタ膜を形
成した後CVD膜を形成することになり、スパッタ装置
とCVD装置のインテグレーションが困難となる。一
方、スパッタ膜の積層膜であれば、マルチチャンバー等
を用いて低コストで、高品質の膜を得ることができる。
【0085】なお、本実施の形態においては、堆積粒子
に指向性を持たせるため、長距離スパッタ法を用いた
が、前述のコリメータを用いる方法(コリメートスパッ
タリング)や、粒子をイオン化するイオン化スパッタリ
ングを用いてもよい。
【0086】コリメータとは、隣り合う複数の開孔部を
有する板であり、このコリメータを、基板とターゲット
との間に配置しておけば、粒子の通路を堆積(垂直)方
向に制限することができる。
【0087】また、スパッタ粒子をイオン化することに
よって、粒子(イオン)を優先的にコンタクトホール内
に引き込ませる(指向性を持たせる)ことができる。
【0088】また、長距離スパッタ法において、半導体
基板にバイアスを印加することにより、粒子の指向性を
さらに大きくすることができる。
【0089】また、本実施の形態においては、第1のス
パッタ膜として、TiおよびTiN膜を用い、第2のス
パッタ膜としてW膜を用いたが、その他の高融点金属膜
もしくは高融点金属化合物を用いてもよい。これらの膜
には、Ta、TaN、TaSiN、TiSiN、Ti
W、WN膜等がある。
【0090】また、本実施の形態においては、第1のス
パッタ膜を、TiおよびTiN膜の積層膜としたが、単
層膜としてもよい。
【0091】(実施の形態2)実施の形態1の場合にお
いては、Al配線に本発明を適用した場合について説明
したが、銅ダマシン配線に本発明を適用することもでき
る。ダマシン配線とは、絶縁膜中に配線用の溝を形成
後、銅(Cu)等の導電膜を溝内部に埋め込むことによ
り形成された配線をいう。
【0092】以下、本発明の実施の形態である半導体集
積回路装置の製造方法について説明する。図30〜図3
5は、本発明の実施の形態である半導体集積回路装置の
製造方法を示した基板の要部断面図である。なお、プラ
グP1形成工程までは、図1〜図6を参照しながら説明
した実施の形態1の場合と同様であるためその詳細な説
明を省略する。
【0093】まず、実施の形態1で説明した図6に示す
半導体基板1を準備する。次いで、図30に示すよう
に、層間絶縁膜TH1およびプラグP1上に、窒化シリ
コン膜H1aおよび酸化シリコン膜H1bをCVD法に
より順次堆積し、これらの膜から成る配線溝用絶縁膜H
1を形成する。
【0094】次いで、図31に示すように、第1層配線
形成予定領域の配線溝用絶縁膜H1をエッチングするこ
とにより配線溝HM1を形成する。なお、窒化シリコン
膜H1aは、前記エッチングの際のエッチングストッパ
ーとして利用される。
【0095】次に、図32に示すように、配線溝HM1
内を含む配線溝用絶縁膜H1上に窒化チタン膜M1aを
スパッタ法もしくはCVD法により堆積し、次いで、窒
化チタン膜M1a上に、スパッタもしくはCVD法によ
り薄いCu膜(図示せず)を形成した後、その上に、銅
膜M1bを電界メッキ法により形成する。また、前記窒
化チタン膜M1aの代わりに、バリア膜として、窒化タ
ンタル膜、タンタル膜もしくはこれらの積層膜を形成し
てもよい。
【0096】続いて、配線溝HM1外部の銅膜M1bお
よび窒化チタン膜M1aをCMPにより除去することに
より銅膜M1bおよび窒化チタン膜M1aから成る第1
層配線M1(Cu配線)を形成する。
【0097】次に、図33に示すように、第1層配線M
1および酸化シリコン膜H1b上に、窒化シリコン膜T
H2aおよび酸化シリコン膜TH2bをCVD法により
順次堆積し、これらの膜から成る層間絶縁膜TH2を形
成する。なお、窒化シリコン膜TH2aは、コンタクト
ホールC2形成時のエッチングストッパーとして利用さ
れる。
【0098】次いで、図34に示すように、層間絶縁膜
TH2上に第1層配線M1のコンタクト領域上が開孔し
たレジスト膜(図示せず)を形成し、このレジスト膜を
マスクに、酸化シリコン膜TH2bを異方的にエッチン
グする。さらに、このエッチングにより露出した窒化シ
リコン膜TH2aを第1層配線M1の表面が露出するま
でエッチングすることによりコンタクトホールC2を形
成する。次いで、コンタクトホールC2底部の自然酸化
膜等を除去するため、アルゴン(Ar)雰囲気中で、プ
リクリーン処理を行う。
【0099】次いで、このコンタクトホールC2内にプ
ラグP2(Cuプラグ)を形成する。即ち、コンタクト
ホールC2内を含む層間絶縁膜TH2上に、通常スパッ
タ法によりTi膜およびTiN膜の積層膜からなる第1
のスパッタ膜25を堆積し、さらに、Ta(タンタル)
膜よりなる第2のスパッタ膜26を長距離スパッタ法に
より堆積する。
【0100】次いで、第2のスパッタ膜26上に、スパ
ッタもしくはCVD法により薄いCu膜(図示せず)を
形成した後、その上に、電界メッキ法によりCu合金膜
327を堆積し、コンタクトホールC2外部のCu合金
膜327および第1、第2のスパッタ膜25、26を、
層間絶縁膜TH2の表面が露出するまで、CMP法によ
り除去する。なお、第2のスパッタ膜26上に、Cu膜
をスパッタ法により薄く堆積し、電界メッキ用の種膜を
形成した後、前述の電解メッキを行ってもよい。
【0101】次いで、プラグP2上に第2層配線M2
を、第1層配線M1と同様に形成する。即ち、層間絶縁
膜TH2およびプラグP1上に、窒化シリコン膜H2a
および酸化シリコン膜H2bを順次堆積し、これらの膜
から成る配線溝用絶縁膜H2をエッチングすることによ
り配線溝HM2を形成する。次に、配線溝HM2内を含
む配線溝用絶縁膜H2上に窒化チタン膜M2aを堆積
し、次いで、この窒化チタン膜M2a上に、銅膜M2b
を電解メッキ法により形成する。次に、配線溝HM2外
部の銅膜M2bおよび窒化チタン膜M2aをCMPによ
り除去することにより銅膜M2bおよび窒化チタン膜M
2aから成る第2層配線M2を形成する(図35参
照)。
【0102】この後、層間絶縁膜(TH3〜)、プラグ
(P3〜)および配線(M3〜)を、層間絶縁膜TH
2、プラグP2および配線M1、M2と同様に、繰り返
し形成することにより、多層配線を有する半導体集積回
路装置が形成される。図35に、5層配線(M1〜M
5)の例を示す。
【0103】また、実施の形態1と同様に、最上層配線
上には、パッシベーション膜PVをおよびバンプ電極が
形成され、さらに、パッケージ基板等に実装され半導体
集積回路装置が完成する。
【0104】このように、本実施の形態によれば、第1
層配線M1上のコンタクトホールC2内に第1のスパッ
タ膜25および第2のスパッタ膜26を形成したので、
実施の形態1と同様に、バリア性を向上させることがで
きる。
【0105】特に、本実施の形態においては、Cu配線
上にCuプラグ(P2)を用いているため、図36に示
すように、、高融点金属膜225のバリア性が悪い(プ
ラグP2中のCu合金膜327と酸化シリコン膜TH
2、H1が接触している)と、Cuが酸化シリコン膜
(TH2、H1)中に拡散する。その結果、配線間にシ
ョートが生じてしまう。また、Cuが、酸化シリコン膜
(TH2、H1)等を介してMISFETQn、Qpの
ソース、ドレイン領域もしくはチャネル領域に侵入する
と、閾値電位の変動等を引き起こし、デバイス特性に悪
影響を及ぼす。
【0106】しかしながら、本実施の形態によれば、第
1のスパッタ膜25および第2のスパッタ膜26により
バリア膜を構成しているので、バリア性を向上させるこ
とができる。特に、指向性の異なる膜(第1、第2のス
パッタ膜25、26)をバリア膜としているため、コン
タクトホールC2の側壁および底部のカバレッジを大き
くすることができる。
【0107】また、実施の形態1で説明した通り、バリ
ア膜の一部もしくは全部をCVD膜で形成した場合よ
り、低コストで、高品質の膜を得ることができる。
【0108】(実施の形態3)実施の形態2の場合にお
いては、Cu配線上にCuプラグを形成したが、Al配
線上にCuプラグを形成してもよい。
【0109】以下、本発明の実施の形態である半導体集
積回路装置の製造方法について説明する。図37は、本
発明の実施の形態である半導体集積回路装置の製造方法
を示した基板の要部断面図である。なお、Al配線上の
層間絶縁膜TH2中にコンタクトホールC2を形成する
までの工程は、図1〜図20を参照しながら説明した実
施の形態1の場合と同様であるためその詳細な説明を省
略する。
【0110】まず、実施の形態1で説明したように、プ
ラグP1上に第1層配線M1(Al配線)が形成され、
第1層配線M1上の層間絶縁膜TH2中にコンタクトホ
ールC2が形成された半導体基板1を準備する。次い
で、図37に示すように、コンタクトホールC2内を含
む層間絶縁膜TH2上に、通常スパッタ法によりTi膜
およびTiN膜の積層膜からなる第1のスパッタ膜25
を堆積する。
【0111】続いて、第1のスパッタ膜25上に、Ta
からなる第2のスパッタ膜26を堆積する。この第2の
スパッタ膜26は、長距離スパッタ法により形成する。
【0112】次いで、第2のスパッタ膜26上に、電界
メッキ法によりCu合金膜327を堆積する。次いで、
コンタクトホールC2外部のCu合金膜327および第
1、第2のスパッタ膜25、26を、層間絶縁膜TH2
の表面が露出するまで、CMP法により除去する。この
結果、Cu合金膜327および第1、第2のスパッタ膜
25、26よりなるプラグP2が形成される。
【0113】続いて、層間絶縁膜TH2およびプラグP
2上に、バリア用高融点金属膜、アルミニウム(Al)
合金膜およびキャップ金属膜を順次堆積し、パターニン
グすることにより第2層配線を形成する。
【0114】このように、本実施の形態によれば、第1
層配線M1上のコンタクトホールC2内に第1のスパッ
タ膜25および第2のスパッタ膜26を形成したので、
実施の形態1と同様に、バリア性を向上させることがで
きる。
【0115】特に、本実施の形態においては、第1層配
線M1としてAl配線を用い、プラグP2をCuプラグ
としているため、バリア性が悪いと、プラグP2中のC
uと第1層配線M1中のAlが反応し、高抵抗のジュラ
ルミンが生成する。その結果第1層配線M1とプラグP
2との接続不良を生じさせる。
【0116】しかしながら、本実施の形態によれば、第
1のスパッタ膜25および第2のスパッタ膜26により
バリア膜を構成しているので、バリア性を向上させるこ
とができる。特に、指向性の異なる膜(第1、第2のス
パッタ膜25、26)をバリア膜としているため、コン
タクトホールC2の側壁および底部のカバレッジを大き
くすることができる。
【0117】また、実施の形態1で説明した通り、バリ
ア膜の一部もしくは全部をCVD膜で形成した場合よ
り、低コストで、高品質の膜を得ることができる。
【0118】(実施の形態4)実施の形態1〜3におい
ては、配線間を接続するプラグに本発明を適用したが、
基板と配線との接続プラグに本発明を適用することも可
能である。
【0119】以下、本発明の実施の形態である半導体集
積回路装置の製造方法について説明する。図38〜図4
3は、本発明の実施の形態である半導体集積回路装置の
製造方法を示した基板の要部断面図である。なお、nチ
ャネル型MISFETQnおよびpチャネル型MISF
ETQpのn+型半導体領域14およびp+型半導体領域
15(ソース、ドレイン)を形成するまでの工程は、図
1を参照しながら説明した実施の形態1の場合と同様で
あるためその詳細な説明を省略する。
【0120】このn+型半導体領域14およびp+型半導
体領域15形成後、図38に示すように、半導体基板1
上に膜厚700nm〜800nm程度の酸化シリコン膜を堆
積した後、酸化シリコン膜をCMP法で研磨してその表
面を平坦化することによって層間絶縁膜TH1を形成す
る。
【0121】次に、層間絶縁膜TH1上にフォトレジス
ト膜を形成し(図示せず)、このフォトレジスト膜をマ
スクに層間絶縁膜TH1をエッチングすることにより半
導体基板1主面のn+型半導体領域14およびp+型半導
体領域15上にコンタクトホールC1を形成する。次い
で、コンタクトホールC1底部の自然酸化膜等を除去す
るため、アルゴン(Ar)雰囲気中で、プリクリーン処
理を行う。
【0122】ここで、図39は、図38のコンタクトホ
ールC1部の拡大図である。図中、ソース、ドレイン領
域(n+型半導体領域14、p+型半導体領域15)は省
略されている。以降は、このコンタクトホールC1部の
拡大図用いて、工程を説明する。
【0123】次いで、図39に示すように、コンタクト
ホールC1内を含む層間絶縁膜TH1上に、スパッタ法
もしくはCVD法によりTi膜17を堆積する。次い
で、窒素雰囲気で、熱処理を施すことにより、コンタク
トホールC1底部の半導体基板1およびTi膜17の接
触部においてシリサイド化反応を起こさせると共に、コ
ンタクトホールC1側壁および層間絶縁膜TH1上部の
Ti膜17を窒化する。その結果、コンタクトホールC
1底部にTiSi2膜17aが形成され、コンタクトホ
ールC1側壁および層間絶縁膜TH1上部にTiN膜1
7bが形成される(図40)。
【0124】次いで、図41に示すように、通常スパッ
タ法によりTiN膜からなる第1のスパッタ膜325を
堆積し、続いて、図42に示すように、その上に、長距
離スパッタ法によりW膜からなる第2のスパッタ膜32
6を堆積する。
【0125】次いで、図43に示すように、第2のスパ
ッタ膜326上に、CVD法によりW膜19を堆積す
る。この際、コンタクトホールC1内に充分充填される
程度の膜厚のW膜19を堆積する。次いで、コンタクト
ホールC1外部のW膜19および第1、第2のスパッタ
膜325、326を、層間絶縁膜TH1の表面が露出す
るまで、CMP法により除去する。この結果、W膜19
および第1、第2のスパッタ膜325、326よりなる
プラグP1が形成される。
【0126】続いて、層間絶縁膜TH1およびプラグP
1上に、Al配線もしくはCu配線を実施の形態1〜3
のように形成する。
【0127】このように、本実施の形態によれば、第1
層配線M1上のコンタクトホールC1内に第1のスパッ
タ膜325および第2のスパッタ膜326を形成したの
で、実施の形態1と同様に、バリア性を向上させること
ができる。
【0128】即ち、図44に示すように、コンタクトホ
ール底部に高融点金属膜225のバリア性が低い状態
で、W膜19を堆積すると、半導体基板1の露出部(S
i)が、W膜19の原料となるWF6に侵され、高抵抗
不良になったり、ジャンクションリークを生じさせたり
する。高抵抗不良は、FとSiとが反応して高抵抗層
(1a)が生じることにより起こる。また、ジャンクシ
ョンリークは半導体基板1の露出部を介しゲート電極近
傍までW膜が成長することによって、ゲート電極とソー
ス、ドレイン領域との間に電流が流れることにより生じ
る。
【0129】しかしながら、本実施の形態によれば、第
1のスパッタ膜325および第2のスパッタ膜326に
よりバリア膜を構成しているので、バリア性を向上させ
ることができる。特に、指向性の異なる膜(第1、第2
のスパッタ膜325、326)をバリア膜としているた
め、コンタクトホールC1の側壁および底部のカバレッ
ジを大きくすることができる。
【0130】また、実施の形態1で説明した通り、バリ
ア膜の一部もしくは全部をCVD膜で形成した場合よ
り、低コストで、高品質の膜を得ることができる。
【0131】(実施の形態5)実施の形態1〜実施の形
態4においては、プラグ内に通常スパッタ膜(25)を
形成した後、長距離スパッタ膜(26)を形成したが、
プラグ内に長距離スパッタ膜を形成した後、通常スパッ
タ膜を形成してもよい。
【0132】図45は、本発明の実施の形態である半導
体集積回路装置を示した基板の要部断面図である。図4
5に示すように、コンタクトホールC2の内壁に長距離
スパッタ膜26が形成され、この長距離スパッタ膜26
上に、通常スパッタ膜25が形成されている。
【0133】このように、本実施の形態によれば、最初
に長距離スパッタ膜を形成したので、コンタクトホール
の側壁上部の庇状に突き出た膜の膜厚を低減でき、スパ
ッタ膜26やW等の金属膜27の埋め込み特性が良くな
る。
【0134】しかしながら、前述した通り、長距離スパ
ッタ法により成膜された膜の圧縮応力が大きいため、コ
ンタクトホールの径が大きい場合や、配線パターンとコ
ンタクトホールパターンとの間に合わせ余裕が大きい場
合に、適用することが好ましい。
【0135】なお、本実施の形態の半導体集積回路装置
の製造方法は、実施の形態1〜4の第1のスパッタ膜
(25、325)を長距離スパッタ法で成膜し、第2の
スパッタ膜(26、326)を通常スパッタ法で成膜す
る他は、同様であるためその詳細な説明は省略する。ま
た、コリメータを用いたスパッタ法やイオン化スパッタ
法を用いてスパッタ膜26を形成してもよい。
【0136】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
【0137】なお、前述の実施の形態においては、半導
体素子としてMISFETQnおよびQpを形成した
が、これらMISFETを用いたSRAMメモリセル
や、フラッシュメモリセル等を形成することもでき、基
板と配線もしくは配線間を接続する接続部を有する半導
体装置に広く適用することができる。
【0138】
【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。
【0139】本発明の一実施の形態によれば、配線間も
しくは半導体基板と配線との間の良好なコンタクトを得
ることができる。
【0140】また、半導体集積回路装置の信頼性を高
め、また、製品歩留まりを向上させることができる。
【図面の簡単な説明】
【図1】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図2】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図3】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図4】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図5】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図6】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図7】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図8】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図9】本発明の実施の形態1である半導体集積回路装
置の製造方法を示した基板の要部断面図である。
【図10】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図11】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図12】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図13】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図14】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図15】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図16】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図17】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図18】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図19】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図20】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図21】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図22】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図23】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図24】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図25】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図26】本発明の実施の形態1である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図27】(a)および(b)は、本実施の形態の効果
を説明するための図である。
【図28】本発明の実施の形態1である半導体集積回路
装置を示した基板の要部断面図である。
【図29】本実施の形態の効果を説明するための図であ
る。
【図30】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図31】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図32】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図33】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図34】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図35】本発明の実施の形態2である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図36】本実施の形態の効果を説明するための図であ
る。
【図37】本発明の実施の形態3である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図38】本発明の実施の形態4である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図39】本発明の実施の形態4である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図40】本発明の実施の形態4である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図41】本発明の実施の形態4である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図42】本発明の実施の形態4である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図43】本発明の実施の形態4である半導体集積回路
装置の製造方法を示した基板の要部断面図である。
【図44】本実施の形態の効果を説明するための図であ
る。
【図45】本発明の実施の形態5である半導体集積回路
装置を示した基板の要部断面図である。
【符号の説明】
1 半導体基板 2 素子分離溝 3 p型ウエル 4 n型ウエル 7 酸化シリコン膜 8 ゲート酸化膜 9 ゲート電極 9a 多結晶シリコン膜 9b W膜 10 窒化シリコン膜 11 n-型半導体領域 12 p-型半導体領域 13 サイドウォールスペーサ 14 n+型半導体領域 15 p+型半導体領域 16 CoSi2層 17 Ti膜 17a TiSi2膜 17b TiN膜 18 バリア用高融点金属膜 19 W膜 21 バリア用高融点金属膜 22 Al合金膜 23 キャップ金属膜 25 第1のスパッタ膜(通常スパッタ膜) 26 第2のスパッタ膜(長距離スパッタ膜) 27 W膜 327 Cu合金膜 225 高融点金属膜 325 第1のスパッタ膜 326 第2のスパッタ膜 C1 コンタクトホール C2 コンタクトホール H1 配線溝用絶縁膜 H1a 窒化シリコン膜 H1b 酸化シリコン膜 H2 配線溝用絶縁膜 H2a 窒化シリコン膜 H2b 酸化シリコン膜 HM1 配線溝 HM2 配線溝 M1 第1層配線 M1a 窒化チタン膜 M1b 銅膜 M2 第2層配線 M21 バリア用高融点金属膜 M22 アルミニウム(Al)合金膜 M23 およびキャップ金属膜 M2a 窒化チタン膜 M2b 銅膜 M3〜M5 配線 P1〜P5 プラグ PV パッシベーション膜 R1 レジスト膜 R2 レジスト膜 TH1 層間絶縁膜 TH2 層間絶縁膜 TH2a 窒化シリコン膜 TH2b 酸化シリコン膜(TEOS膜) TH2c 酸化シリコン膜(TEOS膜)
───────────────────────────────────────────────────── フロントページの続き (72)発明者 齋藤 達之 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 田中 宇乙 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 鈴木 秀典 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 津金 秀明 東京都小平市上水本町5丁目22番1号 株 式会社日立超エル・エス・アイ・システム ズ内 (72)発明者 吉田 安子 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 (72)発明者 奥谷 謙 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体グループ内 Fターム(参考) 4M104 BB14 BB17 BB25 BB27 BB32 BB33 CC01 DD16 DD17 DD23 DD37 FF16 FF22 GG09 GG14 HH05 5F033 HH09 HH11 HH18 HH21 HH32 HH33 JJ11 JJ18 JJ19 JJ21 JJ23 JJ27 JJ30 JJ32 JJ33 JJ34 KK01 KK08 KK09 KK11 KK18 KK25 KK32 KK33 MM01 MM08 MM12 MM13 NN06 NN07 NN32 PP04 PP06 PP15 PP16 PP21 PP22 PP23 PP27 PP33 QQ03 QQ08 QQ09 QQ11 QQ14 QQ25 QQ37 QQ48 QQ70 QQ73 QQ76 QQ92 QQ94 RR04 RR06 SS01 SS04 SS11 SS15 XX09 XX28 XX31

Claims (42)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板上に絶縁膜を形成する
    工程と、 (b)前記絶縁膜を選択的にエッチングすることにより
    コンタクトホールを形成する工程と、 (c)前記コンタクトホール内を含む前記絶縁膜上に、
    第1のスパッタ法により第1の導電性膜を堆積する工程
    と、 (d)前記第1の導電性膜上に、前記第1のスパッタ法
    より指向性の高い第2のスパッタ法により第2の導電性
    膜を堆積する工程と、 (e)前記第2の導電性膜上に第3の導電性膜を堆積
    し、前記コンタクトホール外部の第1、第2および第3
    の導電性膜を除去することによりプラグを形成する工程
    と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  2. 【請求項2】 前記第2のスパッタ法は、長距離スパッ
    タ法であることを特徴とする請求項1記載の半導体集積
    回路装置の製造方法。
  3. 【請求項3】 前記長距離スパッタ法は、前記半導体基
    板に電位を印加しながら行われることを特徴とする請求
    項2記載の半導体集積回路装置の製造方法。
  4. 【請求項4】 前記第2のスパッタ法は、コリメータを
    用いたスパッタ法であることを特徴とする請求項1記載
    の半導体集積回路装置の製造方法。
  5. 【請求項5】 前記第2のスパッタ法は、イオン化スパ
    ッタ法であることを特徴とする請求項1記載の半導体集
    積回路装置の製造方法。
  6. 【請求項6】 (a)半導体基板上に形成された配線上
    に、絶縁膜を形成する工程と、 (b)前記絶縁膜を選択的にエッチングすることによ
    り、前記配線上にコンタクトホールを形成する工程と、 (c)前記コンタクトホール内を含む前記絶縁膜上に、
    第1のスパッタ法により第1の導電性膜を堆積する工程
    と、 (d)前記第1の導電性膜上に、前記第1のスパッタ法
    より指向性の高い第2のスパッタ法により第2の導電性
    膜を堆積する工程と、 (e)前記第2の導電性膜上に第3の導電性膜を堆積
    し、前記コンタクトホール外部の第1、第2および第3
    の導電性膜を除去することによりプラグを形成する工程
    と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  7. 【請求項7】 前記配線は、アルミニウム配線であり、
    前記第3の導電性膜は、タングステン膜であることを特
    徴とする請求項6記載の半導体集積回路装置の製造方
    法。
  8. 【請求項8】 前記第2のスパッタ法は、長距離スパッ
    タ法であることを特徴とする請求項7記載の半導体集積
    回路装置の製造方法。
  9. 【請求項9】 前記長距離スパッタ法は、前記半導体基
    板に電位を印加しながら行われることを特徴とする請求
    項8記載の半導体集積回路装置の製造方法。
  10. 【請求項10】 前記第2のスパッタ法は、コリメータ
    を用いたスパッタ法であることを特徴とする請求項7記
    載の半導体集積回路装置の製造方法。
  11. 【請求項11】 前記第2のスパッタ法は、イオン化ス
    パッタ法であることを特徴とする請求項7記載の半導体
    集積回路装置の製造方法。
  12. 【請求項12】 前記第3の導電性膜は、銅膜であるこ
    とを特徴とする請求項6記載の半導体集積回路装置の製
    造方法。
  13. 【請求項13】 前記第2のスパッタ法は、長距離スパ
    ッタ法であることを特徴とする請求項12記載の半導体
    集積回路装置の製造方法。
  14. 【請求項14】 前記長距離スパッタ法は、前記半導体
    基板に電位を印加しながら行われることを特徴とする請
    求項13記載の半導体集積回路装置の製造方法。
  15. 【請求項15】 前記第2のスパッタ法は、コリメータ
    を用いたスパッタ法であることを特徴とする請求項12
    記載の半導体集積回路装置の製造方法。
  16. 【請求項16】 前記第2のスパッタ法は、イオン化ス
    パッタ法であることを特徴とする請求項12記載の半導
    体集積回路装置の製造方法。
  17. 【請求項17】 前記配線は、アルミニウム配線であ
    り、前記第3の導電性膜は、銅膜であることを特徴とす
    る請求項6記載の半導体集積回路装置の製造方法。
  18. 【請求項18】 前記第2のスパッタ法は、長距離スパ
    ッタ法であることを特徴とする請求項17記載の半導体
    集積回路装置の製造方法。
  19. 【請求項19】 前記長距離スパッタ法は、前記半導体
    基板に電位を印加しながら行われることを特徴とする請
    求項18記載の半導体集積回路装置の製造方法。
  20. 【請求項20】 前記第2のスパッタ法は、コリメータ
    を用いたスパッタ法であることを特徴とする請求項17
    記載の半導体集積回路装置の製造方法。
  21. 【請求項21】 前記第2のスパッタ法は、イオン化ス
    パッタ法であることを特徴とする請求項17記載の半導
    体集積回路装置の製造方法。
  22. 【請求項22】 前記第1および第2の導電性膜は、高
    融点金属膜もしくは高融点金属の化合物からなる膜であ
    ることを特徴とする請求項6記載の半導体集積回路装置
    の製造方法。
  23. 【請求項23】 前記第1の導電性膜は、高融点金属膜
    であることを特徴とする請求項6記載の半導体集積回路
    装置の製造方法。
  24. 【請求項24】 前記第1および第2の導電性膜は、T
    i、TiN、W、Ta、TaN、TaSiN、TiSi
    N、TiW、もしくはWNからなる膜であることを特徴
    とする請求項6記載の半導体集積回路装置の製造方法。
  25. 【請求項25】 (a)半導体基板上に絶縁膜を形成す
    る工程と、 (b)前記絶縁膜を選択的にエッチングすることにより
    コンタクトホールを形成する工程と、 (c)前記コンタクトホール内を含む前記絶縁膜上に、
    長距離スパッタ法により第1の導電性膜を堆積する工程
    と、 (d)前記第1の導電性膜上に、通常スパッタ法により
    第2の導電性膜を堆積する工程と、 (e)前記第2の導電性膜上に第3の導電性膜を堆積
    し、前記コンタクトホール外部の第1、第2および第3
    の導電性膜を除去することによりプラグを形成する工程
    と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  26. 【請求項26】 (a)半導体基板上に絶縁膜を形成す
    る工程と、 (b)前記絶縁膜を選択的にエッチングすることにより
    コンタクトホールを形成する工程と、 (c)前記コンタクトホール内を含む前記絶縁膜上に、
    イオン化スパッタ法により第1の導電性膜を堆積する工
    程と、 (d)前記第1の導電性膜上に、通常スパッタ法により
    第2の導電性膜を堆積する工程と、 (e)前記第2の導電性膜上に第3の導電性膜を堆積
    し、前記コンタクトホール外部の第1、第2および第3
    の導電性膜を除去することによりプラグを形成する工程
    と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  27. 【請求項27】 (a)半導体基板上に形成された絶縁
    膜と、 (b)前記絶縁膜中に形成されたコンタクトホールと、 (c)前記コンタクトホールの底部および側壁に形成さ
    れた第1のスパッタ膜と、 (d)前記コンタクトホールの底部および側壁の前記第
    1のスパッタ膜上に形成された第2のスパッタ膜と、 (e)前記コンタクトホール内部に埋め込まれた導電性
    膜と、を有し、 (f)前記第2のスパッタ膜は、前記第1のスパッタ膜
    より指向性が高いことを特徴とする半導体集積回路装
    置。
  28. 【請求項28】 前記第2のスパッタ膜は、長距離スパ
    ッタ法により形成された膜であることを特徴とする請求
    項27記載の半導体集積回路装置。
  29. 【請求項29】 前記第2のスパッタ膜は、コリメータ
    を用いたスパッタ法により形成された膜であることを特
    徴とする請求項27記載の半導体集積回路装置。
  30. 【請求項30】 前記第2のスパッタ膜は、イオン化ス
    パッタ法により形成された膜であることを特徴とする請
    求項27記載の半導体集積回路装置。
  31. 【請求項31】 (a)半導体基板上に形成された配線
    と、 (b)前記配線上に形成された絶縁膜と、 (c)前記絶縁膜中に形成されたコンタクトホールと、 (d)前記コンタクトホールの底部および側壁に形成さ
    れた第1のスパッタ膜と、 (e)前記コンタクトホールの底部および側壁の前記第
    1のスパッタ膜上に形成された第2のスパッタ膜と、 (f)前記コンタクトホール内部に埋め込まれた導電性
    膜と、を有し、 (g)前記第2のスパッタ膜は、前記第1のスパッタ膜
    より指向性が高いことを特徴とする半導体集積回路装
    置。
  32. 【請求項32】 前記配線は、アルミニウム配線であ
    り、前記第3の導電性膜は、タングステン膜であること
    を特徴とする請求項31記載の半導体集積回路装置。
  33. 【請求項33】 前記第2のスパッタ膜は、長距離スパ
    ッタ法、コリメータを用いたスパッタ法もしくはイオン
    化スパッタ法で形成された膜であることを特徴とする請
    求項32記載の半導体集積回路装置。
  34. 【請求項34】 前記第3の導電性膜は、銅膜であるこ
    とを特徴とする請求項31記載の半導体集積回路装置。
  35. 【請求項35】 前記第2のスパッタ膜は、長距離スパ
    ッタ法、コリメータを用いたスパッタ法もしくはイオン
    化スパッタ法で形成された膜であることを特徴とする請
    求項34記載の半導体集積回路装置。
  36. 【請求項36】 前記第1の配線は、アルミニウム配線
    であり、前記第3の導電性膜は、銅膜であることを特徴
    とする請求項31記載の半導体集積回路装置。
  37. 【請求項37】 前記第2のスパッタ膜は、長距離スパ
    ッタ法、コリメータを用いたスパッタ法もしくはイオン
    化スパッタ法で形成された膜であることを特徴とする請
    求項36記載の半導体集積回路装置。
  38. 【請求項38】 前記第1および第2の導電性膜は、高
    融点金属膜もしくは高融点金属の化合物からなる膜であ
    ることを特徴とする請求項31記載の半導体集積回路装
    置。
  39. 【請求項39】 前記第1の導電性膜は、高融点金属膜
    であることを特徴とする請求項31記載の半導体集積回
    路装置。
  40. 【請求項40】 前記第1および第2の導電性膜は、T
    i、TiN、W、Ta、TaN、TaSiN、TiSi
    N、TiW、もしくはWNからなる膜であることを特徴
    とする請求項31記載の半導体集積回路装置。
  41. 【請求項41】 (a)半導体基板上に形成された絶縁
    膜と、 (b)前記絶縁膜中に形成されたコンタクトホールと、 (c)前記コンタクトホールの底部および側壁に形成さ
    れ、長距離スパッタ法により形成されたた第1のスパッ
    タ膜と、 (d)前記コンタクトホールの底部および側壁の前記第
    1のスパッタ膜上に形成され、前記第1のスパッタ膜よ
    り指向性が低い第2のスパッタ膜と、 (e)前記コンタクトホール内部に埋め込まれた導電性
    膜と、を有することを特徴とする半導体集積回路装置。
  42. 【請求項42】 (a)半導体基板上に形成された絶縁
    膜と、 (b)前記絶縁膜中に形成されたコンタクトホールと、 (c)前記コンタクトホールの底部および側壁に形成さ
    れ、イオン化スパッタ法により形成されたた第1のスパ
    ッタ膜と、 (d)前記コンタクトホールの底部および側壁の前記第
    1のスパッタ膜上に形成され、前記第1のスパッタ膜よ
    り指向性が低い第2のスパッタ膜と、 (e)前記コンタクトホール内部に埋め込まれた導電性
    膜と、を有することを特徴とする半導体集積回路装置。
JP2001014068A 2001-01-23 2001-01-23 半導体集積回路装置および半導体集積回路装置の製造方法 Pending JP2002217292A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001014068A JP2002217292A (ja) 2001-01-23 2001-01-23 半導体集積回路装置および半導体集積回路装置の製造方法
US09/822,318 US6764945B2 (en) 2001-01-23 2001-04-02 Method of manufacturing a multilayer metallization structure with non-directional sputtering method
US10/844,479 US7088001B2 (en) 2001-01-23 2004-05-13 Semiconductor integrated circuit device with a metallization structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001014068A JP2002217292A (ja) 2001-01-23 2001-01-23 半導体集積回路装置および半導体集積回路装置の製造方法

Publications (1)

Publication Number Publication Date
JP2002217292A true JP2002217292A (ja) 2002-08-02

Family

ID=18880808

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001014068A Pending JP2002217292A (ja) 2001-01-23 2001-01-23 半導体集積回路装置および半導体集積回路装置の製造方法

Country Status (2)

Country Link
US (2) US6764945B2 (ja)
JP (1) JP2002217292A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2004097930A1 (ja) * 2003-04-28 2006-07-13 富士通株式会社 半導体装置及びその製造方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP3967239B2 (ja) * 2001-09-20 2007-08-29 株式会社フジクラ 充填金属部付き部材の製造方法及び充填金属部付き部材
US7091131B2 (en) * 2002-03-21 2006-08-15 Micron Technology, Inc. Method of forming integrated circuit structures in silicone ladder polymer
TWI320218B (en) * 2003-07-25 2010-02-01 Method for forming aluminum containing interconnect
US7030431B2 (en) * 2004-03-19 2006-04-18 Nanya Technology Corp. Metal gate with composite film stack
US7267748B2 (en) * 2004-10-19 2007-09-11 Centre Luxembourgeois De Recherches Pour Le Verre Et La Ceramique S.A. Method of making coated article having IR reflecting layer with predetermined target-substrate distance
US7538024B2 (en) * 2005-05-03 2009-05-26 United Microelectronics Corp. Method of fabricating a dual-damascene copper structure
KR100790227B1 (ko) * 2005-05-20 2008-01-02 후지쯔 가부시끼가이샤 반도체 장치 및 그 제조 방법
JP4718962B2 (ja) * 2005-10-07 2011-07-06 ルネサスエレクトロニクス株式会社 半導体装置
WO2007111518A1 (en) * 2006-03-27 2007-10-04 Nano Cluster Devices Limited Filling of nanoscale and microscale structures
JP2007273756A (ja) * 2006-03-31 2007-10-18 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7816256B2 (en) * 2006-07-17 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving the reliability of interconnect structures and resulting structure
US7629249B2 (en) * 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
CN110610967A (zh) * 2019-08-28 2019-12-24 武汉华星光电半导体显示技术有限公司 显示面板及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176767A (ja) * 1997-12-11 1999-07-02 Toshiba Corp 半導体装置の製造方法
JP2000106397A (ja) * 1998-07-31 2000-04-11 Sony Corp 半導体装置における配線構造及びその形成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04207033A (ja) 1990-11-30 1992-07-29 Fujitsu Ltd 半導体装置の製造方法
JP2725944B2 (ja) 1991-04-19 1998-03-11 インターナショナル・ビジネス・マシーンズ・コーポレイション 金属層堆積方法
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JPH08181212A (ja) 1994-12-26 1996-07-12 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
JPH10242271A (ja) 1997-02-28 1998-09-11 Sony Corp 半導体装置及びその製造方法
JP3019021B2 (ja) * 1997-03-31 2000-03-13 日本電気株式会社 半導体装置及びその製造方法
US6334249B2 (en) * 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
JP3463979B2 (ja) 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
US6189209B1 (en) * 1998-10-27 2001-02-20 Texas Instruments Incorporated Method for reducing via resistance in small high aspect ratio holes filled using aluminum extrusion
JP3974284B2 (ja) 1999-03-18 2007-09-12 株式会社東芝 半導体装置の製造方法
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6448173B1 (en) * 2000-06-07 2002-09-10 International Business Machines Corporation Aluminum-based metallization exhibiting reduced electromigration and method therefor
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176767A (ja) * 1997-12-11 1999-07-02 Toshiba Corp 半導体装置の製造方法
JP2000106397A (ja) * 1998-07-31 2000-04-11 Sony Corp 半導体装置における配線構造及びその形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2004097930A1 (ja) * 2003-04-28 2006-07-13 富士通株式会社 半導体装置及びその製造方法
US7492047B2 (en) 2003-04-28 2009-02-17 Fujitsu Limited Semiconductor device and its manufacture method

Also Published As

Publication number Publication date
US7088001B2 (en) 2006-08-08
US6764945B2 (en) 2004-07-20
US20020098670A1 (en) 2002-07-25
US20040207095A1 (en) 2004-10-21

Similar Documents

Publication Publication Date Title
US7642652B2 (en) Semiconductor integrated circuit device and a method of manufacturing the same
JP5211503B2 (ja) 半導体装置の製造方法
JP5385610B2 (ja) 相互接続構造体の形成方法
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
JP2003152077A (ja) 半導体装置および半導体装置の製造方法
TWI423387B (zh) 形成具有擴散阻障堆疊之半導體裝置之方法及其結構
CN103854990A (zh) 制造具有低电阻金属栅极结构的集成电路的方法
US20080099921A1 (en) Semiconductor device and method of fabricating the same
JP2002217292A (ja) 半導体集積回路装置および半導体集積回路装置の製造方法
CN102498560A (zh) 用于窄互连开口的导电结构
US9153500B2 (en) Method for improving the electromigration resistance in the copper interconnection process
US20100081271A1 (en) Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US20210313416A1 (en) Structure and formation method of semiconductor device with capacitors
JP2011192712A (ja) 半導体装置の製造方法
US7531419B2 (en) Semiconductor device and a method of manufacturing the same
US20030003721A1 (en) Thin titanium nitride layers used in conjunction with tungsten
JP2003100746A (ja) 半導体装置の製造方法
KR20080060928A (ko) 반도체 소자의 금속배선 구조 및 그의 형성방법
JP3104843B2 (ja) アンチヒューズ型半導体集積回路装置
JP2001308178A (ja) 半導体装置の製造方法
JP2004031866A (ja) 半導体集積回路装置
JP2002334927A (ja) 半導体装置の製造方法
JP2002064138A (ja) 半導体集積回路装置およびその製造方法
JP4173393B2 (ja) 半導体装置の製造方法
JPH09293790A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050315

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100601