JP2003152077A - 半導体装置および半導体装置の製造方法 - Google Patents

半導体装置および半導体装置の製造方法

Info

Publication number
JP2003152077A
JP2003152077A JP2001349875A JP2001349875A JP2003152077A JP 2003152077 A JP2003152077 A JP 2003152077A JP 2001349875 A JP2001349875 A JP 2001349875A JP 2001349875 A JP2001349875 A JP 2001349875A JP 2003152077 A JP2003152077 A JP 2003152077A
Authority
JP
Japan
Prior art keywords
film
barrier metal
semiconductor device
insulating film
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001349875A
Other languages
English (en)
Other versions
JP2003152077A5 (ja
JP4198906B2 (ja
Inventor
Tatsuyuki Saito
達之 齋藤
Tadashi Ohashi
直史 大橋
Toshinori Imai
俊則 今井
Junji Noguchi
純司 野口
Takeshi Tamaru
剛 田丸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2001349875A priority Critical patent/JP4198906B2/ja
Priority to TW091132370A priority patent/TWI300970B/zh
Priority to KR1020020070718A priority patent/KR20030040169A/ko
Priority to US10/294,937 priority patent/US7053487B2/en
Priority to CNB021495947A priority patent/CN100470787C/zh
Priority to US10/329,831 priority patent/US6908847B2/en
Publication of JP2003152077A publication Critical patent/JP2003152077A/ja
Publication of JP2003152077A5 publication Critical patent/JP2003152077A5/ja
Application granted granted Critical
Publication of JP4198906B2 publication Critical patent/JP4198906B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 配線と接続部との間の接触抵抗を低減し、エ
レクトロマイグレーション特性を向上させる。 【解決手段】 その表面に、配線を構成する銅の拡散等
を防止するためのタングステン膜CM1が形成された第
1層配線上の絶縁膜(24a、24b、24c、26
b、26c)をエッチングすることによりコンタクトホ
ールC2および配線溝HM2を形成する際、コンタクト
ホールC2底部のタングステン膜CM1を除去し、バリ
ア膜PM2aを形成した後、コンタクトホールC2底部
のバリア膜PM2aを除去し、銅膜(PM2b、PM2
c)を形成した後、その表面を研磨することにより第2
層配線M2およびその下層のプラグP2を形成する。ま
た、タングステン膜CM1又はバリア膜PM2aの少な
くとも一方を除去するか、それらを不連続な膜で構成す
る。その結果、第1層配線M1とプラグP2との間の接
触抵抗を低減できる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置に関し、特に、配線間の接続部に適用して有効な技術
に関するものである。
【0002】
【従来の技術】近年、半導体集積回路装置(半導体装
置)における配線の微細化および多層化に伴い、例え
ば、絶縁膜中に溝を形成し、導電性膜を溝内部に埋め込
むことにより配線等を形成する、いわゆるダマシン技術
が検討されている。
【0003】このダマシン技術には、配線用の溝と、配
線と配線とを接続する接続部用の溝とを異なる工程で埋
め込むシングルダマシン法と、配線用の溝と接続部用の
溝とを同時に埋め込むデュアルダマシン法がある。
【0004】これらの溝中に埋め込まれる導電性膜とし
て例えば、銅膜等が用いられている。
【0005】また、この溝の内部には、1)埋め込まれ
る導電性膜を構成する金属(銅膜の場合は銅)の絶縁膜
中への拡散を防止するため、また、2)絶縁膜が、酸化
シリコン膜のような酸化物で形成される場合、この酸化
シリコン膜と導電性膜とが接触することによって導電性
膜が酸化されることを防止する等のために、溝内部に例
えば、バリア性を有する導電性膜を形成する。
【0006】また、埋め込まれる導電性膜(例えば、銅
膜)上には、この上部に形成される絶縁膜中への金属の
拡散や絶縁膜による酸化を防止するため、窒化シリコン
膜等のバリア性を有する絶縁膜を形成する。
【0007】
【発明が解決しようとする課題】しかしながら、窒化シ
リコン膜は、誘電率が高いことから配線のRC時定数が
大きくなり、装置の高速動作を妨げる。
【0008】また、導電性膜を構成する金属の拡散(移
動)によりエレクトロマイグレーションが生じ得るが、
例えば銅の拡散のしやすさを本発明者らが検討した結
果、銅−バリア膜界面と銅−窒化シリコン膜界面とで
は、拡散の活性化エネルギーが銅−バリア膜界面の方が
大きい(すなわち、銅が拡散しにくい)と推測された。
従って、エレクトロマイグレーション寿命は、銅−窒化
シリコン膜界面での銅の拡散の活性化エネルギー値によ
り律則されることになる。
【0009】さらに、配線と配線とを接続する接続部の
底面において、エレクトロマイグレーションによりボイ
ドが発生すると、接続部と下層の配線との接触面積が小
さくなり、加速的に配線寿命が低下してしまう。
【0010】そこで、本発明者らは、配線の上部にタン
グステン(W)膜等のバリア性を有する導電性膜を形成
することを検討している。
【0011】例えば、USP6147402号公報に
は、AlとCu合金(AlnCuyALLOY)よりな
る配線上に、Wよりなるキャップ(WCAP)を形成す
る技術が開示されている。
【0012】また、USP6114243号公報には、
いわゆるデュアルダマシン構造において、銅層(24)
の上部に導電性のキャップ層(26)を形成し、さらに
その上部にビアもしくはデュアルダマシンの開口部(3
5)を形成し、バリア層(36)と銅層(36)を形成
する技術が開示されている。カッコ内は、公報中の符号
を示す。
【0013】しかしながら、このように配線の上部にタ
ングステン(W)膜等のバリア性を有する導電性膜(以
下、「キャップバリアメタル層」という)を形成する場
合、配線と接続部との間は、配線を構成する金属膜−キ
ャップバリアメタル層−バリアメタル層−接続部を構成
する金属層が積層された構造となり、これらの膜間の接
触抵抗が増加してしまう。
【0014】また、このような構造では、エレクトロマ
イグレーションによる金属原子の移動が起こった場合に
おいて、接続部と配線部との間にキャップバリアメタル
層およびバリアメタル層が存在するため、接続部と配線
との間に、金属の移動が起きない。
【0015】その結果、ボイドの発生頻度が大きくな
り、断線を起こすポテンシャルが高くなってしまう。ま
た、同様な断線はエレクトロマイグレーションのみでな
く、ストレスによるバリアメタルと銅の界面での剥離、
すなわちマイグレーションによっても引き起こされる懸
念がある。
【0016】本発明の目的は、配線と接続部との間の接
触抵抗を低減することにある。
【0017】また、本発明の他の目的は、エレクトロマ
イグレーションによるボイドの発生率や断線の発生率を
低減させることやストレスマイグレーションによる断線
の発生率を低減させる等、信頼性を向上させることにあ
る。
【0018】また、本発明の他の目的は、半導体装置の
特性を向上させることにある。
【0019】本発明の前記目的と新規な特徴は、本明細
書の記述および添付図面から明らかになるであろう。
【0020】
【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要を簡単に説明すれば、下
記のとおりである。
【0021】(1)本発明の半導体装置は、半導体基板
上に形成され、かつ、配線溝を有する第1層間絶縁膜
と、前記配線溝の側壁と底面に形成された第1バリアメ
タル層と、前記配線溝を埋め込むように前記第1バリア
メタル層上に形成された第1導電体層と、前記第1導電
体層の表面に形成されたキャップバリアメタル膜とを有
する配線部と、前記第1層間絶縁膜上に形成され、か
つ、接続孔を有する第2層間絶縁膜と、前記接続孔の側
壁と底面に形成された第2バリアメタル層と、前記接続
孔を埋め込むように前記第2バリアメタル層上に形成さ
れた第2導電体層とを有する接続部と、を有する半導体
装置において、前記接続部と前記配線部の接続部分にお
いて、前記接続孔の底面の前記第2バリアメタル層又は
前記キャップバリアメタル膜の、少なくともどちらか一
方が除去されているか、又はそれらのバリア材が不連続
な膜で構成されたものである。
【0022】(2)本発明の半導体装置の製造方法は、
半導体基板上に第1層間絶縁膜を形成する工程と、前記
第1層間絶縁膜中に配線溝を形成する工程と、前記配線
溝の側壁と底面に第1バリアメタル層を形成する工程
と、前記配線溝を埋め込むように前記第1バリアメタル
層上に第1導電体層を形成する工程と、前記第1導電体
層の表面にキャップバリアメタル膜を形成する工程と、
前記第1層間絶縁膜上に第2層間絶縁膜を形成する工程
と、前記第2層間絶縁膜中に接続孔を形成する工程と、
前記接続孔の側壁と底面に第2バリアメタル層を形成す
る工程と、前記接続孔を埋め込むように前記第2バリア
メタル層上に第2導電体層を形成する工程と、を有する
半導体装置の製造方法であって、前記接続孔を形成する
工程において、前記キャップバリアメタル膜を前記接続
孔と前記配線溝の重なり部分のみ除去するものである。
【0023】(3)本発明の半導体装置は、第1配線構
造と第2配線構造を有する半導体装置であって、前記第
1配線構造は、第1配線部と第1配線部上に形成された
第1接続部を含み、前記第1配線部は、第1導電体層
と、前記第1導電体層を囲むように前記第1導電体層の
側面と底面に形成された第1バリアメタル層と、前記第
1導電体層の表面に形成されたに第1キャップバリアメ
タル膜とを有し、前記第1接続部は、前記配線部上に形
成され、第2導電体層と、前記第2導電体層を囲むよう
に前記第2導電体層の側面と底面に形成された第2バリ
アメタル層とからなり、前記第2配線構造は前記第1配
線構造上に形成され、第2配線部と第2配線部上に形成
された第2接続部を含み、前記第2配線部は、第3導電
体層と、前記第3導電体層を囲むように前記第3導電体
層の側面と底面に形成された第3バリアメタル層と、前
記第3導電体層の表面に形成されたに第2キャップバリ
アメタル膜とを有し、前記第2接続部は、前記配線部上
に形成され、第4導電体層と、前記第4導電体層を囲む
ように前記第4導電体層の側面と底面に形成された第4
バリアメタル層とからなり、前記第1、第2バリアメタ
ル層および前記第1キャップバリアメタル膜の構造は、
前記第3、第4バリアメタル層および前記第2キャップ
バリアメタル膜の構造と、異なる構造であるものであ
る。
【0024】(4)本発明の半導体装置は、半導体基板
上に形成された第1絶縁膜と、前記第1絶縁膜上に形成
された第2絶縁膜と、前記第1絶縁膜と前記第2絶縁膜
を選択的に除去して形成された配線溝と、前記配線溝の
側壁と底面に形成された第1バリアメタル層と、前記配
線溝を埋め込むように前記第1バリアメタル層上に形成
された第1導電体層と、前記第1導電体層の表面に形成
されたキャップバリアメタル膜とを有する配線部と、前
記第2絶縁膜上に形成され、かつ、接続孔を有する第3
絶縁膜と、前記接続孔の側壁と底面のうち、少なくとも
前記側壁に形成された第2バリアメタル層と、前記接続
孔を埋め込むように前記第2バリアメタル層上に形成さ
れた第2導電体層とを有する接続部と、を有する半導体
装置において、前記第2絶縁膜は、バリア絶縁膜として
の機能を有するものである。
【0025】(5)本発明の半導体装置の製造方法は、
半導体基板上に第1絶縁膜を形成する工程と、前記第1
絶縁膜上に第2絶縁膜を形成する工程と、前記第1絶縁
膜と前記第2絶縁膜を選択的に除去して配線溝を形成す
る工程と、前記配線溝の側壁と底面に第1バリアメタル
層を形成する工程と、前記配線溝を埋め込むように前記
第1バリアメタル層上に第1導電体層を形成する工程
と、前記第1導電体層の表面にキャップバリアメタル膜
を形成する工程と、前記第2絶縁膜上に第3絶縁膜を形
成する工程と、前記第3絶縁膜中に接続孔を形成する工
程と、前記接続孔の側壁と底面のうち、少なくとも前記
側壁に第2バリアメタル層を形成する工程と、前記接続
孔を埋め込むように前記第2バリアメタル層上に第2導
電体層を形成する工程と、を有する半導体装置の製造方
法であって、前記第2絶縁膜は、バリア絶縁膜としての
機能を有するものである。
【0026】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の部材には同一の符号を付
し、その繰り返しの説明は省略する。
【0027】(実施の形態1)本発明の実施の形態であ
る半導体装置をその製造方法に従って説明する。図1〜
図23は、本発明の実施の形態1である半導体装置の製
造方法を示す基板の要部断面図もしくは要部平面図であ
る。
【0028】まず、図1に示すように、例えば、半導体
基板の主表面に、半導体素子の一例としてnチャネル型
MISFET(Metal Insulator Semiconductor Field
Effect Transistor)Qnを形成する。
【0029】これらのMISFET形成プロセスの一例
を以下に示す。
【0030】まず、例えば、半導体領域1a上に絶縁膜
として例えば酸化シリコン膜1bが形成され、さらに、
その上部にp型の半導体領域1cが形成された半導体基
板1、いわゆるSOI(silicon on Insulator)基板を
準備する。この半導体基板(半導体領域1c)1の各素
子形成領域は、素子分離2により絶縁される。この素子
分離2は、例えば半導体領域1cの熱酸化もしくは半導
体領域1cに形成された素子分離溝内に酸化シリコン膜
を埋め込むことにより形成することができる。この素子
分離2が形成された領域により、MISFET等の半導
体素子が形成される活性領域が規定される。
【0031】次に、半導体基板(以下、単に「基板」と
いう)1を例えば熱酸化することにより、その表面に清
浄なゲート絶縁膜8を形成する。
【0032】次に、ゲート絶縁膜8の上部に、例えば導
電性膜として、リン(P)をドープした低抵抗多結晶シ
リコン膜9a、薄いWN(窒化タングステン)膜9bお
よびW(タングステン)膜9cを順次堆積する。
【0033】次に、W膜9c、WN膜9bおよび多結晶
シリコン膜9aを、例えばドライエッチング技術等を用
いてエッチングすることにより、多結晶シリコン膜9
a、WN膜9bおよびW膜9cからなるゲート電極9を
形成する。
【0034】次に、ゲート電極9の両側の基板1にn型
不純物として例えばリン(P)又は砒素(As)をイオン打
ち込みすることによってn-型半導体領域11を形成す
る。
【0035】次に、基板1上に絶縁膜として例えば窒化
シリコン膜を堆積した後、異方的にエッチングすること
によって、ゲート電極9の側壁にサイドウォールスペー
サ13を形成する。
【0036】次に、ゲート電極9の両側の基板1にn型
不純物をイオン打ち込みすることによってn-型半導体
領域11よりも不純物濃度の高いn+型半導体領域14
(ソース、ドレイン)を形成する。
【0037】ここまでの工程で、LDD(Lightly Doped
Drain)構造のソース、ドレインを備えたnチャネル型
MISFETQnが形成される。なお、pチャネル型M
ISFETQpを同様の工程により形成してもよい。こ
の場合、用いられる不純物の導電型がnチャネル型MI
SFETQnを形成する場合と逆となる。
【0038】この後、nチャネル型MISFETQnや
図示しない他の素子等と電気的に接続される配線を形成
するのであるが、以下、その工程について説明する。
【0039】まず、図1に示すようにnチャネル型MI
SFETQn上に、絶縁膜として例えば酸化シリコン膜
20をCVD(Chemical Vapor deposition)法で堆積
した後、例えば酸化シリコン膜20の表面を化学的機械
研磨(CMP;Chemical Mechanical Polishing)法で
研磨してその表面を平坦化する。
【0040】次に、例えば酸化シリコン膜20上にフォ
トレジスト膜(図示せず、以下単に「レジスト膜」とい
う)を形成し、このレジスト膜をマスクに酸化シリコン
膜20をエッチングすることによりnチャネル型MIS
FETQnのゲート電極9上にコンタクトホールC1を
形成する。
【0041】次いで、例えばコンタクトホールC1内を
含む酸化シリコン膜20上に、バリアメタル層として例
えば窒化チタン(TiN)膜P1aをCVD法もしくは
スパッタ法により薄く形成した後、導電性膜として例え
ばタングステン(W)膜P1bをCVD法により形成す
る。次いで、コンタクトホールC1外部のTiN膜P1
aおよびW膜P1bを、例えばCMPにより除去し、プ
ラグP1を形成する。なお、バリアメタル層として、チ
タン(Ti)膜とTiN膜との積層膜を用いても良い。
【0042】次いで、図2に示すように、酸化シリコン
膜20およびプラグP1上に、絶縁膜として例えばテト
ラエトキシシランを原料としたCVD法により酸化シリ
コン膜22aを形成する。以下、この酸化シリコン膜2
2aをTEOS膜22aという。なお、図2は、図1に
示すプラグP1近傍の部分拡大図である。なお、プラグ
P1(P1b)中の線は、タングステン膜を堆積した際
に生じる接合面(シーム)である。
【0043】次いで、TEOS膜22a上に、低誘電絶
縁膜22bを形成する。この低誘電絶縁膜は、例えば芳
香族ポリマー材料を塗布し、熱処理を施すことにより形
成することができる。また、低誘電絶縁膜として、有機
系のシリカガラスを用いてもよい。この場合も、材料を
塗布した後熱処理を施す。この有機系のシリカガラスの
組成は、主にSiOCHである。また、他の有機ポリマ
ー材料や、上記の各種材料に空孔を導入した材料を用い
ることもできる。
【0044】このような塗布膜を低誘電絶縁膜として用
いた場合には、基板表面の凹凸を平坦化することができ
る。基板表面の凹凸は、下層のパターンに起因するもの
や、CMP時のエロージョンやディッシングによっても
生じる。
【0045】一方、低誘電絶縁膜を、CVD法により形
成することもできる。例えば、トリメチルシランやテト
ラメチルシランを原料としたCVD法により低誘電絶縁
膜を形成することができる。この場合の膜組成は、主に
SiOCである。この他、低誘電絶縁膜としてはSiO
Fを主成分とする膜、SiCを主成分とする膜や、芳香
族炭化水素構造の有機ポリマー膜(CとHを含有する
膜)や、上記各種膜やSiO2(酸化シリコン膜)等の
膜中に空孔を導入(ポーラス化)することにより誘電率
を下げることができる。これらの膜は、CVD法を用い
て形成することができる。
【0046】このような低誘電絶縁膜の誘電率は、酸化
シリコン膜(例えば、TEOS膜)より低く(誘電率が
3.7以下であり)、その結果、配線(ゲート電極も含
む)間の寄生容量が低減されるため半導体装置の動作の
高速化を図ることができる。
【0047】もちろんTEOS膜22aの代わりに、前
述のCVD法を用いて形成した低誘電絶縁膜(SiO
C、SiOFもしくはSiOCやSiO2のポーラス材
料等)を用いてもよい。
【0048】次いで、低誘電絶縁膜22b上に、TEO
S膜22cを形成する。TEOS膜22cは、TEOS
膜22aと同様に形成する。
【0049】このように、低誘電絶縁膜22bをTEO
S膜22aおよび22cで挟み込むのは、これらの積層
膜の機械的強度を確保するためである。また、これらT
EOS膜22a、22cおよび低誘電絶縁膜22bの3
層の絶縁膜(22)中に配線溝が形成される。
【0050】次いで、図3に示すように、第1層配線形
成予定領域の絶縁膜22(22a、22b、22c)を
フォトリソグラフィーおよびドライエッチング技術を用
いて除去することにより配線溝HM1を形成する。この
配線溝HM1の深さは、例えば0.25μmで、幅は、
例えば0.18μmである。なお、低誘電絶縁膜22b
とTEOS膜22aとのエッチング選択比を利用し、T
EOS膜22aを前記エッチングの際のエッチングスト
ッパー膜として利用すれば、制御性よく配線溝HM1を
形成することができる。
【0051】次に、図4に示すように、配線溝HM1内
を含む絶縁膜22上に、例えば窒化タンタル(TaN)
膜およびタンタル(Ta)膜が下から順に積層されたバ
リア膜M1aをスパッタ法により堆積する。このバリア
膜M1aの形成方法としては、CVD法を用いてもよい
し、また、スパッタ法の一種であるイオン化スパッタ法
を用いてもよい。このイオン化スパッタ法は、バリア膜
を構成する金属をイオン化し、さらに、基板にバイアス
を印加することによって、金属イオンに指向性を持たせ
るものであり、微細な溝の内部においても被覆性良く膜
を堆積させることができる。配線溝HM1の側壁には、
約5nm、配線溝の底部には、約30nm程度のバリア
膜M1aを形成する。
【0052】また、バリア膜としては、前述のTaNお
よびTaとの積層膜に限定されるものではなく、例え
ば、Ta、TaN、TaSiN、W、窒化タングステン
(WN)、WSiN、Ti、TiNもしくはTiSiN
からなる単層膜や、Ti、TiNおよびTiの3層膜、
TiおよびTiNの2層膜、TiSiNおよびTaの2
層膜、Ta、TaNおよびTaの3層膜、もしくはTa
およびTaNの2層膜等、前記単層膜として挙げた膜の
うちいずれかを複数積層した積層膜を用いても良い。
【0053】次いで、バリア膜M1a上に、導電性膜と
して例えば銅膜を例えば電解メッキ法を用いて形成する
のであるが、まず、電界メッキ用のシード膜として薄い
銅膜M1bを例えばイオン化スパッタ法を用いて形成す
る。即ち、銅をイオン化し、さらに、基板にバイアスを
印加することによって、銅イオンに指向性を持たせたス
パッタ法によって銅膜M1bを堆積する。この際、例え
ば、ターゲットと基板との距離は300mm程度、基板
温度は25度以下で成膜する。成膜初期においては、基
板に比較的小さなDCもしくはRFバイアスを印加し、
基板上に一定の銅膜を堆積した後、バイアスを比較的大
きくする。このようにバイアスを大きくすることによっ
て、基板表面にイオンが入射され、既に堆積している銅
膜をスパッタエッチングする。この際、イオンは基板に
対しほぼ垂直に入射するため、平面部(絶縁膜22上お
よび配線溝HM1底部)が、優先的にエッチングされ、
飛散した銅が配線溝HM1側壁に再堆積し、配線溝HM
1の側壁底部の段差被覆性(ステップカバレッジ)を向
上させる。なお、イオン化スパッタ法に代えて、低圧長
距離スパッタ法を用いて成膜を行ってもよい。
【0054】次いで、例えばメッキ液として硫酸銅を含
む溶液を用いた電界メッキ法により、銅膜M1b上に銅
膜M1cを形成する。この際、配線溝HM1を埋め込む
ように銅膜M1cを形成する。
【0055】次に、還元雰囲気下において基板1にアニ
ール(熱処理)を施した後、図5に示すように、配線溝
HM1外部の銅膜M1c、M1bおよびバリア膜M1a
を例えばCMP法もしくはエッチバック法を用いて除去
することにより銅膜M1b、M1cおよびバリア膜M1
aから成る第1層配線M1を形成する。この後、さら
に、還元雰囲気下において基板1にアニール(熱処理)
を施す。
【0056】次に、図6に示すように、第1層配線M1
上にタングステン(W)を選択成長もしくは優先成長さ
せることにより、第1層配線M1上に2〜20nm程度
のタングステン膜CM1を形成する。タングステン膜C
M1は、例えば、0.3Torr(0.3×1.333
22×102Pa)、サセプタ設定温度460℃(基板
実温430℃)で、6フッ化タングステン(WF6)流
量5scc、水素(H2)流量500sccの条件下
で、1.5分間処理を行うことにより形成する。
【0057】かかる処理により、第1層配線M1上にの
みにタングステンが選択的に成長もしくは、TEOS膜
22c上に比べ第1層配線M1上にタングステンが優先
的に成長する。なお、ここでは、タングステンの成長速
度を優先して比較的高温で処理を行ったが、例えば30
0℃程度で処理を行ってもよい。このように、選択成長
もしくは優先成長を用いることにより、キャップ導電性
膜を簡便に形成することができる。例えば、1)タング
ステン膜を基板上に全面形成した後、フォトリソグラフ
ィーおよびドライエッチング技術を用いてタングステン
膜のパターニングを行う、もしくは、2)銅膜表面のC
MPやエッチバック時にオーバー研磨やオーバーエッチ
ングを行うことにより、銅膜の表面を窪ませ、かかる窪
みにタングステン膜を埋め込む(即ち、タングステン膜
を全面成膜したのち、窪み外のタングステン膜をCMP
法等により除去する)ことによりキャップ導電性膜を形
成してもよいが、これらの方法では、製造工程が複雑に
なる。また、フォトリソグラフィー時の合わせずれや、
CMP時のディッシングまたはエロージョン等の制御が
必要になり、精度良くキャップ導電性膜を形成すること
が困難となる。これに対して、選択成長もしくは優先成
長を用いれば、製造工程が複雑になることがなく、ま
た、精度良く、キャップ導電性膜を形成することができ
る。ただし、キャップ導電性膜の形成方法は選択成長も
しくは優先成長に限定されるものではないことは言うま
でもない。
【0058】また、タングステンの他、Wを主成分とし
た金属層、WN、WSiN、TiN、TiSiN、T
a、TaNもしくはTaSiN(窒化タンタルシリサイ
ド)等の単層膜もしくはこれらのうちいずれかを積層し
た積層膜(2層膜や3層膜等)を第1層配線M1上のキ
ャップ導電性膜として使用してもよい。なお、タングス
テンの抵抗が5〜20μΩであるのに対し、例えば、T
iNは、その抵抗が80〜150μΩであり、TaやT
aNもタングステンより高抵抗であるため、タングステ
ンをキャップ導電性膜として使用すれば、他の膜を使用
するよりも配線の低抵抗化を図ることができる。なお、
銅の抵抗は、1.7〜2.2μΩである。
【0059】また、タングステン膜CM1形成直前のア
ニールと、タングステン膜CM1の成膜を同一装置内
(インシチュー)で行ってもよい。例えば、成膜装置と
アニール装置とを有するマルチチャンバーを用いて、装
置外に取り出すことなく処理することによって、基板
(銅膜M1c)表面の汚染を防止することができ、タン
グステン膜の成膜性や膜質を向上させることができる。
【0060】また、タングステン膜CM1の成膜に先立
ち、CMP後の基板表面の銅の汚染を除去するために、
例えばフッ化水素(HF)等の洗浄液を用いた洗浄を行
ってもよい。このような洗浄を行うことによってタング
ステン膜の選択性を良くすることができる。なお、ここ
ではフッ化水素による洗浄を例に挙げたが、基板表面に
露出した絶縁膜の表面をエッチングする能力や、表面に
付着した銅汚染を除去する能力を有する洗浄であれば、
フッ化水素に限定されるものではない。また、タングス
テン膜CM1の成膜に先立ち、基板1を例えば3000
Pa(例えば150から10000Pa)の圧力下、水
素(H2)流量500cm3/min(sccm)(例え
ば50から3000sccm)の雰囲気下に3分間晒す
処理を行うことによっても、前述の洗浄と同様の効果を
得ることができる。このように、銅の汚染を除去し、ま
た、水素処理により銅表面の酸化物を銅に戻すことによ
り、タングステン膜の選択性を良くすることができ、選
択性の破れによる配線間の短絡を防止し、また、配線
(銅膜)上に形成されるタングステン膜の膜厚の均一性
を向上させることができる。また、銅の酸化物は、電界
拡散による銅イオンの供給源となることから、かかる酸
化物を除去することにより、絶縁膜中への銅イオンの注
入量を低減することができ、半導体装置の信頼性を向上
させることができる。
【0061】一方、例えば、TEOS膜22c上にタン
グステン膜が成長してしまった場合には、タングステン
膜の形成後に、前述の銅の洗浄を行うことによるリフト
オフ効果により、絶縁膜上のタングステン膜を除去する
ことができる。なお、ここで、基板表面に露出した絶縁
膜の表面をエッチングする能力や、表面に付着したタン
グステンを除去する能力を有する洗浄であれば、その洗
浄液組成は限定されるものではない。また、タングステ
ン膜形成後、基板表面に軽いCMPおよび後洗浄を施す
ことにより、絶縁膜上のタングステン膜を除去すること
も可能である。このように、TEOS膜22c上の導電
性物質を除去することにより、配線間ショートを防止す
ることができる。
【0062】次いで、図7に示すように、TEOS膜2
2cおよびタングステン膜CM1上に、絶縁膜として例
えばTEOS膜24a、SiOC膜24bおよびTEO
S膜24cをCVD法により順次堆積する。これらの膜
の表面には、タングステン膜CM1等の凹凸に対応した
凹凸が形成されている。また、これらの積層膜(24)
のうちTEOS膜24aおよびSiOC膜24bによっ
て、第1層配線M1と第2層配線M2とが絶縁され、こ
れらの膜中に、第1層配線M1と第2層配線M2とを接
続するプラグ(接続部)P2を形成するためのコンタク
トホールC2が形成される。なお、TEOS膜24aや
24cの代わりに、SiN膜(窒化シリコン膜)に対し
て比較的誘電率が低いバリア絶縁膜であるTMS膜、S
iC膜もしくはSiCN膜等を用いてもよい。これらの
低誘電絶縁膜の形成方法としては、以下の例が挙げられ
る。例えば、TMS膜の場合は、トリメトキシシランと
一酸化二窒素(N2O)を用いてCVD法により形成す
ることができる。この場合の膜組成は、主にSiONで
ある(この膜をTMS膜という)。あるいは、トリメチ
ルシランを用いてSiC膜を形成したり、トリメチルシ
ランとアンモニアを用いてSiCN膜を形成することも
できる。また、TEOS膜24aや24cの代わりにそ
の他の低誘電率膜を用いても良い。また、SiOC膜2
4bの代わりに、SiOF膜等を用いてもよい。
【0063】次いで、図8に示すように、TEOS膜2
4c上に、絶縁膜として例えば、芳香族ポリマー材料を
用いた低誘電絶縁膜26bおよびTEOS膜26cを順
次形成する。これらの膜(26b、26c)は、それぞ
れ、低誘電絶縁膜22bおよびTEOS膜22cと同様
に形成する。従って、塗布膜を低誘電絶縁膜として用い
たので、基板表面の凹凸を平坦化することができる。ま
た、低誘電絶縁膜26bをTEOS膜26cおよび24
cで挟み込んだ構造となるので、これらの積層膜(2
6)の機械的強度を確保することができる。また、これ
らの絶縁膜(26)および前述のTEOS膜24c中に
は、第2層配線M2が埋め込まれる配線溝HM2が形成
される。
【0064】次いで、図9に示すように、TEOS膜2
6c上に、例えばハードマスクMKを堆積し、フォトリ
ソグラフィーおよびドライエッチング技術を用いて第2
層配線形成領域のハードマスクMKを除去する。ハード
マスクMKとしては、例えば窒化シリコン膜等を用いる
ことができる。
【0065】次いで、図10に示すように、ハードマス
クMK上に、例えばレジスト膜R1を形成し、フォトリ
ソグラフィー技術を用いて第1層配線と第2層配線との
接続領域のレジスト膜R1を除去する。
【0066】次いで、レジスト膜R1をマスクに、絶縁
膜26(26bおよび26c)および絶縁膜24のう
ち、TEOS膜24cおよびSiOC膜24bを例えば
ドライエッチング法を用いて除去することによりコンタ
クトホールC2を形成する。このように、第1層配線M
1上にTEOS膜24aを残存させておくのは、後述の
レジスト除去のためのアッシング時の露出銅の酸化を防
止するためであり、また、ドライエッチング時などに銅
が飛散することを防止するためである。ただし、基本的
には銅膜M1cはキャップ導電性膜であるタングステン
膜CM1により被覆されており、TEOS膜24aは残
存させなくてもよい。
【0067】次いで、図11に示すように、レジスト膜
R1を除去した後、ハードマスクMKをマスクに、絶縁
膜26(26bおよび26c)およびTEOS膜24c
を例えばドライエッチング法を用いて除去することによ
り配線溝HM2を形成する。この際、コンタクトホール
C2の底部に残存するTEOS膜24aも除去する。
【0068】この配線溝HM2の深さは例えば0.25
μm程度、幅は、0.18μm程度である。また、コン
タクトホールC2の深さは、配線溝HM2の底部から例
えば0.35μm程度、直径は、0.18μm程度であ
る。
【0069】なお、ここでは、コンタクトホールC2を
形成した後配線溝HM2を形成したが、配線溝HM2を
形成した後、例えばこの配線溝をレジスト膜等を埋め込
むことにより基板表面を平坦化し、コンタクトホールC
2を形成してもよい。
【0070】次いで、図12に示すように、コンタクト
ホールC2の底部に露出しているタングステン膜CM1
を例えばドライエッチング法を用いて除去し、銅膜M1
cを露出させる。なお、特に限定はされないが、この配
線溝HM2形成及びタングステン膜CM1の除去のドラ
イエッチングは例えばエッチングガスの種類を変えるこ
とにより連続的に行うことができる。
【0071】このように、コンタクトホールC2及び配
線溝HM2を形成する工程を利用してコンタクトホール
C2の底部に露出しているタングステン膜CM1を除去
するので、新たなマスクの形成等の工程増加なしにコン
タクトホールC2の底部に露出しているタングステン膜
CM1を選択的に除去できる。次にハードマスクMKを
除去する。
【0072】次いで、露出した銅膜M1c上の酸化物を
除去するため、水素やアンモニアを含む雰囲気中で熱処
理を行うか、水素やアンモニアや水素かアンモニアのい
ずれかとAr等の希ガスを含む雰囲気でプラズマを発生
させ基板表面に照射するか、Ar等の希ガスで基板表面
をスパッタエッチングするか、いずれか単独もしくはそ
れらを組み合わせた処理を行った後、図13に示すよう
に、配線溝HM2およびコンタクトホールC2の内部を
含むTEOS膜26c上に、例えば窒化タンタル(Ta
N)膜およびタンタル(Ta)膜が下から順に積層され
たバリア膜PM2aを例えば低圧長距離スパッタ法によ
り堆積する。なお、バリア膜PM2aをCVD法により
形成してもよい。また、銅膜M1bの形成工程で詳細に
説明したイオン化スパッタ法を用いて形成してもよい。
前述した通りイオン化スパッタ法によれば、堆積する金
属イオンに指向性を持たせることができる。また、成膜
後期において、バイアスを大きくすることにより配線溝
やコンタクトホール底部に堆積した金属をスパッタエッ
チングし、飛散した金属をこれらの側壁に再堆積させる
ことができ、側壁底部の段差被覆性(ステップカバレッ
ジ)を向上させることができる。
【0073】ここで、バリア膜PM2aの膜厚は、配線
溝HM2の側壁において5nm程度、配線溝HM2の底
部において30nm程度、コンタクトホールC2の側壁
において3nm程度、コンタクトホールC2の底部にお
いて20nm程度とする。このように、配線溝HM2底
部のバリア膜PM2aの膜厚をコンタクトホールC2底
部のバリア膜PM2aの膜厚より大きくすることによっ
て、後述のコンタクトホールC2の底部のバリア膜PM
2aをスパッタエッチングにより除去しても、配線溝H
M2底部にバリア膜PM2aを残存させることができ
る。また、バリア膜PM2a形成時の初期段階の成膜条
件を異方性(指向性)が高くなるような条件で行うこと
により、配線溝HM2底部や側壁、コンタクトホールC
2の側壁のバリア膜PM2aが必要以上に厚くなること
を防止することができる。
【0074】次いで、図14に示すように、コンタクト
ホールC2底部のバリア膜PM2aを除去し、バリア膜
PM2aおよび露出した銅膜M1c上に、銅膜PM2b
を形成する。例えば、前述したイオン化スパッタ法を用
いバリア膜PM2aの除去と銅膜PM2bの形成を行う
ことができる。
【0075】例えば、ターゲットと基板との距離を30
0mm程度、基板温度を25度以下とし、初期段階にお
いては、基板に大きなDCもしくはRFバイアスを印加
し、基板表面に銅イオンや雰囲気中のアルゴン(Ar)
イオンを入射させ、これにより、コンタクトホールC2
底部のバリア膜PM2aをスパッタエッチングする。こ
の際、イオンは、基板に対しほぼ垂直に入射するため、
平面部(配線溝HM2底部およびコンタクトホールC2
底部)が、優先的にエッチングされる。ここで、前述し
たように、配線溝HM2底部のバリア膜PM2aの膜厚
をコンタクトホールC2底部のバリア膜PM2aの膜厚
より大きくしたので、コンタクトホールC2の底部のバ
リア膜PM2aを除去しつつ、配線溝HM2底部には、
バリア膜PM2aを残存させることができる。
【0076】また、このエッチングの際の条件を適宜選
択することにより、飛散したバリア膜PM2aを、配線
溝HM1やコンタクトホールC2の側壁底部に再堆積さ
せ、これらの側壁底部の段差被覆性(ステップカバレッ
ジ)を向上させることもできる。また、配線溝HM2や
コンタクトホールC2の側壁上部(コーナー部)に厚く
堆積したバリア膜PM2aを、配線溝HM2やコンタク
トホールC2の側壁に再堆積させ、これらの側壁のバリ
ア膜PM2aの膜厚を均一化することもできる。
【0077】その後、バイアスを低減するか、バイアス
の印加を中止することによって、図15に示すように、
配線溝HM2およびコンタクトホールC2内に、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積する。
なお、この際も、前述したように、基板上に一定の銅膜
を堆積した後、バイアスを比較的大きくすることによっ
て、配線溝HM2やコンタクトホールC2の側壁底部の
銅膜PM2bの段差被覆性(ステップカバレッジ)を向
上させることができる。
【0078】その結果、配線溝HM2の側部、底部およ
びコンタクトホールC2の側部上には、バリア膜PM2
aを介して銅膜PM2bが形成され、コンタクトホール
C2底部に露出した銅膜M1c上には、バリア膜PM2
aを介さず直接銅膜PM2bが形成される。また、配線
溝HM2の側部および底部のバリア膜PM2aは5nm
程度、コンタクトホールC2の側部のバリア膜PM2a
は、3nm程度であり、銅膜PM2bは、10nm程度
である。
【0079】このように、コンタクトホールC2の底部
のバリア膜PM2aの除去と、配線溝HM2およびコン
タクトホールC2内部の銅膜PM2bの形成を同一装置
内で行えば、バリア膜PM2aの酸化や膜上への異物の
付着を防止することができ、バリア膜PM2aや銅膜P
M2bの膜質を向上させることができる。なお、バイア
ス等の条件を適宜変更することによりコンタクトホール
C2の底部のバリア膜PM2aの除去を行いつつ、他の
部位(配線溝HM2内部やコンタクトホールC2の側壁
上)に銅膜PM2bを形成してもよい。
【0080】もちろん、コンタクトホールC2の底部の
バリア膜PM2aの除去と、配線溝HM2およびコンタ
クトホールC2内部の銅膜PM2bの形成を異なる装置
を用いて行っても良く、例えば、コンタクトホールC2
の底部のバリア膜PM2aを異方性エッチングにより除
去した後、配線溝HM2およびコンタクトホールC2内
部に、スパッタ法により銅膜PM2bを形成してもよ
い。この場合、バリア膜PM2aおよび異方性エッチン
グにより露出した銅膜M1c表面の酸化物や異物を除去
するため、例えば水素やアンモニアなどを含む還元雰囲
気中での熱処理やプラズマ処理を行ってもよいし、フッ
化水素(HF)等の洗浄液を用いた洗浄等を行ってもよ
い。
【0081】次いで、例えばメッキ液として硫酸銅を含
む溶液を用いた電界メッキ法により、銅膜PM2b上に
銅膜PM2cを形成する。この際、配線溝HM2および
コンタクトホールC2を埋め込むように銅膜PM2cを
形成する。
【0082】次に、還元雰囲気下において基板1にアニ
ール(熱処理)を施した後、図16に示すように、配線
溝HM2およびコンタクトホールC2外部の銅膜PM2
c、PM2bおよびバリア膜PM2aを例えばCMP法
もしくはエッチバック法を用いて除去することにより銅
膜PM2b、PM2cおよびバリア膜PM2aから成る
第2層配線M2および第1層配線M1と第2層配線を接
続するプラグ(接続部)P2を形成する。ここで、第2
層配線M2とは、配線溝HM2内部に埋め込まれた銅膜
PM2b、PM2cおよびバリア膜PM2aをいい、プ
ラグP2とは、配線溝HM2底部から延在するコンタク
トホールC2内に埋め込まれた銅膜PM2b、PM2c
およびバリア膜PM2aをいう。
【0083】この後、さらに、還元雰囲気下において基
板1にアニール(熱処理)を施す。
【0084】このように本実施の形態によれば、第1層
配線M1とプラグP2との間のタングステン膜CM1お
よびバリア膜PM2aを除去したので、第1層配線M1
とプラグP2を構成する主たる金属である銅が直接接し
ているため、低抵抗化を図ることができる。また、第1
層配線M1とプラグP2との間の銅原子の移動が可能と
なり、第1層配線M1とプラグP2との界面におけるボ
イドの発生率を小さくすることができ、エレクトロマイ
グレーション特性を向上させることができる。
【0085】すなわち、第1層配線M1とプラグ(接続
部)P2の接続部分であるコンタクトホールC2の底部
において、コンタクトホールC2の底部のバリア膜PM
2a及びタングステン膜CM1の両方が形成されていな
い。すなわち、コンタクトホールC2の底部のバリア膜
PM2a及びタングステン膜CM1の両方が除去され、
第1層配線M1とプラグP2を構成する主たる金属であ
る銅が直接接しており、バリアメタルと銅の界面が存在
しないため、ストレスマイグレーションによるプラグ近
辺での断線を防止できる。
【0086】また、タングステン膜CM1およびバリア
膜PM2aが一体化する(これらの膜により連続して銅
膜を覆う)こととなるため、その内部の銅膜はその全表
面をバリア金属膜で覆われることとなり、密着性の比較
的低い銅と絶縁膜界面を構造から排除でき、銅の表面で
の密着性が向上する。その結果、ボイドの発生を抑える
ことができ、エレクトロマイグレーション特性を向上さ
せることができる。
【0087】一方、タングステン膜CM1およびバリア
膜PM2aが除去されているのは、第1層配線M1とプ
ラグP2との間のみであり、第1層配線M1やプラグP
2の他の部分は、タングステン膜CM1もしくはバリア
膜PM2aによって覆われているので、1)埋め込まれ
る導電性膜を構成する金属(銅膜の場合は銅)の絶縁膜
中への拡散を防止することができ、また、2)酸化シリ
コン膜と導電性膜とが接触することによって導電性膜が
酸化されることを防止することができる。
【0088】また、キャップ導電性膜としてタングステ
ン膜を用いたので、窒化シリコン膜等の絶縁膜をキャッ
プ膜として用いた場合と比較し、エレクトロマイグレー
ション特性を向上させることができる。これは、前述し
たとおり、銅−バリア膜界面と銅−窒化シリコン膜界面
とでは、銅−バリア膜界面の方が、銅が拡散しにくいか
らである。
【0089】また、キャップ導電性膜として窒化シリコ
ン膜等の絶縁膜を用いていないので、配線間に存在する
絶縁膜の実効的な誘電率を低減することができる。その
結果、配線を介して伝わる信号の伝達速度を向上させる
ことができ、半導体装置の高速動作を実現することがで
きる。なお、窒化シリコン膜の誘電率は6〜8程度であ
り、TEOS膜の誘電率は4である。
【0090】また、第1層配線M1およびプラグP2
が、固いタングステン膜CM1もしくはバリア膜PM2
aによって覆われているので、ストレスマイグレーショ
ンによる配線等の欠けを防止することができる。このよ
うなストレスは、例えば、熱処理時に加わる熱応力によ
り生じる。特に、本実施の形態においては、硬度の低い
低誘電絶縁膜を用いているため、第1層配線M1および
プラグP2の保護は、有効である。
【0091】また、キャップ導電性膜としてタングステ
ン膜を用いたので、その下層の銅膜の表面に欠陥部が生
じていても、タングステン膜をその欠陥に埋め込むこと
ができ、配線の信頼性を向上させ、製品歩留まりを向上
させることができる。この銅膜の表面の欠陥は、欠け、
収縮もしくはスクラッチ等によるものである。例えば、
CMP法による銅膜の研磨の際等に欠けやスクラッチが
生じ、熱処理や銅膜の埋め込み不良等によっても生じ得
る。
【0092】このような欠陥によって、銅膜中もしくは
銅膜とバリア膜との界面に隙間ができると、配線抵抗の
上昇が生じる。また、このような隙間は、エレクトロマ
イグレーションの起点となり、その特性を低下させる。
また、このような隙間上に、プラグが形成されると接続
抵抗が上昇してしまう。
【0093】キャップ導電性膜としてタングステン膜を
用いれば、このような隙間にタングステン膜を埋め込む
ことにより隙間を修復することができ、エレクトロマイ
グレーション特性を向上させ、半導体装置の信頼性を向
上させることができる。また、製品歩留まりを向上させ
ることができる。
【0094】次に、図17に示すように、第2層配線M
2上にタングステン(W)を選択成長もしくは優先成長
させることにより、第2層配線M2(PM2c)上に2
〜20nm程度のタングステン膜CM2を形成する。タ
ングステン膜CM2は、例えば、0.3Torr(0.
3×1.33322×102Pa)、サセプタ設定温度
460℃(基板実温430℃)で、6フッ化タングステ
ン(WF6)流量5scc、水素(H2)流量500sc
cの条件下で、1.5分間処理を行うことにより形成す
る。
【0095】かかる処理により、第2層配線M2上にの
みにタングステンが選択的に成長もしくは、TEOS膜
26c上に比べ第2層配線M2上にタングステンが優先
的に成長する。なお、ここでは、タングステンの成長速
度を優先して比較的高温で処理を行ったが、例えば30
0℃程度で処理を行ってもよい。
【0096】また、キャップ導電性膜としては、タング
ステンの他、WN、WSiN、TiN、TiSiN、T
a、TaNもしくはTaSiN等の単層膜もしくはこれ
らのうちいずれかを積層した積層膜(2層膜や3層膜
等)を使用してもよい。
【0097】なお、前述したようにタングステン膜CM
2形成直前のアニールと、タングステン膜CM2の成膜
を同一装置内(インシチュー)で行ってもよい。
【0098】また、タングステン膜CM2の成膜に先立
ち、CMP後の基板表面の銅の汚染を除去するために、
例えばフッ化水素(HF)等の洗浄液を用いた洗浄や3
000Paの圧力下、水素(H2)流量500cm3/m
in(sccm)の雰囲気下での3分間の処理などを行
ってもよい。
【0099】また、TEOS膜26c上に成長したタン
グステン膜を除去するため、前述の銅の洗浄を行い、リ
フトオフ効果によりTEOS膜26c上のタングステン
膜を除去することができる。また、タングステン膜形成
後、基板表面に軽いCMPを施すことにより、TEOS
膜26c上のタングステン膜を除去することも可能であ
る。このように、TEOS膜26c上の導電性物質を除
去することにより、配線間ショートを防止することがで
きる。
【0100】この後、図18に示すように、TEOS膜
26cおよびタングステン膜CM2上に、絶縁膜として
例えばTEOS膜28a、SiOC膜28bおよびTE
OS膜28cをCVD法により順次堆積する。これらの
膜は、TEOS膜24a、24cおよびSiOC膜24
bと同様に形成する。さらに、TEOS膜28c上に、
絶縁膜として例えば、芳香族ポリマー材料を用いた低誘
電絶縁膜30bおよびTEOS膜(図示せず)を順次形
成する。これらの膜は、低誘電絶縁膜22bおよびTE
OS膜22cと同様に形成する。
【0101】次いで、前記5層の絶縁膜中に、配線溝H
M2およびコンタクトホールC2と同様に、配線溝およ
びコンタクトホールを形成するのであるが、これらの図
示は省略する。
【0102】このように、絶縁膜、配線溝およびコンタ
クトホール、およびバリア膜、銅膜、タングステン膜の
形成を繰り返すことによって、多層配線を有する半導体
装置が形成される。
【0103】図19および図20に5層配線(M1〜M
5)構造の一例を示す。図21〜図23は、図19およ
び図20に示す半導体装置の要部平面図であり、図19
は、A−A’断面と対応し、図20は、B−B’断面と
対応する。図21は、第1層配線M1〜第5層配線M5
の配置を明示した平面図であり、これらの関係を分かり
易くするため、図22は、このうち第1層配線M1〜第
3層配線M3の配置を、図23は、第3層配線M3〜第
5層配線M5の配置を明示したものである。
【0104】図19〜図23に示すように、第3層配線
M3およびその下のプラグP3は、第2層配線M2およ
びその下のプラグP2と同様に形成することができる。
【0105】即ち、絶縁膜(28と30)中に、配線溝
(HM3)およびコンタクトホール(C3)を形成した
後、これらの内部を含む絶縁膜上にバリア膜(PM3
a)および銅膜(PM3bとPM3c)を順次形成する
コンタクトホール3内にはプラグP3が形成される。
【0106】このコンタクトホール(C3)形成の際、
下層の配線の表面上に形成されているタングステン膜
(CM2)を除去しておく、また、銅膜(PM3b)を
形成する前に、このコンタクトホール(C3)底部のバ
リア膜(PM3a)を除去しておく。なお、銅膜(PM
3b)を形成しつつ、コンタクトホール(C3)底部の
バリア膜(PM3a)を除去してもよい。
【0107】その結果、配線(M3)とプラグ(P3)
との接触抵抗の低減を図ることができ、また、エレクト
ロマイグレーション特性を向上させることができる等の
前述の効果を得ることができる。
【0108】また、図19および図20に示すように、
第3層配線M3と第4層配線M4および第4層配線M4
と第5層配線M5とは、それぞれバリア膜PM4aとタ
ングステン膜CM3、バリア膜PM5aとタングステン
膜CM4とを介して接続されている。これは、図21お
よび図23に示したように、第3層配線M3〜第5層配
線M5は、その配線幅が大きく接続領域(プラグP4や
P5の径)を大きく確保することができる。従って、バ
リア膜(PM4a、PM5a)とタングステン膜(CM
3、CM4)とが介在しても接触抵抗を比較的小さくす
ることができ、接続領域におけるこれらの膜を除去する
工程を省くことで、工程の簡略化を図ることができる。
なお、第5層配線M5を構成するバリア膜PM5aは、
例えば、TiN膜、Ti膜とTiN膜の2層膜もしくは
Ti膜とTiN膜とTi膜の3層膜、PM5bは、アル
ミニウム(Al)またはAl合金膜、その上部のPM5
cは、TiN膜もしくはTi膜とTiN膜の2層膜であ
る。また、第5層配線M5上には、保護膜として、例え
ば、酸化シリコン膜と窒化シリコン膜の積層膜38が形
成される。
【0109】ここで、図19および図20に示すよう
に、例えば、第4層配線M4および第5層配線M5上の
タングステン膜CM4、CM5について、タングステン
膜CM5をCM4より薄く形成してもよい。このように
上層の配線上のタングステン膜(第2キャップバリアメ
タル膜)の膜厚を、下層の配線上のタングステン膜(第
1キャップバリアメタル膜)の膜厚よりも薄く形成する
ことにより上層の配線との接続抵抗を低減することがで
きる。また、下層の配線上のタングステン膜を厚くする
ことにより信頼度のマージンを確保することができる。
【0110】逆に、例えば、第4層配線M4および第5
層配線M5上のタングステン膜CM4、CM5につい
て、タングステン膜CM4をCM5より薄く形成しても
よい。上層の配線上に形成されるコンタクトホールは、
一般的にその径が大きいので、上層の配線上のタングス
テン膜(第2キャップバリアメタル膜)の膜厚を大きく
しても接続抵抗に影響を与えない。また、接続抵抗に影
響がでない程度の範囲で、上層の配線上のタングステン
膜を厚くすることにより信頼度のマージンを確保するこ
とができる。また、上層の配線は、一般的にレイアウト
ルールも緩いため、タングステン膜の膜厚を大きくする
ことにより生じ得るショートの可能性も低減できる。ま
た、下層の配線上のタングステン膜(第1キャップバリ
アメタル膜)を薄くすることにより、配線表面の凹凸を
低減することができ、また、配線間ショートの可能性を
低減することができる。なお、このような凹凸は層を重
ねる毎に顕著になっていくため、配線表面の凹凸が顕著
な場合には、その上部の絶縁膜に塗布膜を用いることに
より平坦化をすることができる。また、ここでは、第4
層配線M4および第5層配線M5上のタングステン膜C
M4、CM5について説明したが、第3層配線M3およ
び第4層配線M4上のタングステン膜CM3、CM4に
ついて、また、第2層配線M2および第3層配線M3上
のタングステン膜CM2、CM3についても同様であ
る。
【0111】積層膜38の形成後、基板表面をNH3
ラズマ処理を施す。この処理によって、1)基板上に形
成された配線(M1〜M4)を構成する銅膜の表面の還
元、2)銅膜表面の窒化、3)基板上に形成されたTE
OS膜等の絶縁膜表面のクリーニング、4)絶縁膜表面
のダメージの回復、または5)絶縁膜表面の窒化等が起
こる。その結果、配線を構成する銅のイオン化の抑制を
図ることができ、また、絶縁膜中への銅イオンの拡散を
防止することができ、絶縁膜の特性を向上させることが
できる。
【0112】(実施の形態2)本実施の形態において
は、配線溝およびコンタクトホールが形成される絶縁膜
の積層構造の例について説明する。
【0113】(1)実施の形態1においては、配線溝H
M2およびコンタクトホールC2を5層の絶縁膜(24
a、24b、24c、26b、26c)中に形成した
(図12参照)が、この5層の絶縁膜のうち、24aを
省略してもよい。図24は、本発明の実施の形態2であ
る半導体装置の製造方法を示す基板の要部断面図であ
る。
【0114】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。
【0115】次いで、図24に示すように、TEOS膜
22cおよびタングステン膜CM1上に、絶縁膜として
例えばSiOC膜24bおよびTEOS膜24cをCV
D法により順次堆積する。次いで、TEOS膜24c上
に、絶縁膜として例えば、芳香族ポリマー材料を用いた
低誘電絶縁膜26bおよびTEOS膜26cを順次形成
する。これらの4層の膜(24b、24c、26b、2
6c)の性質や形状は、実施の形態1において詳細に説
明した通りである。
【0116】これらの4層の膜(24b、24c、26
b、26c)のうち、SiOC膜24bには、第1層配
線M1と第2層配線M2とを接続するプラグ(接続部)
P2を形成するためのコンタクトホールC2が形成さ
れ、TEOS膜24c、低誘電絶縁膜26bおよびTE
OS膜26c中には、配線溝HM2が形成される。
【0117】次いで、実施の形態1と同様に、TEOS
膜26c上に、例えば第2層配線形成領域が開口したハ
ードマスク(図示せず)を形成し、さらに、ハードマス
ク上に、第1層配線と第2層配線との接続領域が開口し
たレジスト膜(図示せず)を形成する。
【0118】次いで、レジスト膜をマスクに、絶縁膜2
6および絶縁膜24を除去することによりコンタクトホ
ールC2を形成する。次いで、レジスト膜を除去した
後、ハードマスクをマスクに、絶縁膜26およびTEO
S膜24cを除去することにより配線溝HM2を形成す
る。なお、配線溝HM2を形成した後、コンタクトホー
ルC2を形成してもよい。
【0119】次いで、コンタクトホールC2の底部に露
出しているタングステン膜CM1を例えばドライエッチ
ング法を用いて除去し、銅膜M1cを露出させる。
【0120】次いで、第2層配線M2およびプラグ(接
続部)P2を形成するのであるが、以降の工程は、実施
の形態1と同様であるため、概略のみを述べる。
【0121】即ち、実施の形態1と同様に、配線溝HM
2およびコンタクトホールC2の内部を含むTEOS膜
26c上に、バリア膜PM2aを、配線溝HM2の側壁
において5nm程度、配線溝HM2の底部において30
nm程度、コンタクトホールC2の側壁において3nm
程度、コンタクトホールC2の底部において20nm程
度の膜厚となるよう堆積する。
【0122】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0123】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。
【0124】この後、図24に示すように、TEOS膜
26cおよびタングステン膜CM2上に、絶縁膜として
例えばSiOC膜28bおよびTEOS膜28cをCV
D法により順次堆積する。これらの膜は、SiOC膜2
4bおよびTEOS膜24cと同様に形成する。さら
に、TEOS膜28c上に、絶縁膜として例えば、芳香
族ポリマー材料を用いた低誘電絶縁膜30bおよびTE
OS膜(図示せず)を順次形成する。これらの膜は、低
誘電絶縁膜22bおよびTEOS膜22cと同様に形成
する。
【0125】次いで、前記4層の絶縁膜中に、配線溝H
M2およびコンタクトホールC2と同様に、配線溝およ
びコンタクトホールを形成するのであるが、これらの図
示は省略する。
【0126】このように本実施の形態によれば、配線溝
HM2およびコンタクトホールC2を4層の絶縁膜(2
4b、24c、26b、26c)中に形成したので、実
施の形態1と比較し、形成工程を簡略化することができ
る。
【0127】また、第1層配線M1とプラグP2との間
のタングステン膜CM1およびバリア膜PM2aを除去
したので、第1層配線M1とプラグP2との接触抵抗の
低減を図ることができ、また、エレクトロマイグレーシ
ョン特性を向上させることができる等の実施の形態1で
説明した効果を得ることができる。
【0128】(2)実施の形態1においては、配線溝H
M2およびコンタクトホールC2を5層の絶縁膜(24
a、24b、24c、26b、26c)中に形成した
が、この5層の絶縁膜のうち、26cを省略してもよ
い。図25は、本発明の実施の形態2である半導体装置
の製造方法を示す基板の要部断面図である。
【0129】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。
【0130】次いで、図25に示すように、基板1(第
1層配線M1)上に、絶縁膜として例えばTEOS膜2
4a、SiOC膜24bおよびTEOS膜24cをCV
D法により順次堆積する。次いで、TEOS膜24c上
に、絶縁膜として例えば、芳香族ポリマー材料を用いた
低誘電絶縁膜26bを形成する。これらの4層の膜(2
4a、24b、24c、26b)の性質や形状は、実施
の形態1において詳細に説明した通りである。
【0131】これらの4層の膜(24a、24b、24
c、26b)のうち、SiOC膜24bおよびTEOS
膜24a中には、第1層配線M1と第2層配線M2とを
接続するプラグ(接続部)P2を形成するためのコンタ
クトホールC2が形成され、TEOS膜24cおよび低
誘電絶縁膜26b中には、配線溝HM2が形成される。
【0132】次いで、実施の形態1と同様に、低誘電絶
縁膜26b上に、例えば第2層配線形成領域が開口した
ハードマスク(図示せず)を形成し、さらに、ハードマ
スク上に、第1層配線と第2層配線との接続領域が開口
したレジスト膜(図示せず)を形成する。
【0133】次いで、レジスト膜をマスクに、低誘電絶
縁膜26b、TEOS膜24cおよびSiOC膜24b
を除去することによりコンタクトホールC2を形成す
る。次いで、レジスト膜を除去した後、ハードマスクを
マスクに、低誘電絶縁膜26bおよびTEOS膜24c
を除去することにより配線溝HM2を形成するととも
に、コンタクトホールC2の底部のTEOS膜24aを
除去する。なお、配線溝HM2を形成した後、コンタク
トホールC2を形成してもよい。
【0134】次いで、コンタクトホールC2の底部に露
出しているタングステン膜CM1を例えばドライエッチ
ング法を用いて除去し、銅膜M1c(第1層配線M1)
を露出させる。
【0135】次いで、第2層配線M2およびプラグ(接
続部)P2を形成するのであるが、以降の工程は、実施
の形態1と同様であるため、概略のみを述べる。
【0136】即ち、実施の形態1と同様に、配線溝HM
2およびコンタクトホールC2の内部を含む低誘電絶縁
膜26b上に、バリア膜PM2aを、配線溝HM2の側
壁において5nm程度、配線溝HM2の底部において3
0nm程度、コンタクトホールC2の側壁において3n
m程度、コンタクトホールC2の底部において20nm
程度の膜厚となるよう堆積する。
【0137】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0138】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。
【0139】この後、図25に示すように、低誘電絶縁
膜26bおよびタングステン膜CM2上に、絶縁膜とし
て例えばTEOS膜28a、SiOC膜28bおよびT
EOS膜28cをCVD法により順次堆積する。これら
の膜は、TEOS膜24a、24cおよびSiOC膜2
4bと同様に形成する。さらに、TEOS膜28c上
に、絶縁膜として例えば、芳香族ポリマー材料を用いた
低誘電絶縁膜30bを形成する。この膜は、低誘電絶縁
膜22bと同様に形成する。
【0140】次いで、前記4層の絶縁膜中に、配線溝H
M2およびコンタクトホールC2と同様に、配線溝およ
びコンタクトホールを形成するのであるが、これらの図
示は省略する。
【0141】このように本実施の形態によれば、配線溝
HM2およびコンタクトホールC2を4層の絶縁膜(2
4a、24b、24c、26b)中に形成したので、実
施の形態1と比較し、形成工程を簡略化することができ
る。なお、同様に、第1層配線が形成される絶縁膜を、
TEOS膜22aおよび低誘電絶縁膜22bで構成し、
実施の形態1で示したTEOS膜22cを省略してもよ
い。図25は、22cを省略した場合の図を示す。
【0142】また、第1層配線M1とプラグP2との間
のタングステン膜CM1およびバリア膜PM2aを除去
したので、第1層配線M1とプラグP2との接触抵抗の
低減を図ることができ、また、エレクトロマイグレーシ
ョン特性を向上させることができる等の実施の形態1で
説明した効果を得ることができる。
【0143】(実施の形態3)実施の形態1において
は、絶縁膜26およびTEOS膜24c中に、第2層配
線M2が埋め込まれる配線溝HM2を形成したが、この
配線溝HM2を絶縁膜26に形成してもよい。図26
は、本発明の実施の形態3である半導体装置の製造方法
を示す基板の要部断面図である。
【0144】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。
【0145】次いで、図26に示すように、TEOS膜
22cおよびタングステン膜CM1上に、絶縁膜として
例えばTEOS膜24a、SiOC膜24bおよびTE
OS膜24cをCVD法により順次堆積する。次いで、
TEOS膜24c上に、絶縁膜として例えば芳香族ポリ
マー材料を用いた低誘電絶縁膜26bおよびTEOS膜
26cを順次形成する。これらの5層の膜(24a、2
4b、24c、26b、26c)の性質や形状は、実施
の形態1において詳細に説明した通りである。
【0146】これらの5層の膜(24a、24b、24
c、26b、26c)のうち、TEOS膜24a、24
cおよびSiOC膜24b中には、第1層配線M1と第
2層配線M2とを接続するプラグ(接続部)P2を形成
するためのコンタクトホールC2が形成され、低誘電絶
縁膜26bおよびTEOS膜26c中には、配線溝HM
2が形成される。
【0147】次いで、実施の形態1と同様に、TEOS
膜26c上に、例えば第2層配線形成領域が開口したハ
ードマスク(図示せず)を形成し、さらに、ハードマス
ク上に、第1層配線と第2層配線との接続領域が開口し
たレジスト膜(図示せず)を形成する。
【0148】次いで、レジスト膜をマスクに、絶縁膜2
6(26bおよび26c)、TEOS膜24cおよびS
iOC膜24bを除去することによりコンタクトホール
C2を形成する。次いで、レジスト膜を除去した後、ハ
ードマスクをマスクに、絶縁膜26(26bおよび26
c)を除去することにより配線溝HM2を形成するとと
もに、コンタクトホールC2の底部のTEOS膜24a
を除去する。なお、配線溝HM2を形成した後、コンタ
クトホールC2を形成してもよい。
【0149】次いで、コンタクトホールC2の底部に露
出しているタングステン膜CM1を例えばドライエッチ
ング法を用いて除去し、銅膜M1cを露出させる。
【0150】次いで、第2層配線M2およびプラグ(接
続部)P2を形成するのであるが、以降の工程は、実施
の形態1と同様であるため、概略のみを述べる。
【0151】即ち、実施の形態1と同様に、配線溝HM
2およびコンタクトホールC2の内部を含むTEOS膜
26c上に、バリア膜PM2aを、配線溝HM2の側壁
において5nm程度、配線溝HM2の底部において30
nm程度、コンタクトホールC2の側壁において3nm
程度、コンタクトホールC2の底部において20nm程
度の膜厚となるよう堆積する。
【0152】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0153】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。
【0154】この後、図26に示すように、TEOS膜
26cおよびタングステン膜CM2上に、絶縁膜として
例えばTEOS膜28a、SiOC膜28bおよびTE
OS膜28cをCVD法により順次堆積する。これらの
膜は、SiOC膜24bおよびTEOS膜24a、24
cと同様に形成する。さらに、TEOS膜28c上に、
絶縁膜として例えば、芳香族ポリマー材料を用いた低誘
電絶縁膜30bおよびTEOS膜(図示せず)を順次形
成する。これらの膜は、低誘電絶縁膜22bおよびTE
OS膜22cと同様に形成する。
【0155】次いで、前記5層の絶縁膜中に、配線溝H
M2およびコンタクトホールC2と同様に、配線溝およ
びコンタクトホールを形成するのであるが、これらの図
示は省略する。
【0156】このように、配線溝HM2を絶縁膜(2
6)中に形成することもできる。
【0157】また、本実施の形態によっても、第1層配
線M1とプラグP2との間のタングステン膜CM1およ
びバリア膜PM2aを除去しているので、第1層配線M
1とプラグP2との接触抵抗の低減を図ることができ、
また、エレクトロマイグレーション特性を向上させるこ
とができる等の実施の形態1で説明した効果を得ること
ができる。
【0158】(実施の形態4)実施の形態2において
は、配線M1、M2等上にタングステン膜CM1、CM
2等を形成することにより、配線を構成する銅の絶縁膜
中への拡散を防止し、また、酸化シリコン膜等と銅膜と
の接触による酸化物の形成等を防止したが、このタング
ステン膜上に、さらに薄い窒化シリコン膜を形成するこ
とにより銅の拡散や酸化の防止を強化してもよい。図2
7は、本発明の実施の形態4である半導体装置の製造方
法を示す基板の要部断面図である。
【0159】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。
【0160】次いで、図27に示すように、銅の拡散や
酸化防止膜として例えば窒化シリコン膜401をCVD
法により堆積する。この窒化シリコン膜の膜厚は、20
nm以下とする。これは、実施の形態1で詳細に説明し
たように、誘電率の大きい窒化シリコン膜の膜厚をでき
るだけ小さくして、配線間に存在する絶縁膜の実効的な
誘電率を低減するためである。
【0161】次いで、窒化シリコン膜401上に、絶縁
膜として例えばSiOC膜24bおよびTEOS膜24
cをCVD法により順次堆積する。次いで、TEOS膜
24c上に、絶縁膜として例えば、芳香族ポリマー材料
を用いた低誘電絶縁膜26bおよびTEOS膜26cを
順次形成する。これらの4層の膜(24b、24c、2
6b、26c)の性質や形状は、実施の形態1において
詳細に説明した通りである。
【0162】これらの4層の膜(24b、24c、26
b、26c)のうち、SiOC膜24bおよび窒化シリ
コン膜401中には、第1層配線M1と第2層配線M2
とを接続するプラグ(接続部)P2を形成するためのコ
ンタクトホールC2が形成され、TEOS膜24c、低
誘電絶縁膜26bおよびTEOS膜26c中には、配線
溝HM2が形成される。
【0163】次いで、実施の形態1と同様に、TEOS
膜26c上に、例えば第2層配線形成領域が開口したハ
ードマスク(図示せず)を形成し、さらに、ハードマス
ク上に、第1層配線と第2層配線との接続領域が開口し
たレジスト膜(図示せず)を形成する。
【0164】次いで、レジスト膜をマスクに、絶縁膜2
6および絶縁膜24のうちTEOS膜24cおよびSi
OC膜24bを除去することによりコンタクトホールC
2を形成する。次いで、レジスト膜を除去した後、ハー
ドマスクをマスクに、絶縁膜26(26bおよび26
c)およびTEOS膜24cを除去することにより配線
溝HM2を形成する。なお、配線溝HM2を形成した
後、コンタクトホールC2を形成してもよい。
【0165】次いで、コンタクトホールC2の底部に露
出している窒化シリコン膜401およびその下層のタン
グステン膜CM1を例えばドライエッチング法を用いて
除去し、銅膜M1cを露出させる。
【0166】次いで、第2層配線M2およびプラグ(接
続部)P2を形成するのであるが、以降の工程は、実施
の形態1と同様であるため、概略のみを述べる。
【0167】即ち、実施の形態1と同様に、配線溝HM
2およびコンタクトホールC2の内部を含むTEOS膜
26c上に、バリア膜PM2aを、配線溝HM2の側壁
において5nm程度、配線溝HM2の底部において30
nm程度、コンタクトホールC2の側壁において3nm
程度、コンタクトホールC2の底部において20nm程
度の膜厚となるよう堆積する。
【0168】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0169】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。次いで、タングステン膜CM2上に、さらに銅の拡
散や酸化防止膜として例えば窒化シリコン膜402をC
VD法により堆積する。この窒化シリコン膜の膜厚も、
20nm以下とする。
【0170】この後、図27に示すように、窒化シリコ
ン膜402上に、絶縁膜として例えばSiOC膜28b
およびTEOS膜28cをCVD法により順次堆積す
る。これらの膜は、SiOC膜24bおよびTEOS膜
24cと同様に形成する。さらに、TEOS膜28c上
に、絶縁膜として例えば、芳香族ポリマー材料を用いた
低誘電絶縁膜30bおよびTEOS膜(図示せず)を順
次形成する。これらの膜は、低誘電絶縁膜22bおよび
TEOS膜22cと同様に形成する。
【0171】次いで、これらの絶縁膜中に、配線溝HM
2およびコンタクトホールC2と同様に、配線溝および
コンタクトホールを形成するのであるが、これらの図示
は省略する。
【0172】このように本実施の形態によれば、タング
ステン膜CM1、CM2上にさらに、薄い窒化シリコン
膜401、402を形成したので、銅の拡散や酸化の防
止を強化することができる。また、この窒化シリコン膜
401、402の膜厚を20nm以下とすることで、配
線間に存在する絶縁膜の実効的な誘電率を低減すること
ができる。
【0173】また、第1層配線M1とプラグP2との間
のタングステン膜CM1およびバリア膜PM2aを除去
したので、第1層配線M1とプラグP2との接触抵抗の
低減を図ることができ、また、エレクトロマイグレーシ
ョン特性を向上させることができる等の実施の形態1で
説明した効果を得ることができる。
【0174】(実施の形態5)実施の形態1において
は、配線M1、M2が埋め込まれる絶縁膜の最上層をT
EOS膜22c、26cとしたが、これを窒化シリコン
膜としてもよい。また、これを窒化シリコン膜に対して
比較的誘電率が低いバリア絶縁膜であるTMS膜、Si
C膜もしくはSiCN膜等としてもよい。これらの膜の
形成方法として、例えば、トリメトキシシランと一酸化
二窒素(N2O)を用いたCVD法により低誘電絶縁膜
を形成することができる。この場合の膜組成は、主にS
iONである(この膜をTMS膜という)。あるいは、
トリメチルシランを用いてSiC膜を形成したり、トリ
メチルシランとアンモニアを用いてSiCN膜を形成す
ることもできる。
【0175】すなわち、実施の形態1におけるTEOS
膜22c、26cを、窒化シリコン膜、SiON膜、T
MS膜、SiC膜もしくはSiCN膜等のバリア絶縁膜
501、502にしたのが本実施の形態5である。
【0176】図28は、本発明の実施の形態5である半
導体装置の製造方法を示す基板の要部断面図である。
【0177】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、酸化シリ
コン膜20およびこの膜中に埋め込まれたプラグP1の
形成工程までは、図1を参照しながら説明した実施の形
態1の場合と同様であるためその説明を省略する。
【0178】次いで、図28に示すように、酸化シリコ
ン膜20およびプラグP1上に、実施の形態1と同様
に、絶縁膜として例えばTEOS膜22aを形成し、次
いで、TEOS膜22a上に、低誘電絶縁膜22bを塗
布し、熱処理を施す。なお、低誘電絶縁膜を、CVD法
により形成することもできる。
【0179】次いで、低誘電絶縁膜22b上に、銅の拡
散や酸化の防止膜として例えば窒化シリコン膜、SiO
N膜、TMS膜、SiC膜もしくはSiCN膜等のバリ
ア絶縁膜501をCVD法により形成する。
【0180】このように、低誘電絶縁膜22bをCVD
法で形成された膜(22a、501)で挟み込むのは、
これらの積層膜の機械的強度を確保するためである。ま
た、これらTEOS膜22a、低誘電絶縁膜22bおよ
び窒化シリコン膜等のバリア絶縁膜501の3層の絶縁
膜(22)中に配線溝HM1が形成される。
【0181】次いで、第1層配線形成予定領域の絶縁膜
22(22a、22b、501)をフォトリソグラフィ
ーおよびドライエッチング技術を用いて除去することに
より配線溝HM1を形成する。この配線溝HM1の深さ
は、例えば0.25μmで、幅は、例えば0.18μm
である。
【0182】次に、配線溝HM1内を含む絶縁膜22上
に、実施の形態1と同様に、バリア膜M1aを例えばス
パッタ法により堆積し、バリア膜M1a上に、電界メッ
キ用のシード膜として薄い銅膜M1bを例えばイオン化
スパッタ法を用いて形成する。次いで、例えば電界メッ
キ法により、銅膜M1b上に銅膜M1cを形成する。こ
の際、配線溝HM1を埋め込むように銅膜M1cを形成
する。
【0183】次に、還元雰囲気下において基板1にアニ
ール(熱処理)を施した後、配線溝HM1外部の銅膜M
1c、M1bおよびバリア膜M1aを例えばCMP法も
しくはエッチバック法を用いて除去することにより銅膜
M1c、M1bおよびバリア膜M1aから成る第1層配
線M1を形成する。この際、第1層配線M1の形成領域
以外の領域には、窒化シリコン膜、SiON膜、TMS
膜、SiC膜もしくはSiCN膜である501が露出し
ている。この後、さらに、還元雰囲気下において基板1
にアニール(熱処理)を施す。
【0184】次に、実施の形態1と同様に、第1層配線
M1上にキャップ導電性膜として例えばタングステン
(W)を選択成長もしくは優先成長させることにより、
第1層配線M1上に2〜20nm程度のタングステン膜
CM1を形成する。なお、タングステン膜CM1の成膜
に先立ち、洗浄や水素処理を行ってもよい。また、タン
グステン膜CM1形成後に、洗浄を行ってもよい。
【0185】次いで、窒化シリコン膜、SiON膜、T
MS膜、SiC膜もしくはSiCN膜であるバリア絶縁
膜501およびタングステン膜CM1上に、絶縁膜とし
て例えばTEOS膜24a、SiOC膜24bおよびT
EOS膜24cをCVD法により順次堆積する。次い
で、TEOS膜24c上に、絶縁膜として例えば芳香族
ポリマー材料を用いた低誘電絶縁膜26bを形成し、さ
らに、その上部に窒化シリコン膜、SiON膜、TMS
膜、SiC膜もしくはSiCN膜であるバリア絶縁膜5
01と同様に窒化シリコン膜、SiON膜、TMS膜、
SiC膜もしくはSiCN膜であるバリア絶縁膜502
を形成する。これらの膜のうち、24a、24b、24
cおよび26bの性質や形状は、実施の形態1において
詳細に説明した通りである。
【0186】これらの5層の膜(24a、24b、24
c、26b、502)のうち、TEOS膜24aおよび
SiOC膜24b中には、第1層配線M1と第2層配線
M2とを接続するプラグ(接続部)P2を形成するため
のコンタクトホールC2が形成され、TEOS膜24
c、低誘電絶縁膜26bおよび窒化シリコン膜502中
には、配線溝HM2が形成される。
【0187】次いで、実施の形態1と同様に、バリア絶
縁膜502上に、例えば第2層配線形成領域が開口した
ハードマスク(図示せず)を形成し、さらに、ハードマ
スク上に、第1層配線と第2層配線との接続領域が開口
したレジスト膜(図示せず)を形成する。
【0188】次いで、レジスト膜をマスクに、絶縁膜2
6(502および26b)およびTEOS膜24cおよ
びSiOC膜24bを除去することによりコンタクトホ
ールC2を形成する。次いで、レジスト膜を除去した
後、ハードマスクをマスクに、絶縁膜26(502およ
び26b)およびTEOS膜24cを除去することによ
り配線溝HM2を形成するとともに、コンタクトホール
C2の底部のTEOS膜24aを除去する。なお、配線
溝HM2を形成した後、コンタクトホールC2を形成し
てもよい。
【0189】次いで、コンタクトホールC2の底部に露
出しているタングステン膜CM1を例えばドライエッチ
ング法を用いて除去し、銅膜M1cを露出させる。
【0190】次いで、第2層配線M2およびプラグ(接
続部)P2を形成するのであるが、以降の工程は、実施
の形態1と同様であるため、概略のみを述べる。
【0191】即ち、実施の形態1と同様に、配線溝HM
2およびコンタクトホールC2の内部を含む窒化シリコ
ン膜、SiON膜、TMS膜、SiC膜もしくはSiC
N膜であるバリア絶縁膜502上に、バリア膜PM2a
を、配線溝HM2の側壁において5nm程度、配線溝H
M2の底部において30nm程度、コンタクトホールC
2の側壁において3nm程度、コンタクトホールC2の
底部において20nm程度の膜厚となるよう堆積する。
【0192】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0193】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。
【0194】この後、図28に示すように、バリア絶縁
膜502およびタングステン膜CM2上に、絶縁膜とし
て例えばTEOS膜28a、SiOC膜28bおよびT
EOS膜28cをCVD法により順次堆積する。これら
の膜は、SiOC膜24bおよびTEOS膜24a、2
4cと同様に形成する。さらに、TEOS膜28c上
に、絶縁膜として例えば、芳香族ポリマー材料を用いた
低誘電絶縁膜30bおよび窒化シリコン膜、SiON
膜、TMS膜、SiC膜もしくはSiCN膜(図示せ
ず)を順次形成する。これらの膜は、低誘電絶縁膜22
bおよび窒化シリコン膜、SiON膜、TMS膜、Si
C膜もしくはSiCN膜であるバリア絶縁膜502と同
様に形成する。
【0195】次いで、前記5層の絶縁膜中に、配線溝H
M2およびコンタクトホールC2と同様に、配線溝およ
びコンタクトホールを形成するのであるが、これらの図
示は省略する。
【0196】このように本実施の形態によれば、配線M
1、M2が埋め込まれる絶縁膜の最上層を窒化シリコン
膜、SiON膜、TMS膜、SiC膜もしくはSiCN
膜等のバリア絶縁膜501、502としたので、例え
ば、コンタクトホールC2の形成時において、マスクず
れが生じ、コンタクトホールC2(プラグP2)のパタ
ーンが、第1層配線M1上を越えて窒化シリコン膜50
1上に至ったとしても、このバリア絶縁膜501によっ
て、プラグP2を構成する銅膜から銅が拡散することを
防止でき、また、銅膜と酸化シリコン膜(低誘電絶縁膜
22b)とが接することによる銅膜の酸化を防止するこ
とができる。なお、配線間に存在する絶縁膜の実効的な
誘電率を低減するため、バリア絶縁膜の膜厚は、できる
だけ小さい方が好ましい。
【0197】すなわち、図28に示すように、配線の高
密度化、高集積化のため第1層配線M1の配線幅とコン
タクトホールC2の径とを等しくなるように設計した場
合は、第1層配線M1とコンタクトホールC2との間で
合わせ余裕によるマスクの合わせずれが生じるが、この
合わせずれが生じた場合においても、コンタクトホール
C2の底部にはバリア絶縁膜501が形成されているの
で、プラグP2を構成する銅膜から銅がコンタクトホー
ルC2の底部を介して絶縁膜22bへ拡散することを防
止することができる。
【0198】このように、合わせずれが生じた場合にお
いても、コンタクトホールC2の底部において銅の拡散
のバリア性を確保できるので、第1層配線M1の配線幅
とコンタクトホールC2の径とを等しくなるように設計
でき、配線の信頼性を確保したまま配線の高密度化、高
集積化を図ることができる。
【0199】また、第1層配線M1とプラグP2との間
のタングステン膜CM1およびバリア膜PM2aを除去
したので、第1層配線M1とプラグP2との接触抵抗の
低減を図ることができ、また、エレクトロマイグレーシ
ョン特性を向上させることができる等の実施の形態1で
説明した効果を得ることができる。
【0200】(実施の形態6)実施の形態1において
は、コンタクトホールC2底部のバリア膜PM2aを除
去した後、銅膜PM2b、PM2cを形成したが、コン
タクトホールC2底部のバリア膜PM2aを除去せず、
第2層配線M2とプラグP2との間に残存させてもよ
い。図29および図30は、本発明の実施の形態6であ
る半導体装置の製造方法を示す基板の要部断面図であ
る。
【0201】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。なお、
以下に示す図29中においては、酸化シリコン膜20中
のプラグP1が省略されている(図30〜図32につい
ても同じ)。
【0202】次いで、図29に示すように、基板1(タ
ングステン膜CM1)上に、絶縁膜として例えばTEO
S膜24a、SiOC膜24bおよびTEOS膜24c
をCVD法により順次堆積する。次いで、TEOS膜2
4c上に、絶縁膜として例えば、芳香族ポリマー材料を
用いた低誘電絶縁膜26bおよびTEOS膜26cを順
次形成する。これらの5層の膜(24a、24b、24
c、26b、26c)の性質や形状は、実施の形態1に
おいて詳細に説明した通りである。
【0203】これらの5層の膜(24a、24b、24
c、26b、26c)のうち、SiOC膜24bおよび
TEOS膜24aには、第1層配線M1と第2層配線M
2とを接続するプラグ(接続部)P2を形成するための
コンタクトホールC2が形成され、TEOS膜24c、
26cおよび低誘電絶縁膜26b中には、配線溝HM2
が形成される。
【0204】次いで、実施の形態1と同様に、TEOS
膜26c上に、例えば第2層配線形成領域が開口したハ
ードマスク(図9と同様)を形成し、さらに、ハードマ
スク上に、第1層配線と第2層配線との接続領域が開口
したレジスト膜(図10と同様)を形成する。
【0205】次いで、レジスト膜をマスクに、TEOS
膜26c、低誘電絶縁膜26bおよび絶縁膜24のう
ち、TEOS膜24c、24aおよびSiOC膜24b
を除去することによりコンタクトホールC2を形成する
(図10と同様)。次いで、レジスト膜を除去した後、
ハードマスクをマスクに、TEOS膜26c、24cお
よび低誘電絶縁膜26bを除去することにより配線溝H
M2を形成する(図11と同様)。なお、配線溝HM2
を形成した後、コンタクトホールC2を形成してもよ
い。
【0206】次いで、コンタクトホールC2の底部に露
出しているタングステン膜CM1を例えばドライエッチ
ング法を用いて除去し、銅膜M1cを露出させる(図1
2と同様)。なお、タングステン膜CM1を完全に除去
してもよいが、例えば、コンタクトホール底部に不連続
なタングステン膜を残存させてもよい。
【0207】次いで、実施の形態1と同様に、配線溝H
M2およびコンタクトホールC2の内部を含むTEOS
膜26c上に、バリア膜PM2aを、配線溝HM2の側
壁において5nm程度、配線溝HM2の底部において3
0nm程度、コンタクトホールC2の側壁において3n
m程度、コンタクトホールC2の底部において20nm
程度の膜厚となるよう堆積する。
【0208】次に、実施の形態1と同様に、バリア膜P
M2a上に、電界メッキ用のシード膜として薄い銅膜P
M2bを堆積した後、電界メッキ法により、銅膜PM2
b上に銅膜PM2cを形成する。次に、還元雰囲気下に
おいて基板1にアニール(熱処理)を施した後、配線溝
HM2およびコンタクトホールC2外部の銅膜PM2
c、PM2bおよびバリア膜PM2aを例えばCMP法
もしくはエッチバック法を用いて除去することにより銅
膜PM2b、PM2cおよびバリア膜PM2aから成る
第2層配線M2および第1層配線M1と第2層配線を接
続するプラグ(接続部)P2を形成する。
【0209】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。
【0210】この後、図29に示すように、TEOS膜
26cおよびタングステン膜CM2上に、絶縁膜として
例えばTEOS膜28a等の絶縁膜を堆積する。
【0211】このように、第1層配線M1とプラグ(接
続部)P2の接続部分であるコンタクトホールC2の底
部において、コンタクトホールC2の底部のバリア膜P
M2a又はタングステン膜CM1のうち、コンタクトホ
ールC2の底部のバリア膜PM2aが形成されていな
い。すなわち、コンタクトホールC2の底部のタングス
テン膜CM1のみが除去され、コンタクトホールC2の
底部のバリア膜PM2aを除去する工程を削除できるの
で、実施の形態1に比べて製造工程を低減することがで
きる。
【0212】また、本実施の形態によれば、第1層配線
M1とプラグP2との間のタングステン膜CM1を除去
したので、第1層配線M1とプラグP2との接触抵抗の
低減を図ることができる。なお、第1層配線M1とプラ
グP2との間にバリア膜PM2aが介在するので、その
効果は低減され得るが、エレクトロマイグレーション特
性の向上等の実施の形態1で説明した効果を得ることが
できる。なお、バリア膜PM2aの表面をエッチングす
ることにより、その膜厚を小さくした後、銅膜PM2b
を堆積してもよい。
【0213】次に、本実施の形態6を、配線の高密度
化、高集積化のため第1層配線M1の配線幅とコンタク
トホールC2の径とを等しくなるように設計した場合に
適用した例を図37を用いて説明する。図37に示すよ
うに、配線の高密度化、高集積化のため第1層配線M1
の配線幅とコンタクトホールC2の径とを等しくなるよ
うに設計した場合は、コンタクトホールC2の形成時に
おいて、合わせずれによりマスクずれが生じ、コンタク
トホールC2のパターンが、第1層配線M1上を越えて
低誘電絶縁膜22c上にかかるように形成されるが、コ
ンタクトホールC2側壁および底部にバリア膜PM2a
が形成されているので、コンタクトホールC2底部にお
いてプラグP2を構成する銅膜から銅が絶縁膜22cへ
拡散することを防止できる。また、銅膜と酸化シリコン
膜(TEOS膜22c)とが接することによる銅膜の酸
化を防止することができる。
【0214】すなわち、合わせずれが生じた場合におい
ても、コンタクトホールC2の底部において銅の拡散の
バリア性を確保できるので、第1層配線M1の配線幅と
コンタクトホールC2の径とを等しくなるように設計で
き、配線の信頼性を確保したまま配線の高密度化、高集
積化を図ることができる。また、コンタクトホールC2
の底部のバリア膜PM2aを除去する工程を削除できる
ので、実施の形態1に比べて製造工程を低減することが
できる。
【0215】また、図30に示すように、第1層配線M
1とプラグP2との間に介在するバリア膜PM2aを不
連続な膜としてもよい。
【0216】すなわち、バリア膜PM2aは、コンタク
トホールC2の底部において、底面全面に一様に形成さ
れているのではなく、部分的に形成されおり、形成され
ていない部分では銅膜M1c,M1bと銅膜PM2b,
PM2cとが直接接触するように不連続な膜として構成
される。
【0217】このように、第1層配線M1とプラグ(接
続部)P2の接続部分であるコンタクトホールC2の底
部において、銅膜の拡散防止用のバリア材が不連続な膜
として構成されている。
【0218】このような不連続な膜を形成する方法とし
ては、例えば配線溝HM2およびコンタクトホールC2
の内部を含むTEOS膜26c上に、バリア膜PM2a
を形成する際、コンタクトホールC2の底部においてご
く薄い膜厚のバリア膜が形成されるよう成膜条件を制御
する方法がある。
【0219】また、例えば、前述のように、配線溝HM
2およびコンタクトホールC2の内部を含むTEOS膜
26c上に、バリア膜PM2aを、配線溝HM2の側壁
において5nm程度、配線溝HM2の底部において30
nm程度、コンタクトホールC2の側壁において3nm
程度、コンタクトホールC2の底部において20nm程
度の膜厚となるよう堆積した後、コンタクトホールC2
底部のバリア膜PM2aを除去する際、かかる部位のバ
リア膜が完全には除去されないよう、エッチング条件を
制御する方法がある。
【0220】このように、第1層配線M1とプラグP2
との間に介在するバリア膜PM2aを不連続な膜とすれ
ば、さらに、第1層配線M1とプラグP2との接触抵抗
の低減を図ることができる。また、バリア膜PM2aの
不連続部を介し、銅の移動が可能となりエレクトロマイ
グレーション特性の向上等の実施の形態1で説明した効
果を得ることができる。
【0221】(実施の形態7)実施の形態1において
は、コンタクトホールC2底部のタングステン膜CM1
を除去した後、銅膜PM2b、PM2cを形成したが、
コンタクトホールC2底部のタングステン膜CM1を除
去せず、第2層配線M2とプラグP2との間に残存させ
てもよい。図31は、本発明の実施の形態7である半導
体装置の製造方法を示す基板の要部断面図である。
【0222】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。
【0223】次いで、図31に示すように、基板1(タ
ングステン膜CM1)上に、絶縁膜として例えばTEO
S膜24a、SiOC膜24bおよびTEOS膜24c
をCVD法により順次堆積する。次いで、TEOS膜2
4c上に、絶縁膜として例えば、芳香族ポリマー材料を
用いた低誘電絶縁膜26bおよびTEOS膜26cを順
次形成する。これらの5層の膜(24a、24b、24
c、26b、26c)の性質や形状は、実施の形態1に
おいて詳細に説明した通りである。
【0224】これらの5層の膜(24a、24b、24
c、26b、26c)のうち、SiOC膜24bおよび
TEOS膜24aには、第1層配線M1と第2層配線M
2とを接続するプラグ(接続部)P2を形成するための
コンタクトホールC2が形成され、TEOS膜24c、
26cおよび低誘電絶縁膜26b中には、配線溝HM2
が形成される。
【0225】次いで、実施の形態1と同様に、TEOS
膜26c上に、例えば第2層配線形成領域が開口したハ
ードマスク(図9と同様)を形成し、さらに、ハードマ
スク上に、第1層配線と第2層配線との接続領域が開口
したレジスト膜(図10と同様)を形成する。
【0226】次いで、レジスト膜をマスクに、TEOS
膜26c、低誘電絶縁膜26b、TEOS膜24c、2
4aおよびSiOC膜24bを除去することによりコン
タクトホールC2を形成する(図10と同様)。次い
で、レジスト膜を除去した後、ハードマスクをマスク
に、TEOS膜26c、24cおよび低誘電絶縁膜26
bを除去することにより配線溝HM2を形成する。な
お、配線溝HM2を形成した後、コンタクトホールC2
を形成してもよい(図11と同様)。次にハードマスク
MKを除去し、コンタクトホールC2の底部には、タン
グステン膜CM1が露出している状態で、第2層配線M
2およびプラグ(接続部)P2を形成するのであるが、
以降の工程は、実施の形態1と同様であるため、概略の
みを述べる。
【0227】即ち、実施の形態1と同様に、配線溝HM
2およびタングステン膜CM1が露出しているコンタク
トホールC2の内部を含むTEOS膜26c上に、バリ
ア膜PM2aを、配線溝HM2の側壁において5nm程
度、配線溝HM2の底部において30nm程度、コンタ
クトホールC2の側壁において3nm程度、コンタクト
ホールC2の底部において20nm程度の膜厚となるよ
う堆積する。
【0228】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0229】次に、実施の形態1と同様に、第2層配線
M2上にタングステン(W)を選択成長もしくは優先成
長させることにより、タングステン膜CM2を形成す
る。
【0230】この後、図31に示すように、TEOS膜
26cおよびタングステン膜CM2上に、絶縁膜として
例えばTEOS膜28a等の絶縁膜を堆積する。
【0231】このように本実施の形態によれば、第1層
配線M1とプラグP2との間のバリア膜PM2aを除去
したので、第1層配線M1とプラグP2との接触抵抗の
低減を図ることができる。なお、第1層配線M1とプラ
グP2との間にタングステン膜CM1が介在するので、
その効果は低減され得るが、エレクトロマイグレーショ
ン特性の向上等の実施の形態1で説明した効果を得るこ
とができる。
【0232】このように、第1層配線M1とプラグ(接
続部)P2の接続部分であるコンタクトホールC2の底
部において、コンタクトホールC2の底部のバリア膜P
M2a又はタングステン膜CM1のうち、コンタクトホ
ールC2の底部のバリア膜PM2aが形成されていな
い。すなわち、コンタクトホールC2の底部のバリア膜
PM2aのみが除去され、コンタクトホールC2の底部
のタングステン膜CM1を除去する工程を削除できるの
で、実施の形態1に比べて製造工程を低減することがで
きる。
【0233】次に、本実施の形態7を、配線の高密度
化、高集積化のため第1層配線M1の配線幅とコンタク
トホールC2の径とを等しくなるように設計した場合に
適用した例を図38を用いて説明する。図38に示すよ
うに絶縁膜22は、実施の形態5と同様に構成される。
すなわち、図38に示すように、実施の形態5と同様に
酸化シリコン膜20およびプラグP1上に、実施の形態
1と同様に、絶縁膜として例えばTEOS膜22aを形
成し、次いで、TEOS膜22a上に低誘電絶縁膜22
bを塗布し、熱処理を施す。なお、低誘電絶縁膜を、C
VD法により形成することもできる。
【0234】次いで、低誘電絶縁膜22b上に、銅の拡
散や酸化の防止膜として例えば窒化シリコン膜、SiO
N膜、TMS膜、SiC膜もしくはSiCN膜等のバリ
ア絶縁膜501をCVD法により形成する。
【0235】以後の製造工程は上述した本実施の形態7
において図31を参照しながら説明した製造工程と同様
であるため省略する。
【0236】このように、配線M1が埋め込まれる絶縁
膜の最上層をバリア絶縁膜501としたので、例えば、
コンタクトホールC2の形成時において、マスクずれが
生じ、コンタクトホールC2のパターンが、第1層配線
M1上を越えてバリア絶縁膜501上に至ったとして
も、コンタクトホールC2の底部のバリア絶縁膜501
によって、プラグP2を構成する銅膜から銅が絶縁膜2
2bへ拡散することを防止でき、また、銅膜と酸化シリ
コン膜(低誘電絶縁膜22b)とが接することによる銅
膜の酸化を防止することができる。なお、配線間に存在
する絶縁膜の実効的な誘電率を低減するため、窒化シリ
コン膜の膜厚は、できるだけ小さいほうが好ましい。
【0237】すなわち、合わせずれが生じた場合におい
ても、コンタクトホールC2の底部において銅の拡散の
バリア性を確保できるので、第1層配線M1の配線幅と
コンタクトホールC2の径とを等しくなるように設計で
き、配線の信頼性を確保したまま配線の高密度化、高集
積化を図ることができる。また、コンタクトホールC2
の底部のタングステン膜CM1を除去する工程を削除で
きるので、実施の形態1に比べて製造工程を低減するこ
とができる。
【0238】(実施の形態8)実施の形態1において
は、配線M1、M2等上にキャップ導電性膜として単層
のタングステン膜CM1、CM2等を形成したが、この
キャップ導電性膜を積層膜としてもよい。図32は、本
発明の実施の形態8である半導体装置の製造方法を示す
基板の要部断面図である。
【0239】以下に、本発明の実施の形態である半導体
装置をその製造方法に従って説明する。なお、第1層配
線M1およびその上部のタングステン膜CM1の形成工
程までは、図1〜6を参照しながら説明した実施の形態
1の場合と同様であるためその説明を省略する。
【0240】次いで、図32に示すように、窒素雰囲気
で処理することによりタングステン膜CM1を窒化タン
グステン膜CM1aとした後、窒化タングステン膜CM
1a上に実施の形態1と同様に、タングステン(W)を
選択成長もしくは優先成長させることにより、タングス
テン膜CM1bを形成する。この窒化タングステン膜C
M1aとタングステン膜CM1bとでキャップ導電性膜
801を構成する。
【0241】次いで、基板1(タングステン膜CM1
b)上に、絶縁膜として例えばTEOS膜24a、Si
OC膜24bおよびTEOS膜24cをCVD法により
順次堆積する。次いで、TEOS膜24c上に、絶縁膜
として例えば、芳香族ポリマー材料を用いた低誘電絶縁
膜26bおよびTEOS膜26cを順次形成する。これ
らの5層の膜(24a、24b、24c、26b、26
c)の性質や形状は、実施の形態1において詳細に説明
した通りである。
【0242】これらの5層の膜(24a、24b、24
c、26b、26c)のうち、SiOC膜24bおよび
TEOS膜24aには、第1層配線M1と第2層配線M
2とを接続するプラグ(接続部)P2を形成するための
コンタクトホールC2が形成され、TEOS膜24c、
26cおよび低誘電絶縁膜26b中には、配線溝HM2
が形成される。
【0243】次いで、実施の形態1と同様に、TEOS
膜26c上に、例えば第2層配線形成領域が開口したハ
ードマスク(図示せず)を形成し、さらに、ハードマス
ク上に、第1層配線と第2層配線との接続領域が開口し
たレジスト膜(図示せず)を形成する。
【0244】次いで、レジスト膜をマスクに、TEOS
膜26c、低誘電絶縁膜26b、TEOS膜24c、2
4aおよびSiOC膜24bを除去することによりコン
タクトホールC2を形成する。次いで、レジスト膜を除
去した後、ハードマスクをマスクに、TEOS膜26
c、24cおよび低誘電絶縁膜26bを除去することに
より配線溝HM2を形成する。なお、配線溝HM2を形
成した後、コンタクトホールC2を形成してもよい。
【0245】次いで、コンタクトホールC2の底部に露
出しているタングステン膜CM1bおよびその下層の窒
化タングステン膜CM1aを例えばドライエッチング法
を用いて除去し、銅膜M1cを露出させる。
【0246】次いで、第2層配線M2およびプラグ(接
続部)P2を形成するのであるが、以降の工程は、実施
の形態1と同様であるため、概略のみを述べる。
【0247】即ち、実施の形態1と同様に、配線溝HM
2およびコンタクトホールC2の内部を含むTEOS膜
26c上に、バリア膜PM2aを、配線溝HM2の側壁
において5nm程度、配線溝HM2の底部において30
nm程度、コンタクトホールC2の側壁において3nm
程度、コンタクトホールC2の底部において20nm程
度の膜厚となるよう堆積する。
【0248】次いで、実施の形態1と同様に、コンタク
トホールC2底部のバリア膜PM2aを除去し、電界メ
ッキ用のシード膜として薄い銅膜PM2bを堆積した
後、電界メッキ法により、銅膜PM2b上に銅膜PM2
cを形成する。次に、還元雰囲気下において基板1にア
ニール(熱処理)を施した後、配線溝HM2およびコン
タクトホールC2外部の銅膜PM2c、PM2bおよび
バリア膜PM2aを例えばCMP法もしくはエッチバッ
ク法を用いて除去することにより銅膜PM2b、PM2
cおよびバリア膜PM2aから成る第2層配線M2およ
び第1層配線M1と第2層配線を接続するプラグ(接続
部)P2を形成する。
【0249】次に、実施の形態1と同様に、第2層配線
M2上に、窒化タングステン膜CM1aおよびタングス
テン膜CM1bと同様に、窒化タングステン膜CM2a
およびタングステン膜CM2bを形成する。
【0250】この後、図32に示すように、TEOS膜
26cおよびタングステン膜CM2b上に、絶縁膜とし
て例えばTEOS膜28a、SiOC膜28bおよびT
EOS膜28cをCVD法により順次堆積する。さら
に、TEOS膜28c上に、絶縁膜として例えば、芳香
族ポリマー材料を用いた低誘電絶縁膜30bおよびTE
OS膜(図示せず)を順次形成する。
【0251】次いで、前記5層の絶縁膜中に、配線溝H
M2およびコンタクトホールC2と同様に、配線溝およ
びコンタクトホールを形成するのであるが、これらの図
示は省略する。
【0252】このように配線上のキャップ導電性膜80
1、802を積層膜とすることができる。
【0253】また、本実施の形態によれば、第1層配線
M1とプラグP2との間の窒化タングステン膜CM1
a、タングステン膜CM1bおよびバリア膜PM2aを
除去したので、第1層配線M1とプラグP2との接触抵
抗の低減を図ることができ、また、エレクトロマイグレ
ーション特性を向上させることができる等の実施の形態
1で説明した効果を得ることができる。
【0254】(実施の形態9)実施の形態1において
は、コンタクトホールの直径とその下層の配線幅とをほ
ぼ同じ大きさとしたが、下層の配線幅をコンタクトホー
ルの直径より大きくしてもよいし、また、配線の一部に
コンタクトホールの直径より大きい接続領域を設けても
よい。
【0255】例えば、実施の形態1においては、図22
等に示したように、コンタクトホール(例えば、C2)
の直径とその下層の配線幅(例えば、M1の幅)とがほ
ぼ同じ大きさである。図33に、第1層配線M1と、第
2層配線M2およびこれらを接続するプラグP2のパタ
ーンを示す。図34は、図33のC−C’断面図であ
る。図34に示すように、プラグP2がその内部に形成
されるコンタクトホール(C2)と、第2層配線M2が
その内部に形成される配線溝(HM2)の側壁や底面に
は、バリア膜PM2aが形成されている。また、図34
に示す断面には表れないが、第1層配線M1の表面に
は、キャップ導電性膜としてタングステン膜CM1が形
成されている。しかしながら、プラグP2と配線M1と
の間のバリア膜PM2aおよびタングステン膜CM1
は、除去されているので、プラグP2と配線M1との間
の接触抵抗の低減を図ることができ、また、エレクトロ
マイグレーション特性の向上等の実施の形態1で説明し
た効果を得ることができる。
【0256】これに対して、図35に示すように、第1
層配線M1の端部に接続領域M901を設けてもよい。
この接続領域M901は、第1層配線M1の幅より大き
く形成されている。また、第2層配線M2の端部にも接
続領域M902が設けられている。図35は、本実施の
形態の第1層配線M1と、第2層配線M2およびこれら
を接続するプラグP2のパターンを示す図である。ま
た、図36は、図35のC−C’断面図である。図36
に示すように、プラグP2がその内部に形成されるコン
タクトホール(C2)と、第2層配線M2がその内部に
形成される配線溝(HM2)の側壁や底面には、バリア
膜PM2aが形成されている。また、第1層配線M1の
表面には、キャップ導電性膜としてタングステン膜CM
1が形成されており、接続領域M901の外周表面に
は、キャップ導電性膜としてタングステン膜CM1が残
存する。
【0257】この場合も、プラグP2と配線M1との間
のバリア膜PM2aおよびタングステン膜CM1は、除
去されているので、プラグP2と配線M1との間の接触
抵抗の低減を図ることができ、また、エレクトロマイグ
レーション特性の向上等の実施の形態1で説明した効果
を得ることができる。
【0258】このように、配線中に幅の広い接続領域M
901、M902を設けた場合は、その上部に形成され
るプラグや配線パターンとの合わせ余裕を確保すること
ができる。
【0259】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
【0260】特に、本実施の形態1〜9においては、デ
ュアルダマシン法を用いて、例えば第2層配線M2およ
び接続部(プラグ)P2等を形成したが、シングルダマ
シン法を用いて第2層配線M2と接続部(プラグ)P2
とを別工程で形成してもよい。この場合も、プラグP2
の下層の第1層配線M1表面のキャップ導電性膜やプラ
グP2底部のバリア膜を除去することにより、接触抵抗
の低減を図ることができ、また、エレクトロマイグレー
ション特性の向上等を図ることができる。
【0261】また、本実施の形態5に示したバリア絶縁
膜501を有する絶縁膜22を他の実施の形態2〜4及
び6〜9に適用してもよい。これにより合わせずれが生
じた場合においても、コンタクトホールC2の底部にお
いて銅の拡散のバリア性を確保できるので、第1層配線
M1の配線幅とコンタクトホールC2の径とを等しくな
るように設計でき、配線の信頼性を確保したまま配線の
高密度化、高集積化を図ることができる。
【0262】また、本実施の形態2〜4に示したに示し
た絶縁膜22,24,26を他の実施の形態6〜9に適
用してもよい。
【0263】また、本実施の形態1等においては、半導
体素子の例としてMISFETQnを挙げたが、これら
MISFETに限られず、バイポーラトランジスタ等他
の素子を形成することもできる。
【0264】また、本実施の形態6においては、第1層
配線M1とプラグ(接続部)P2の接続部分であるコン
タクトホールC2の底部において、不連続な膜として構
成されたバリア材としてバリア膜PM2aを開示した
が、これに限らず、不連続な膜として構成されたバリア
材をタングステン膜CM1で形成しても良いし、バリア
膜PM2aとタングステン膜CM1の両方で形成しても
よい。
【0265】
【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。
【0266】その表面にキャップバリアメタル膜を有す
る配線部と、この上部に形成された接続部であって、そ
の周囲がバリアメタル層で覆われた導電体層からなる接
続部とを有する半導体装置の、接続部と配線部との接続
部分のバリアメタル層又はキャップバリアメタル膜の少
なくともどちらか一方を除去したので、配線部と接続部
との間の接触抵抗を低減することができる。また、エレ
クトロマイグレーションによるボイドの発生率や断線の
発生率を低減させることができる。また、半導体装置の
特性を向上させることができる。
【図面の簡単な説明】
【図1】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図2】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図3】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図4】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図5】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図6】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図7】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図8】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図9】本発明の実施の形態1である半導体装置の製造
方法を示した基板の要部断面図である。
【図10】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図11】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図12】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図13】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図14】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図15】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図16】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図17】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図18】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図19】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図20】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部断面図である。
【図21】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部平面図である。
【図22】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部平面図である。
【図23】本発明の実施の形態1である半導体装置の製
造方法を示した基板の要部平面図である。
【図24】本発明の実施の形態2である半導体装置の製
造方法を示した基板の要部断面図である。
【図25】本発明の実施の形態2である半導体装置の製
造方法を示した基板の要部断面図である。
【図26】本発明の実施の形態3である半導体装置の製
造方法を示した基板の要部断面図である。
【図27】本発明の実施の形態4である半導体装置の製
造方法を示した基板の要部断面図である。
【図28】本発明の実施の形態5である半導体装置の製
造方法を示した基板の要部断面図である。
【図29】本発明の実施の形態6である半導体装置の製
造方法を示した基板の要部断面図である。
【図30】本発明の実施の形態6である半導体装置の製
造方法を示した基板の要部断面図である。
【図31】本発明の実施の形態7である半導体装置の製
造方法を示した基板の要部断面図である。
【図32】本発明の実施の形態8である半導体装置の製
造方法を示した基板の要部断面図である。
【図33】本発明の実施の形態である半導体装置の製造
方法を示した基板の要部平面図である。
【図34】本発明の実施の形態である半導体装置の製造
方法を示した基板の要部断面図である。
【図35】本発明の実施の形態9である半導体装置の製
造方法を示した基板の要部平面図である。
【図36】本発明の実施の形態9である半導体装置の製
造方法を示した基板の要部断面図である。
【図37】本発明の実施の形態6である半導体装置の製
造方法を示した基板の要部断面図である。
【図38】本発明の実施の形態7である半導体装置の製
造方法を示した基板の要部断面図である。
【符号の説明】
1 半導体基板 1a 半導体領域 1b 酸化シリコン膜 1c 半導体領域 2 素子分離 8 ゲート絶縁膜 9 ゲート電極 9a 多結晶シリコン膜 9b WN膜 9c W膜 11 n-型半導体領域 13 サイドウォールスペーサ 14 n+型半導体領域 20 酸化シリコン膜 22 絶縁膜 22a TEOS膜(酸化シリコン膜) 22b 低誘電絶縁膜 22c TEOS膜 24 絶縁膜 24a TEOS膜 24b SiOC膜 24c TEOS膜 26 絶縁膜 26b 低誘電絶縁膜 26c TEOS膜 28a TEOS膜 28b SiOC膜 28c TEOS膜 30b 低誘電絶縁膜 38 積層膜 401 窒化シリコン膜 402 窒化シリコン膜 501 バリア絶縁膜 502 バリア絶縁膜 801、802 キャップ導電性膜 M901、M902 接続領域 C1 コンタクトホール C2 コンタクトホール C3〜C5 コンタクトホール CM1 タングステン膜 CM1a 窒化タングステン膜 CM1b タングステン膜 CM2 タングステン膜 CM2a 窒化タングステン膜 CM2b タングステン膜 CM3 タングステン膜 CM4 タングステン膜 HM1 配線溝 HM2 配線溝 HM3、HM4 配線溝 M1 第1層配線 M1a バリア膜 M1b 銅膜 M1c 銅膜 M2 第2層配線 M3 第3層配線 M4 第4層配線 M5 第5層配線 M5a バリア膜 M5b アルミニウム膜 MK ハードマスク P1 プラグ P1a 窒化チタン膜 P1b W膜 P2 プラグ P3 プラグ P4 プラグ PM2a バリア膜 PM2b 銅膜 PM2c 銅膜 PM4a バリア膜 PM4b 銅膜 PM4c 銅膜 PM5a バリア膜 PM5b 銅膜 PM5c 銅膜 Qn nチャネル型MISFET R1 レジスト膜
フロントページの続き (72)発明者 今井 俊則 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 野口 純司 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 田丸 剛 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 4M104 AA09 BB01 BB14 BB30 BB40 CC05 DD16 DD37 DD43 DD65 DD75 DD91 EE03 EE05 EE09 EE16 EE17 FF13 5F033 HH04 HH08 HH09 HH11 HH18 HH19 HH21 HH27 HH28 HH30 HH32 HH33 HH34 JJ01 JJ11 JJ18 JJ19 JJ21 JJ32 JJ33 KK04 KK11 KK18 KK19 KK21 KK27 KK28 KK30 KK32 KK33 KK34 LL04 MM01 MM02 MM05 MM08 MM12 MM13 NN06 NN07 PP03 PP06 PP07 PP15 PP17 PP21 PP23 PP27 QQ08 QQ09 QQ10 QQ11 QQ25 QQ28 QQ31 QQ37 QQ41 QQ43 QQ48 QQ73 QQ76 RR01 RR04 RR06 RR11 RR23 RR25 RR29 SS04 SS11 SS22 SS25 TT02 TT04 TT08 VV06 XX02 XX05 XX06 XX09

Claims (48)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に形成され、かつ、配線溝
    を有する第1層間絶縁膜と;前記配線溝の側壁と底面に
    形成された第1バリアメタル層と、前記配線溝を埋め込
    むように前記第1バリアメタル層上に形成された第1導
    電体層と、前記第1導電体層の表面に形成されたキャッ
    プバリアメタル膜とを有する配線部と;前記第1層間絶
    縁膜上に形成され、かつ、接続孔を有する第2層間絶縁
    膜と;前記接続孔の側壁と底面に形成された第2バリア
    メタル層と、前記接続孔を埋め込むように前記第2バリ
    アメタル層上に形成された第2導電体層とを有する接続
    部と;を有する半導体装置において、 前記接続部と前記配線部の接続部分において、前記接続
    孔の底面の前記第2バリアメタル層又は前記キャップバ
    リアメタル膜の、少なくともどちらか一方が除去される
    ことを特徴とする半導体装置。
  2. 【請求項2】 配線部と接続部を有する半導体装置であ
    って、 前記配線部は、第1導電体層と、前記第1導電体層を囲
    むように前記第1導電体層の側面と底面に形成された第
    1バリアメタル層とを有し、 前記接続部は、前記配線部上に形成されると共に、第2
    導電体層と、前記第2導電体層を囲むように前記第2導
    電体層の側面に形成された第2バリアメタル層とを有
    し、 前記接続部と前記配線部の接続部分において、前記第2
    導電体層の底面には前記第2バリアメタル層は、不連続
    に形成されていることを特徴とする半導体装置。
  3. 【請求項3】 層間絶縁膜中に形成された配線部と接続
    部を有する半導体装置であって、 前記配線部は、第1導電体層と、前記第1導電体層を囲
    むように前記第1導電体層の側面と底面に形成された第
    1バリアメタル層と、前記第1導電体層の表面に形成さ
    れたにキャップバリアメタル膜とからなり、 前記接続部は、第2導電体層と、前記第2導電体層を囲
    むように前記第2導電体層の側面と底面のうち、少なく
    とも前記側面に形成された第2バリアメタル層とからな
    り、 前記接続部と前記配線部の接続部分において、前記第2
    導電体層の底面には前記第2バリアメタル層又は前記キ
    ャップバリアメタル膜の、少なくともどちらか一方が形
    成されていないか、不連続に形成されていることを特徴
    とする半導体装置。
  4. 【請求項4】 請求項1〜3のいずれか1項に記載の半
    導体装置であって、 前記配線部と前記接続部の接続部分において、前記配線
    部は前記接続部よりも広い面積で構成されていることを
    特徴とする半導体装置。
  5. 【請求項5】 請求項1または3に記載の半導体装置に
    おいて、 前記キャップバリアメタル膜は、前記接続部と前記配線
    部の接続部分のみ形成されていないことを特徴とする半
    導体装置。
  6. 【請求項6】 請求項1または3に記載の半導体装置に
    おいて、 前記接続孔の底面の前記第2バリアメタル層は除去され
    ることを特徴とする半導体装置。
  7. 【請求項7】 請求項1、3または5に記載の半導体装
    置において、 前記第2導電体層の底面の前記第2バリアメタル層は形
    成されていないことを特徴とする半導体装置。
  8. 【請求項8】 半導体基板上に第1層間絶縁膜を形成す
    る工程と、 前記第1層間絶縁膜中に配線溝を形成する工程と、 前記配線溝の側壁と底面に第1バリアメタル層を形成す
    る工程と、 前記配線溝を埋め込むように前記第1バリアメタル層上
    に第1導電体層を形成する工程と、 前記第1導電体層の表面にキャップバリアメタル膜を形
    成する工程と、 前記第1層間絶縁膜上に第2層間絶縁膜を形成する工程
    と、 前記第2層間絶縁膜中に接続孔を形成する工程と、 前記接続孔の側壁と底面に第2バリアメタル層を形成す
    る工程と、 前記接続孔を埋め込むように前記第2バリアメタル層上
    に第2導電体層を形成する工程と、を有する半導体装置
    の製造方法であって、 前記接続孔を形成する工程において、前記キャップバリ
    アメタル膜を前記接続孔と前記配線溝の重なり部分のみ
    除去することを特徴とする半導体装置の製造方法。
  9. 【請求項9】 請求項8に記載の半導体装置の製造方法
    であって、 前記配線溝と前記接続孔の重なり部分において、前記配
    線溝は前記接続孔よりも広い面積で構成されていること
    を特徴とする半導体装置の製造方法。
  10. 【請求項10】 請求項8に記載の半導体装置の製造方
    法において、 前記第2導電体層を形成する工程の前に、前記接続孔の
    底面の前記第2バリアメタル層を除去する工程を含むこ
    とを特徴とする半導体装置の製造方法。
  11. 【請求項11】 第1配線構造と第2配線構造を有する
    半導体装置であって、 前記第1配線構造は、第1配線部と第1配線部上に形成
    された第1接続部を含み、 前記第1配線部は、第1導電体層と、前記第1導電体層
    を囲むように前記第1導電体層の側面と底面に形成され
    た第1バリアメタル層と、前記第1導電体層の表面に形
    成された第1キャップバリアメタル膜とを有し、 前記第1接続部は、前記第1配線部上に形成され、第2
    導電体層と、前記第2導電体層を囲むように前記第2導
    電体層の側面と底面に形成された第2バリアメタル層と
    からなり、 前記第2配線構造は前記第1配線構造上に形成され、第
    2配線部と第2配線部上に形成された第2接続部を含
    み、 前記第2配線部は、第3導電体層と、前記第3導電体層
    を囲むように前記第3導電体層の側面と底面に形成され
    た第3バリアメタル層と、前記第3導電体層の表面に形
    成されたに第2キャップバリアメタル膜とを有し、 前記第2接続部は、前記第2配線部上に形成され、第4
    導電体層と、前記第4導電体層を囲むように前記第4導
    電体層の側面と底面に形成された第4バリアメタル層と
    からなり、 前記第1、第2バリアメタル層および前記第1キャップ
    バリアメタル膜の構造は、前記第3、第4バリアメタル
    層および前記第2キャップバリアメタル膜の構造と、異
    なる構造であることを特徴とする半導体装置。
  12. 【請求項12】 請求項11に記載の半導体装置におい
    て、 前記第2キャップバリアメタル膜の膜厚は、前記第1キ
    ャップバリアメタル膜の膜厚よりも、薄く形成されてい
    るか、または、前記第1キャップバリアメタル膜の膜厚
    は、前記第2キャップバリアメタル膜の膜厚よりも、薄
    く形成されていることを特徴とする半導体装置。
  13. 【請求項13】 請求項11に記載の半導体装置におい
    て、 前記第4導電体層の底面の前記第4バリアメタル層およ
    び前記第2キャップバリアメタル膜のうち、どちらか一
    方は除去され、 前記第2導電体層の底面の前記第2バリアメタル層およ
    び前記第1キャップバリアメタル膜のうち、少なくとも
    どちらか一方は除去されることを特徴とする半導体装
    置。
  14. 【請求項14】 請求項11に記載の半導体装置におい
    て、 前記第4導電体層の底面の前記第4バリアメタル層およ
    び前記第2キャップバリアメタル膜は除去されず、 前記第2導電体層の底面の前記第2バリアメタル層およ
    び前記第1キャップバリアメタル膜のうち、少なくとも
    どちらか一方は除去されることを特徴とする半導体装
    置。
  15. 【請求項15】 請求項11〜14のいずれか1項に記
    載の半導体装置において、 前記第2配線構造の第2接続部の径は、前記第1配線構
    造の第1接続部の径よりも大きい、もしくは前記第2配
    線構造の第2配線部の幅は、前記第1配線構造の第1配
    線部の幅よりも広いことを特徴とする半導体装置。
  16. 【請求項16】 請求項11〜15のいずれか1項に記
    載の半導体装置において、 前記半導体装置は、さらに、前記第2配線部と前記第2
    接続部を介して接続される配線を有し、 前記第4バリアメタル層および前記第2キャップバリア
    メタル膜は、除去されないことを特徴とする半導体装
    置。
  17. 【請求項17】 半導体基板上に形成された第1絶縁膜
    と;前記第1絶縁膜上に形成された第2絶縁膜と;前記
    第1絶縁膜と前記第2絶縁膜を選択的に除去して形成さ
    れた配線溝と;前記配線溝の側壁と底面に形成された第
    1バリアメタル層と、前記配線溝を埋め込むように前記
    第1バリアメタル層上に形成された第1導電体層と、前
    記第1導電体層の表面に形成されたキャップバリアメタ
    ル膜とを有する配線部と;前記第2絶縁膜上に形成さ
    れ、かつ、接続孔を有する第3絶縁膜と;前記接続孔の
    側壁と底面のうち、少なくとも前記側壁に形成された第
    2バリアメタル層と、前記接続孔を埋め込むように前記
    第2バリアメタル層上に形成された第2導電体層とを有
    する接続部と;を有する半導体装置において、 前記第2絶縁膜は、バリア絶縁膜としての機能を有する
    ことを特徴とする半導体装置。
  18. 【請求項18】 請求項17に記載の半導体装置におい
    て、 前記キャップバリアメタル膜上に、バリア絶縁膜として
    の機能を有する第4絶縁膜が形成されていることを特徴
    とする半導体装置。
  19. 【請求項19】 請求項17に記載の半導体装置におい
    て、 前記第2絶縁膜は、窒化珪素膜よりも誘電率が低い、も
    しくは誘電率が5.5以下の材料で形成されている低誘
    電率膜であることを特徴とする半導体装置。
  20. 【請求項20】 請求項19に記載の半導体装置におい
    て、 前記低誘電率膜は、SiとC、SiとN、もしくはSi
    とCとNを有するか、SiとOとNを有するか、または
    TMS(トリメトキシシラン)とN2Oを用いた、CV
    D法によって形成した膜であることを特徴とする半導体
    装置。
  21. 【請求項21】 請求項17に記載の半導体装置におい
    て、 前記第2絶縁膜は、前記接続孔形成時のエッチングスト
    ッパ層としての機能を有することを特徴とする半導体装
    置。
  22. 【請求項22】 請求項18に記載の半導体装置におい
    て、 前記第4絶縁膜は、前記接続孔形成時のエッチングスト
    ッパ層としての機能を有することを特徴とする半導体装
    置。
  23. 【請求項23】 請求項17に記載の半導体装置におい
    て、 前記接続孔の底面の前記第2バリアメタル層が除去され
    ていることを特徴とする半導体装置。
  24. 【請求項24】 請求項17〜23のいずれか1項に記
    載の半導体装置において、 前記第1、第3絶縁膜は、酸化珪素膜よりも誘電率が低
    い、もしくは誘電率が3.7以下の材料で形成されてい
    る低誘電率膜を含むことを特徴とする半導体装置。
  25. 【請求項25】 請求項24に記載の半導体装置におい
    て、 前記低誘電率膜は、SiとC、SiとCとO、SiとO
    とF、CとHもしくはSiとOとCとHを有すること、
    または前記の材料で空孔を有することを特徴とする半導
    体装置。
  26. 【請求項26】 半導体基板上に第1絶縁膜を形成する
    工程と、 前記第1絶縁膜上に第2絶縁膜を形成する工程と、 前記第1絶縁膜と前記第2絶縁膜を選択的に除去して配
    線溝を形成する工程と、 前記配線溝の側壁と底面に第1バリアメタル層を形成す
    る工程と、 前記配線溝を埋め込むように前記第1バリアメタル層上
    に第1導電体層を形成する工程と、 前記第1導電体層の表面にキャップバリアメタル膜を形
    成する工程と、 前記第2絶縁膜上に第3絶縁膜を形成する工程と、 前記第3絶縁膜中に接続孔を形成する工程と、 前記接続孔の側壁と底面のうち、少なくとも前記側壁に
    第2バリアメタル層を形成する工程と、 前記接続孔を埋め込むように前記第2バリアメタル層上
    に第2導電体層を形成する工程と、 を有する半導体装置の製造方法であって、 前記第2絶縁膜は、バリア絶縁膜としての機能を有する
    ことを特徴とする半導体装置の製造方法。
  27. 【請求項27】 請求項26に記載の半導体装置の製造
    方法において、 前記キャップバリアメタル膜上に、バリア絶縁膜として
    の機能を有する第4絶縁膜を形成する工程を含むことを
    特徴とする半導体装置の製造方法。
  28. 【請求項28】 請求項26に記載の半導体装置の製造
    方法において、 前記第2絶縁膜は、窒化珪素膜よりも誘電率が低い、も
    しくは誘電率が5.5以下の材料で形成されている低誘
    電率膜であることを特徴とする半導体装置の製造方法。
  29. 【請求項29】 請求項28に記載の半導体装置の製造
    方法において、 前記低誘電率膜は、SiとC、SiとN、もしくはSi
    とCとNを有するか、SiとOとNを有するか、または
    TMSとN2Oを用いた、CVD法によって形成した膜
    であることを特徴とする半導体装置の製造方法。
  30. 【請求項30】 請求項26に記載の半導体装置の製造
    方法であって、 前記接続孔形成工程において、前記第2絶縁膜は、エッ
    チングストッパ層としての機能を有することを特徴とす
    る半導体装置の製造方法。
  31. 【請求項31】 請求項27に記載の半導体装置の製造
    方法であって、 前記接続孔形成工程において、前記第4絶縁膜は、エッ
    チングストッパ層としての機能を有することを特徴とす
    る半導体装置の製造方法。
  32. 【請求項32】 請求項26に記載の半導体装置の製造
    方法であって、 前記第2導電体層形成工程の前に、前記接続孔の底面の
    前記第2バリアメタル層を除去する工程を含むことを特
    徴とする半導体装置の製造方法。
  33. 【請求項33】 請求項26に記載の半導体装置の製造
    方法であって、 前記接続孔形成工程において、前記キャップバリアメタ
    ル膜を前記接続孔と前記配線溝の重なり部分のみ除去す
    る工程と、 前記第2導電体層形成工程の前に、前記接続孔の底面の
    前記第2バリアメタル層を除去する工程を含むことを特
    徴とする半導体装置の製造方法。
  34. 【請求項34】 請求項26〜33のいずれか1項に記
    載の半導体装置の製造方法において、 前記第1、第3絶縁膜は、酸化珪素膜よりも誘電率が低
    い、もしくは誘電率が3.7以下の材料で形成されてい
    る低誘電率膜を含むことを特徴とする半導体装置の製造
    方法。
  35. 【請求項35】 請求項34に記載の半導体装置の製造
    方法において、前記低誘電率膜は、SiとC、SiとC
    とO、SiとOとF、CとHもしくはSiとOとCとH
    を有すること、または前記の材料で空孔を有することを
    特徴とする半導体装置の製造方法。
  36. 【請求項36】 請求項1〜7および11〜25のいず
    れか1項に記載の半導体装置において、 前記バリアメタル層は、Ta、TaN、TaSiN、
    W、WN、WSiN、Ti、TiN又はTiSiNのい
    ずれか1つの単層膜や、これらのうちいずれかを複数積
    層した積層膜で形成されていることを特徴とする半導体
    装置。
  37. 【請求項37】 請求項1〜7および11〜25のいず
    れか1項に記載の半導体装置において、 前記キャップバリアメタル膜は、W、WN、WSiN、
    Wを主成分とした金属層、TiN、TiSiN、Ta、
    TaN又はTaSiNのいずれか1つの単層膜や、これ
    らのうちいずれか2層を積層した膜で形成されているこ
    とを特徴とする半導体装置。
  38. 【請求項38】 請求項1〜7および11〜25のいず
    れか1項に記載の半導体装置において、 前記導電体層は、Cu、Cuを主成分とした金属層、A
    l、Alを主成分とした金属層、Ag又はAgを主成分
    とした金属層のいずれか1つで形成されていることを特
    徴とする半導体装置。
  39. 【請求項39】 請求項8〜10および26〜35のい
    ずれか1項に記載の半導体装置の製造方法において、 前記バリアメタル層を、Ta、TaN、TaSiN、
    W、WN、WSiN、Ti、TiN又はTiSiNのい
    ずれか1つの単層膜や、これらのうちいずれかを複数積
    層した積層膜で形成する工程を含むことを特徴とする半
    導体装置の製造方法。
  40. 【請求項40】 請求項8〜10および26〜35のい
    ずれか1項に記載の半導体装置製造方法において、 前記キャップバリアメタル膜を、W、WN、WSiN、
    Wを主成分とした金属層、TiN、TiSiN、Ta、
    TaN又はTaSiNのいずれか1つの単層膜や、これ
    らのうちいずれか2層を積層した膜で形成する工程を含
    むことを特徴とする半導体装置の製造方法。
  41. 【請求項41】 請求項8〜10および26〜35のい
    ずれか1項に記載の半導体装置の製造方法において、 前記導電体層は、Cu、Cuを主成分とした金属層、A
    l、Alを主成分とした金属層、Ag又はAgを主成分
    とした金属層のいずれか1つで形成する工程を含むこと
    を特徴とする半導体装置の製造方法。
  42. 【請求項42】 (a)半導体基板上の第1絶縁膜中に
    第1導電体層を形成する工程と、 (b)前記第1導電体層の表面にキャップバリアメタル
    膜を形成する工程と、 (c)前記キャップバリアメタル膜および第1絶縁膜上
    に第2絶縁膜を形成し、前記第2絶縁膜上に第3絶縁膜
    を順次形成する工程と、 (d)前記第2および第3絶縁膜を選択的に除去するこ
    とにより前記第2絶縁膜中に形成された配線溝、および
    前記第3絶縁膜中に形成された接続孔であって、前記配
    線溝の底部から前記キャップバリアメタル膜上に至る接
    続孔を形成する工程と、 (e)前記配線溝の側壁と底部および前記接続孔の側壁
    と底部にバリアメタル膜を形成する工程と、 (f)前記接続孔底部のバリアメタル膜を除去する工程
    と、 (g)前記配線溝および接続孔内に第2導電体層を形成
    する工程と、を有することを特徴とする半導体装置の製
    造方法。
  43. 【請求項43】 請求項42に記載の半導体装置の製造
    方法において、 前記バリアメタル膜の形成工程は、前記バリアメタル膜
    を、前記配線溝の底部におけるバリアメタル膜の膜厚
    が、前記接続孔の底部のバリアメタル膜の膜厚より大き
    くなるよう形成することを特徴とする半導体装置の製造
    方法。
  44. 【請求項44】 請求項43に記載の半導体装置の製造
    方法において、 前記(f)工程の前記接続孔底部のバリアメタル膜の除
    去は、異方性エッチングにより行われることを特徴とす
    る半導体装置の製造方法。
  45. 【請求項45】 請求項42に記載の半導体装置の製造
    方法において、 前記(d)工程と(e)工程との間に、(h)前記接続
    孔底部から露出した前記キャップバリアメタル膜を除去
    する工 程を有することを特徴とする半導体装置の製造方法。
  46. 【請求項46】 (a)半導体基板上の第1絶縁膜中に
    形成された第1導電体層と、 (b)前記第1絶縁膜および第1導電体層上に形成され
    た第2絶縁膜と、 (c)前記第2絶縁膜上に形成された第3絶縁膜と、 (d)前記第3絶縁膜中に形成された配線溝と、 (e)前記第2絶縁膜中に形成された接続孔であって、
    前記配線溝の底部から前記第1導電体層上に至る接続孔
    と、 (f)前記配線溝の側壁と底部および前記接続孔の側壁
    に形成されたバリアメタル層と、 (g)前記配線溝および接続孔内に形成された第2導電
    体層と、 (h)前記第1導電体層と前記第2絶縁膜との間に形成
    されたキャップバリアメタル膜と、を有し、 前記第1導電体層と第2導電体層との間には、前記キャ
    ップバリアメタル膜および前記バリアメタル層が形成さ
    れていないことを特徴とする半導体装置。
  47. 【請求項47】 (a)半導体基板上の第1絶縁膜中に
    形成された第1導電体層と、 (b)前記第1絶縁膜および第1導電体層上に形成され
    た第2絶縁膜と、 (c)前記第2絶縁膜上に形成された第3絶縁膜と、 (d)前記第3絶縁膜中に形成された配線溝と、 (e)前記第2絶縁膜中に形成された接続孔であって、
    前記配線溝の底部から前記第1導電体層上に至る接続孔
    と、 (f)前記配線溝の側壁と底部および前記接続孔の側壁
    および底部に形成されたバリアメタル層と、 (g)前記配線溝および接続孔内に形成された第2導電
    体層と、 (h)前記第1導電体層と前記第2絶縁膜との間に形成
    されたキャップバリアメタル膜と、を有し、 前記第1導電体層と第2導電体層との間には、前記キャ
    ップバリアメタル膜が形成されていないことを特徴とす
    る半導体装置。
  48. 【請求項48】 (a)半導体基板上の第1絶縁膜中に
    形成された第1導電体層と、 (b)前記第1導電体層上に形成されたバリアメタル層
    と、 (c)前記第1絶縁膜およびバリアメタル層上に形成さ
    れた第2絶縁膜と、 (d)前記第2絶縁膜上に形成された第3絶縁膜と、 (e)前記第3絶縁膜中に形成された配線溝と、 (f)前記第2絶縁膜中に形成された接続孔であって、
    前記配線溝の底部から前記バリアメタル層上に至る接続
    孔と、 (g)前記配線溝の側壁と底部および前記接続孔の側壁
    に形成されたバリアメタル層と、 (h)前記配線溝および接続孔内に形成された第2導電
    体層と、を有し、 前記第1導電体層と第2導電体層との間には、前記バリ
    アメタル層が形成されていないことを特徴とする半導体
    装置。
JP2001349875A 2001-11-15 2001-11-15 半導体装置および半導体装置の製造方法 Expired - Fee Related JP4198906B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2001349875A JP4198906B2 (ja) 2001-11-15 2001-11-15 半導体装置および半導体装置の製造方法
TW091132370A TWI300970B (en) 2001-11-15 2002-11-01 A semiconductor device and a method of manufacturing the same
KR1020020070718A KR20030040169A (ko) 2001-11-15 2002-11-14 반도체 장치 및 그 제조 방법
CNB021495947A CN100470787C (zh) 2001-11-15 2002-11-15 半导体器件及其制造方法
US10/294,937 US7053487B2 (en) 2001-11-15 2002-11-15 Semiconductor device
US10/329,831 US6908847B2 (en) 2001-11-15 2002-12-27 Method of manufacturing a semiconductor device having an interconnect embedded in an insulating film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001349875A JP4198906B2 (ja) 2001-11-15 2001-11-15 半導体装置および半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006036439A Division JP2006135363A (ja) 2006-02-14 2006-02-14 半導体装置および半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2003152077A true JP2003152077A (ja) 2003-05-23
JP2003152077A5 JP2003152077A5 (ja) 2006-04-27
JP4198906B2 JP4198906B2 (ja) 2008-12-17

Family

ID=19162481

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001349875A Expired - Fee Related JP4198906B2 (ja) 2001-11-15 2001-11-15 半導体装置および半導体装置の製造方法

Country Status (5)

Country Link
US (2) US7053487B2 (ja)
JP (1) JP4198906B2 (ja)
KR (1) KR20030040169A (ja)
CN (1) CN100470787C (ja)
TW (1) TWI300970B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356315A (ja) * 2003-05-28 2004-12-16 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2006202852A (ja) * 2005-01-18 2006-08-03 Toshiba Corp 半導体装置
JP2006261440A (ja) * 2005-03-17 2006-09-28 Nec Electronics Corp 半導体装置およびその製造方法
JP2006278635A (ja) * 2005-03-29 2006-10-12 Fujitsu Ltd 半導体装置の製造方法及びその製造に用いられる成膜装置
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法
JP2009010386A (ja) * 2007-06-26 2009-01-15 Dongbu Hitek Co Ltd Norフラッシュデバイス及びその製造方法
US7605085B2 (en) 2003-08-12 2009-10-20 Renesas Technology Corp. Method of manufacturing interconnecting structure with vias
WO2010140279A1 (ja) * 2009-06-04 2010-12-09 パナソニック株式会社 半導体装置及びその製造方法
JP2012505554A (ja) * 2008-12-10 2012-03-01 インテル コーポレイション ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクト
US8207061B2 (en) 2006-07-20 2012-06-26 Tokyo Electron Limited Semiconductor device manufacturing method using valve metal and nitride of valve metal
KR101177576B1 (ko) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
US8324095B2 (en) 2001-09-26 2012-12-04 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US8373274B2 (en) 2004-03-08 2013-02-12 Fujitsu Semiconductor Limited Method of forming wiring structure and semiconductor device comprising underlying refractory metal layers
JP2014535159A (ja) * 2011-09-29 2014-12-25 インテル・コーポレーション 半導体用途のための陽性金属含有層

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
JP2004247337A (ja) * 2003-02-10 2004-09-02 Toshiba Corp 半導体装置及びその製造方法
JP2004273523A (ja) * 2003-03-05 2004-09-30 Renesas Technology Corp 配線接続構造
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US20040245636A1 (en) * 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
JP4638140B2 (ja) * 2003-07-09 2011-02-23 マグナチップセミコンダクター有限会社 半導体素子の銅配線形成方法
JP2005044910A (ja) * 2003-07-24 2005-02-17 Ebara Corp 配線形成方法及び配線形成装置
US7423343B2 (en) * 2003-08-05 2008-09-09 Semiconductor Energy Laboratory Co., Ltd. Wiring board, manufacturing method thereof, semiconductor device and manufacturing method thereof
CN100342526C (zh) * 2003-08-22 2007-10-10 全懋精密科技股份有限公司 有电性连接垫金属保护层的半导体封装基板结构及其制法
KR100555515B1 (ko) * 2003-08-27 2006-03-03 삼성전자주식회사 코발트층 캡핑막을 갖는 반도체 소자 및 그 제조방법
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
JP4041785B2 (ja) * 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
JP4179186B2 (ja) * 2004-02-25 2008-11-12 ソニー株式会社 配線基板およびその製造方法および半導体装置
JP2005347511A (ja) * 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7301239B2 (en) * 2004-07-26 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Wiring structure to minimize stress induced void formation
JP4417202B2 (ja) * 2004-08-19 2010-02-17 Necエレクトロニクス株式会社 半導体装置
JP2006165115A (ja) * 2004-12-03 2006-06-22 Toshiba Corp 半導体装置
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7332428B2 (en) * 2005-02-28 2008-02-19 Infineon Technologies Ag Metal interconnect structure and method
US7544606B2 (en) * 2005-06-01 2009-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method to implement stress free polishing
TWI267195B (en) * 2005-06-20 2006-11-21 Au Optronics Corp Switching device for a pixel electrode and methods for fabricating the same
KR100784074B1 (ko) * 2005-07-07 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 비트 라인 형성 방법
CN100446274C (zh) * 2005-07-07 2008-12-24 友达光电股份有限公司 像素电极的开关元件及其制造方法
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
US7655972B2 (en) * 2005-11-21 2010-02-02 International Business Machines Corporation Structure and method for MOSFET with reduced extension resistance
JP5072091B2 (ja) * 2006-12-08 2012-11-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20100025852A1 (en) * 2006-12-22 2010-02-04 Makoto Ueki Semiconductor device and method for manufacturing the same
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
US7960036B2 (en) * 2007-07-31 2011-06-14 International Business Machines Corporation Semiconductor structure and method of manufacturing same
KR100902106B1 (ko) * 2007-10-31 2009-06-09 주식회사 하이닉스반도체 텅스텐함유막이 포함된 패턴을 구비한 반도체소자의 제조방법
DE102008016431B4 (de) * 2008-03-31 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
DE102008021568B3 (de) 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
WO2009134386A1 (en) * 2008-04-30 2009-11-05 Advanced Micro Devices, Inc. Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
DE102008030849B4 (de) * 2008-06-30 2013-12-19 Advanced Micro Devices, Inc. Verfahren zur Reduzierung der Leckströme in dielektrischen Materialien mit Metallgebieten und einer Metalldeckschicht in Halbleiterbauelementen
US20100084766A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Surface repair structure and process for interconnect applications
US8324686B2 (en) * 2009-01-16 2012-12-04 Infineon Technologies Austria Ag Semiconductor device and method for manufacturing
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5755471B2 (ja) * 2011-03-10 2015-07-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
CN104934368B (zh) * 2011-11-04 2019-12-17 英特尔公司 形成自对准帽的方法和设备
KR20210118981A (ko) 2011-11-04 2021-10-01 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
KR20130056014A (ko) * 2011-11-21 2013-05-29 삼성전자주식회사 듀얼 다마신 배선 구조체를 포함하는 반도체 소자
CN102593098A (zh) * 2012-02-27 2012-07-18 北京大学 一种集成电路金属互连结构及其制备方法
US9299638B2 (en) 2012-12-06 2016-03-29 Globalfoundries Inc. Patterning transition metals in integrated circuits
US9318447B2 (en) * 2014-07-18 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of forming vertical structure
US9368443B1 (en) 2015-01-20 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory metal scheme
US9287183B1 (en) * 2015-03-31 2016-03-15 Lam Research Corporation Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN108573949B (zh) * 2017-03-08 2022-04-05 三星电子株式会社 集成电路器件及其制造方法
US10510657B2 (en) * 2017-09-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with interconnecting structure and method for manufacturing the same
KR20200016472A (ko) * 2018-08-07 2020-02-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11114336B2 (en) * 2018-11-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11227833B2 (en) * 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for forming the same
US11222843B2 (en) * 2019-09-16 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for forming the same
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US20220384366A1 (en) * 2021-06-01 2022-12-01 Cree, Inc. Multilayer encapsulation for humidity robustness and related fabrication methods

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100212098B1 (ko) * 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5858868A (en) * 1992-05-08 1999-01-12 Yamaha Corporation Method of manufacturing a laminated wiring structure preventing impurity diffusion therein from N+ and P+ regions in CMOS device with ohmic contact
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
JP3281260B2 (ja) * 1996-05-21 2002-05-13 株式会社東芝 半導体装置の製造方法
JP3456391B2 (ja) * 1997-07-03 2003-10-14 セイコーエプソン株式会社 半導体装置の製造方法
JPH11145138A (ja) * 1997-11-10 1999-05-28 Hitachi Ltd 半導体装置およびその製造方法
US6114243A (en) 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
KR100367734B1 (ko) * 2000-01-27 2003-01-10 주식회사 하이닉스반도체 반도체 소자의 배선형성 방법
JP2001284449A (ja) * 2000-03-31 2001-10-12 Sony Corp 半導体装置の製造方法
US6380084B1 (en) * 2000-10-02 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
JP4523194B2 (ja) * 2001-04-13 2010-08-11 富士通セミコンダクター株式会社 半導体装置とその製造方法
US6531780B1 (en) * 2001-06-27 2003-03-11 Advanced Micro Devices, Inc. Via formation in integrated circuit interconnects
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324095B2 (en) 2001-09-26 2012-12-04 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP2004356315A (ja) * 2003-05-28 2004-12-16 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
KR101177576B1 (ko) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
US7605085B2 (en) 2003-08-12 2009-10-20 Renesas Technology Corp. Method of manufacturing interconnecting structure with vias
US8373274B2 (en) 2004-03-08 2013-02-12 Fujitsu Semiconductor Limited Method of forming wiring structure and semiconductor device comprising underlying refractory metal layers
US7898084B2 (en) 2005-01-18 2011-03-01 Kabushiki Kaisha Toshiba Semiconductor device
JP2006202852A (ja) * 2005-01-18 2006-08-03 Toshiba Corp 半導体装置
CN100405595C (zh) * 2005-03-17 2008-07-23 恩益禧电子股份有限公司 半导体器件及其制造方法
JP2006261440A (ja) * 2005-03-17 2006-09-28 Nec Electronics Corp 半導体装置およびその製造方法
US7969010B2 (en) 2005-03-17 2011-06-28 Renesas Electronics Corporation Semiconductor device and manufacturing process therefor
JP2006278635A (ja) * 2005-03-29 2006-10-12 Fujitsu Ltd 半導体装置の製造方法及びその製造に用いられる成膜装置
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法
US8207061B2 (en) 2006-07-20 2012-06-26 Tokyo Electron Limited Semiconductor device manufacturing method using valve metal and nitride of valve metal
JP2009010386A (ja) * 2007-06-26 2009-01-15 Dongbu Hitek Co Ltd Norフラッシュデバイス及びその製造方法
JP2012505554A (ja) * 2008-12-10 2012-03-01 インテル コーポレイション ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクト
JP2010283103A (ja) * 2009-06-04 2010-12-16 Panasonic Corp 半導体装置及びその製造方法
WO2010140279A1 (ja) * 2009-06-04 2010-12-09 パナソニック株式会社 半導体装置及びその製造方法
US8536704B2 (en) 2009-06-04 2013-09-17 Panasonic Corporation Semiconductor device and method for fabricating the same
JP2014535159A (ja) * 2011-09-29 2014-12-25 インテル・コーポレーション 半導体用途のための陽性金属含有層
US9390932B2 (en) 2011-09-29 2016-07-12 Intel Corporation Electropositive metal containing layers for semiconductor applications

Also Published As

Publication number Publication date
CN1420560A (zh) 2003-05-28
TW200302549A (en) 2003-08-01
CN100470787C (zh) 2009-03-18
KR20030040169A (ko) 2003-05-22
TWI300970B (en) 2008-09-11
JP4198906B2 (ja) 2008-12-17
US7053487B2 (en) 2006-05-30
US6908847B2 (en) 2005-06-21
US20030109129A1 (en) 2003-06-12
US20030089928A1 (en) 2003-05-15

Similar Documents

Publication Publication Date Title
JP4198906B2 (ja) 半導体装置および半導体装置の製造方法
US7419916B2 (en) Manufacturing method of semiconductor device
KR100482180B1 (ko) 반도체 소자 제조방법
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
US20070155165A1 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US20080157380A1 (en) Method for forming metal interconnection of semiconductor device
US7701004B2 (en) Semiconductor device and method of manufacturing thereof
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
JP2003100746A (ja) 半導体装置の製造方法
JP4340729B2 (ja) 半導体装置とその製造方法
US6482755B1 (en) HDP deposition hillock suppression method in integrated circuits
JP2006135363A (ja) 半導体装置および半導体装置の製造方法
KR20010098743A (ko) 반도체장치의 제조방법 및 반도체장치
JP4623949B2 (ja) 半導体集積回路装置の製造方法
JP2000150517A (ja) 半導体集積回路装置およびその製造方法
KR100652317B1 (ko) 반도체 소자의 금속 패드 제조 방법
JP2006179950A (ja) 半導体集積回路装置の製造方法
US6455422B1 (en) Densification process hillock suppression method in integrated circuits
KR100791694B1 (ko) 듀얼 다마신을 이용한 금속 배선의 제조 방법
JP5221979B2 (ja) 半導体装置の製造方法
JP2010016039A (ja) 半導体装置の製造方法
KR100784105B1 (ko) 반도체 소자의 제조 방법
JP2015133382A (ja) 半導体装置の製造方法
KR100641488B1 (ko) 반도체 소자의 콘택 제조 방법
JP2012038898A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040329

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040329

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040607

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060214

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071002

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080310

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080520

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080710

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080909

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081002

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111010

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111010

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111010

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111010

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121010

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121010

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131010

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees