JP2012505554A - ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクト - Google Patents

ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクト Download PDF

Info

Publication number
JP2012505554A
JP2012505554A JP2011531271A JP2011531271A JP2012505554A JP 2012505554 A JP2012505554 A JP 2012505554A JP 2011531271 A JP2011531271 A JP 2011531271A JP 2011531271 A JP2011531271 A JP 2011531271A JP 2012505554 A JP2012505554 A JP 2012505554A
Authority
JP
Japan
Prior art keywords
opening
interconnect
refractory
trench
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011531271A
Other languages
English (en)
Inventor
オブライエン,ケヴィン,ピー.
アコルカール,ローハン,エヌ.
インドゥクリ,テジャスウィ
エム. ファハルド,アーネル
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2012505554A publication Critical patent/JP2012505554A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】 ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクトを提供する。
【解決手段】 本発明のインターコネクト形成方法は;パターン化された金属層の上に誘電層を堆積し、前記誘電層をエッチングしてトレンチ及び下置金属表面を露出するために開口部を形成し、前記前処理された開口部に、及び前記下置金属表面に直接隣接した高融点インターコネクトを形成し、前記トレンチと前記高融点インターコネクト上にバリア層とシード層を堆積し、及び前記シード層上に低抵抗金属を形成することを含む、方法である。

Description

本発明の技術分野は一般的には、半導体集積回路の分野であり、より具体的には縛られるものではないが、信頼性が向上しかつ容量が減少するデュアル金属インターコネクトに関する。
マイクロエレクトロニクスデバイスの製造は、シリコンウエハのようなマイクロエレクトロニクス基板に電子部品を形成することを含む。これらの電子部品には、誘電材料トランジスタ、抵抗、キャパシタ等が含まれる。
インターコネクト形成に使用されるひとつのプロセスは、「ダマシンプロセス」として知られているものである。典型的なダマシンプロセスでは、フォトレジスト材料が誘電層にパターン化され、その誘電材料がフォトレジストパターンを通してエッチングされ、ホール又はビア(以下、まとめて「開口部」とする。)が、下置金属と隣接するトレンチ又は他のインターコネクト構造との間のパスを形成するために形成される。フォトレジストが除去され、開口部とトレンチは通常、バリア及びシード層で覆われ、その後、低抵抗金属で埋められて開口部とトレンチを通して導電性パスを形成する。
通常のバリア、シード及びトレンチ材料を用いて高アスペクト開口部を通じる導電性パスを形成することは、高アスペクト比開口部表面のシード層の連続性を損ない、不完全なフィルム被覆の原因となり得、開口部の電子移動を増加されて信頼性を損なう原因となり得、さらにギャップ充填の圧迫による誘電層の厚さに限定をもたらし得る。
以下図を参照する。図1(従来技術)は、開口部110の上及び直接隣接して形成されたトレンチ120に隣接して形成された開口部110であって、開口部110は、開口幅112及び開口高さ114を持つ開口部110の断面を示す。
バリア層130は、トレンチ表面140、開口部側壁150及び下のある金属表面160に、物理蒸着(PVD)を用いて形成される。PVDを用いるバリア層130の堆積により、堆積プロセスの異方性の性質により、開口部側壁150に沿って、非共形の厚さのバリア層を形成する。非共形バリア層130は、開口部側壁150の部分に沿ってバリア層130の部分が薄くなる又は欠落する領域となる結果となり、開口部150の少なくとも一部分は露出することになる。
バリア130は、多重層フィルムであり、通常タンタル窒化物(TaN)フィルム及びタンタル(Ta)フィルムのスタックを含み、これにより、バリア層130を超えて汚染が拡散することを抑制する。下置される銅(Cu)金属170は、誘電領域180に、この技術分野で知られた技術で形成される。誘電領域180は、誘電材料から選択的に形成され、コンダクタを電気的に分離し、抵抗容量(「RC」)を減少させ、及びデバイス性能を改良するものであり、例えば二酸化ケイ素(SiO2)である。
図2(従来技術)は、バリア層130に導電性層210を形成した後の図1記載の装置を示す。導電性層210は、Cuの多重層フィルムであり、通常、開口部110とトレンチ120内の導電性層を形成するために、シード層を含む。かかるシード層は、PVDプロセスと、さらに電気メッキプロセスを用いるより厚いCu層のCu堆積を含む。PVDシード層の堆積は、導電性層210を形成する際バリア層130により現れる非共性をさらに悪化させる可能性があり、開口部110で1又はそれ以上のボイド220を生じる可能性がある。この導電性層210の形成は難しいものである。というのは、シード層は、大きな異方性プロセスを用いて、開口部側壁150に沿って開口部側壁150に垂直又はほぼ垂直に連続的に形成されなければならないからである。これは、表面に少なくとも直方向への方向速度は、表面へ平行方向への方向速度よりもずっと高いということを意味する。ボイド(図示されない)を最小のするように形成する場合、導電性層210の形成は、導電性層210が開口部110を、実質的に対向する側壁を横から埋める際に形成される開口部110の中心近くにつなぎ目を形成する。
開口部側壁150は、より丈夫なシード層堆積プロセスを与えるために傾斜付けられてもよい(図示されない)。しかし、抵抗及び信頼性という観点からは問題である。というのは、傾斜形状は、開口部厚さ112が小さくなるにつれ、開口部の底部近くの電流密度が増加していくからである。その結果、開口部110のアスペクト比又は開口部高さのアスペクト比は、通常の方法を用いて開口部110を埋める場合に制限される。アスペクト比が制限されることは、開口部幅112が小さくなるにつれ開口部高さ114が減少することとなり、容量が増加することとなる。さらに、下置金属表面160のバリア層130への堆積は電気的バリアを形成し、導電性層210及び下にある金属170との間の電流への抵抗を増加させる結果となる。
本発明は、例示する方法で説明されるものであり、添付する図面になんら制限されるものではない。
図1(従来技術)は、トレンチ及び開口部に形成されるバリアを含む上置トレンチに隣接して形成される開口部の断面を示す。 図2(従来技術)は、バリア上にシード層及び導電性層を形成した後の図1の装置を示す。 図3は、下置金属層の上の高融点インターコネクトで埋められたトレンチ及び開口部の断面を示す。 図4は、高融点インターコネクトで埋められた開口部を示す線A-Aに沿った図3の断面を示す。 図5は、高融点インターコネクトに隣接し及び上にあるトレンチに、バリア層、シード層及び導電性層を堆積した後の図4に示される装置を示す。 装置のデュアル金属インターコネクトの断面を示す。 デュアル金属インターコネクトを含む、中央処理ユニットを含むシステムを示す。 デュアル金属インターコネクト形成のために使用される製造プロセスのひとつの実施態様を示すフローチャートである。
デュアル金属インターコネクトを形成する装置及び方法が種々の実施態様において記載される。以下の記載において、種々の具体的な詳細が提供される。例えばデュアル金属インターコネクト構造の製造方法についての記載であり、同時にこの記載により、インターコネクト開口部を連続的に小型化し及びインターコネクト層の厚さを増加することが可能となる。この技術分野の熟練者にとっては、しかし、本発明は1又はそれ以上の具体的な詳細な説明がなくても、又は他の方法、部品、材料等を用いて、実施可能であることを認識するであろう。さらに、本発明の側面を曖昧にしないように、よく知られた構造、材料又は操作については詳細には記載されていない。
マイクロエレクトロニクスデバイスの分野において、従来のデュアルダマシンパターン化技術を用いてインターコネクトを形成し、同時により高い信頼性と容量減少のために、丈夫なギャップ充填プロセスを提供することは、有利であろう。高アスペクト比(即ち、高さ/幅)を持つ信頼性のあるビア、コンタクト及び他の構造を製造することは、基板の単位領域当たりの回路密度の増加を支えるために必要である。
そのような方法のひとつは、パターン化された金属層の上に誘電層を堆積し、誘電層をエッチングしてトレンチと、パターン化された金属層を露出させる開口部を形成することを含む。開口部及び露出されたパターン化金属層は、前処理され、高融点インターコネクトが前処理された開口部に形成される。バリア層及びシード層がトレンチ及び高融点インターコネクトに形成される。低抵抗金属がシード層の上に形成され、パターン化された金属層から低抵抗金属への誘電層を通じてのインターコネクトを提供する。
デバイス密度が増加し続けるにつれ、マイクロエレクトロニクスデバイスの容量、電力消費、及び関連する発熱を減少させることが要求される。より高くなるアスペクト比及び相対的に厚い層間誘電層を含むインターコネクトの形成は、金属層間のインターコネクト形成に用いられる開口部をさらに小さくすることを要求する。バリア層を除き、開口部110での連続した導電性プラグを形成する信頼性のある方法がますます重要となっている。図3は、本発明のひとつの実施態様によるものであり、パターン化された金属の一部である下置金属170の上に高融点インターコネクト310で埋められた誘電領域180の120及び開口部110を示す上面図である。
高融点インターコネクト310は、開口部幅112を持つ、コンタクト、ライン、ビア又は他の導電性要素である。開口部幅112は、開口部110の直径であって50nmと実質的に等しいかそれよりも大きい。トレンチ120は、ブロックパターン、V形状パターン、半円パターン及び/又は不規則パターンであり、誘電領域180にエッチングされるか又はその本発明化合物の方法で形成される。誘電領域180は、酸化ケイ素、わずかにドープされた酸化ケイ素、フッ素化ポリマー、多孔性酸化ケイ素、酸化窒化ケイ素及び/又は窒化ケイ素を用いて形成されることができる。この実施態様においては、トレンチ120は、高融点インターコネクト310のすぐ上に配置されている。又は、トレンチ120は高融点インターコネクト310の横側に配置されてもよいし(図示されない)、高融点インターコネクト310に直接隣接して配置されて高融点インターコネクト310の表面を露出させてもよい。トレンチ120は、アルゴン(Ar)イオン照射により前処理されてもよく、又は水素(H2)及びヘリウム(He)及び/又はH2とArの混合物を用いて形成されるプラズマプロセスにより処理されてもよい。トレンチ120の前処理は、ひとつの例では、露出された金属表面の酸化物の触媒的挙動を抑制するため行う。前処理は、実質的に100から200℃の範囲の温度、好ましくは約150℃でプラズマチャンバ内で実施することができる。プラズマプロセスは、実質的に20から60秒間、実質的に200−1000ワットの電力を供給してよい。
高融点インターコネクト310は、開口部110に選択的堆積プロセスを用いて形成され得る。これは、高アスペクト比、特に3:1よりも大きいアスペクト比を実質的に充填するものであり、開口部110にボイド、継ぎ目及び/又は他の欠陥の形成を避けるために開口部の底から充填し始めるものである。例えば、高融点インターコネクト310は、全て又は少なくとも一部を無電解メッキプロセスを用いて堆積させるものである。この無電解メッキは、例えば、下置金属160のような触媒又は触媒表面の存在下で還元剤又は同様の電子ソースによる金属溶液からの金属の自発的還元により生じる。ひとつの実施態様においては、高融点インターコネクト310は、電子移動を抑制しつつ、誘電領域180を通じてゆっくりと拡散するように選択的に設計される金属である。誘電領域180及び高融点インターコネクト310の間の介在バリア層130の堆積を行わずに高融点インターコネクト310を形成することは、さもなければ開口部110の一部を消費する一方でプロセスをより複雑にし、製造コストを増加させるけれども、高融点インターコネクト310と下置金属170との間の電子の流れに対する抵抗を減少させる。その結果、バリア130を最初に形成することなく、高融点インターコネクト310は、下置金属170に直接又は隣接して形成され、さらに開口部110の1又はそれ以上の側壁に形成される。トレンチ120は、エッチングプロセス又は他の浸食プロセスを使用して誘電領域180の一部を除去することで形成される。
図4は、高融点インターコネクトで充填された開口部を示す線A-Aに沿った図3の断面を示す。高融点インターコネクト310は、高融点インターコネクト310を通じた電流密度を増加するボイドを抑制する、ボトムアップ形成プロセスを用いて選択的に形成される。高融点インターコネクト310形成に使用されるプロセスは、下置金属160の表面から、図4に示されるように開口部110が実質的に完全に充填されるまで開口部110を充填する。高融点インターコネクト310は、コバルト(Co)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、タングステン(W)、ルテニウム(Ru)及びそれらの合金の電解メッキを用いて形成され得る。ひとつの実施態様において、高融点インターコネクト310は、ボトムアップから形成される。他の実施態様においては、高融点インターコネクト310は特に合金型では、ある量のホウ素及び/又はリンでドープされるか又は含むことで合金性を与えることができる。
図5は、高融点インターコネクトに隣接し及び上にあるトレンチ120に、バリア層410を堆積した後の図4に示される構造を示す。ひとつの実施態様において、バリア層410は、一般的に50から200オングストロームの範囲の厚さを持つ。またこの例では、トレンチインターコネクト420は、シード層とインターコネクト層を含み、実質的に450から1800オングストロームの範囲であり、その結果多層スタックにおいては合計のフィルム厚さとして500から2000オングストロームの範囲の厚さとなる。物理蒸着(PVD)のようなプロセスを用いて形成されたシード層は、インターコネクト層の核化表面として作用する。シード層及びインターコネクト層を含むトレンチインターコネクト420又はコンダクタは、同じ材料又は異なる材料から形成されてもよい。トレンチインターコネクト420は、銀(Ag)、銅(Cu)、アルミニウム(Al)及びそれらの合金のような低抵抗金属の1つ又はそれ以上から形成され得る。高融点インターコネクト310及びトレンチインターコネクト420は、2つの異なる材料から形成され、これをここではデュアル金属インターコネクトとする。
この実施態様においては、トレンチインターコネクト420は、下置金属170から、開口部高さ114とほぼ同じ厚さの誘電領域180により分離されている。開口部幅112がますます小さくなり、より大きなデバイス密度を可能とするようになる一方で、開口部高さ114は比較すると相対的に厚いものである。本発明の実施態様では、でなければギャップ充填制約により不可能な、さらにより高い開口部のアスペクト比、即ち開口部幅112に対して開口部高さ114の比を可能とし、従って容量を減少させ、マイクロエレクトロニクスデバイスをより対電力効果の優れたものとする。
図6は、ひとつの実施態様による、中央制御ユニット又はメモリユニットを含むマイクロエレクトロニクスデバイス600のデュアル金属インターコネクトの断面図を示す。マイクロエレクトロニクスデバイス600は、シリコン、ガリウムヒ素(GaAs)又は単結晶形状のインジウムアンチモニド(InSb)を含む基板605を含む。基板605はさらに、1又はそれ以上のシリコンーオン−絶縁層のような埋め込み層を含んでいてよい。1又はそれ以上の最前端フィルムは、基板605上にプレメタル誘電体610を含む。プレメタル誘電体610は、1又はそれ以上のフィルムであってこの技術分野の熟練者にとっては通常のデバイス製造で一般的に用いられるものとして知られたものである。例えば、シリコン酸化物、シリコン窒化物、ドープされ又はされていないポリシリコン、ランタン酸化物、タンタル酸化物、チタン酸化物、ハフニウム酸化物、ジルコニウム酸化物、鉛−ジルコン酸−チタン酸(PZT)、バリウム−ストロンチウム−チタン酸(BST)又は酸化アルミニウムが挙げられる。プレメタル誘電体層610は、熱堆積、プラズマ強化化学蒸着(PECVD)、高密度化学蒸着(HDCVD)及び/又はスパッタリングのような方法を用いて堆積することができる。
高融点インターコネクト410、トレンチバリア420及びトレンチコンダクタ430を含む一連の層間誘電層620が、プレメタル誘電体層610の上に形成される。層間誘電体層620は、シリコン酸化物、シリコン窒化物又は炭素ドープ酸化物(CDO)のような低k誘電体(即ち、k<3)を含んでいてよい。層間誘電体層620は、化学機械研磨(CMP)のような方法を用いて平坦化又は研磨されていてよい。平坦化プロセスは、誘電材料の上部部分を侵食して均一な表面を形成すると同時に、続くリソグラフィステップの光学分解能を改良するものである。ひとつの実施態様において、高融点インターコネクト310は、コバルト(Co)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、タングステン(W)、ルテニウム(Ru)及びそれらの合金のような1又はそれ以上の高融点金属で充填されている。一方トレンチインターコネクト420及び下置金属170は、層間誘電層620のトレンチ120のような溝を充填する電気メッキにより、銅又は銅合金を用いたダマシンプロセス又はデュアルダマシンプロセスで形成される。トレンチインターコネクト420及び層間誘電層620は、CMPプロセス又は当該技術分野で知られた他の平坦化プロセスを用いて平坦化され得る。
境界誘電層310は、層間誘電層620、高融点インターコネクト310及びトレンチインターコネクト420の上に形成される。境界誘電層630は、バリア性を持つ誘電性フィルム、例えばシリコン窒化物又はシリコン酸化物窒化物フィルムから形成される。他の実施態様では、スピンオンポリマー「緩衝被覆(buffer coat)」が、シリコン窒化物又はシリコン酸化物窒化物フィルム状に設けられる。境界誘電層630は、この技術分野の熟練者にとって知られた方法でパターン化されエッチングされ、トレンチインターコネクト420と高融点インターコネクト310の下にあるパスを形成する。
図7は、ひとつの実施態様によるデュアル金属インターコネクトを含む中央処理ユニット(CPU)710を含む通信システム700を示す。通信システム700は、CPU710を含むマザーボード及びバス740と結合するネットワークインターフェイス730を含んでいてよい。より具体的には、CPU710は、先に説明した、デュアル金属インターコネクト及び/又はその製造方法を含む。応用により、通信システム700は、さらに、ここで記載された他の部品を含んでいてよい。例えば限定されないが、揮発性又は不揮発性メモリ、グラフィックプロセッサ、デジタルシグナルプロセッサ、クリプトプロセッサ、チップセット、マスストーレージ(ハードディスク、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)を含む)等が挙げられる。1又はそれ以上のこれらの部品はまた、先に説明したデュアル金属インターコネクト及び/又はその製造方法を含んでいてよい。種々の実施態様において、通信システム700には、パーソナルデジタルアシスタント(PDA)、モバイルデバイス、タブレットコンピュータデバイス、ラップトップコンピュータデバイス、デスクトップコンピュータデバイス、セット−トップボックス、エンターテイメント制御ユニット、デジタルカメラ、デジタルビデオレコーダ、CDプレーヤ、DVDプレーヤ又は他のデジタルデバイス等が含まれる。
図8は、デュアル金属インターコネクトを形成するために使用される製造プロセスのひとつの実施態様を記載するフロ−チャートである。ステップ800においては、誘電層はパターン化された金属層の上に堆積される。ステップ810においては、誘電層は、トレンチとパターン化された金属層を露出するための開口部とを含むダマシンパターンを形成するためにエッチングされる。ステップ830では、高融点インターコネクト310は、開口部を実質的に充填するために開口部に形成される。バリア層410が堆積され、シード層が、トレンチと要素840の高融点インターコネクト310の上に形成される。低抵抗金属が、要素850のシード層に形成されて複数の追加のコンダクタを与える。
デュアル金属インターコネクト形成するための装置及び方法について複数の実施態様を記載した。本発明の上述の記載は、説明及び記述の目的で提示されている。これら開示されたそのままの形が本発明の全てであるとか、それらに制限されるということは意図されていない。この詳細な説明及び特許請求の範囲は、例えば次の用語、左、右、頂部、底部、上、下、より上、より低い、最初、次の、等が含まれるが、これらは説明目的のみで使用され、なんら限定することは意図されていない。例えば、基板又は集積回路のデバイス側(又は活性表面)の位置に関して相対的に垂直の位置を示す用語が、前記基板の「頂上」である。基板は、実際にはあらゆる方向を取り得る。その場合基板の「頂上」は標準的な地理学的見地からいえば「底」側よりも低いこともあり得るが、この場合でも「頂上」の用語の意味に含まれる。ここで(特許請求の範囲も含む)使用される「上」は、第二の層の「上」の第一の層が、直接上に及び直接第二の層に接触していることを意味するのではない。第一の層及び第二の層の間には、第三の層又は他の構造があってもよい。ここで記載されたデバイス又は物品の実施態様は、種々の位置、方向で、製造され、使用され、又は輸出されてもよい。
しかし、関連する技術分野の熟練者は、種々の実施態様が、1又はそれ以上の特別に詳細な説明がなくても実施することができるものであり、又は他の置換及び/又は追加の方法、材料又は部品を用いて実施することができることを理解するであろう。他の例において、よく知られた構造、材料又は操作は、本発明の種々の実施態様の側面を不明瞭にすることを避けるために省略されている。同じく、説明目的のために、具体的な数、材料及び構成は、本発明の完全な理解を提供するために提示されているものである。それでも、本発明は、特別な詳細がなくても実施可能である。さらに、図に示される種々の実施態様は、説明目的のためであり、寸法は必ずしも整合して記載されているものではない。
この明細書を通して「ひとつの実施態様」とは、実施態様に関連して記載された特定の構成、構造、材料又は性質は、本発明の少なくとも1つの実施態様に含まれることを意味し、必ずしも全ての実施態様において現れる必要はない、ということを意味する。従って、本明細書を通して種々の場所に現れる「ひとつの実施態様において」なる用語は、かならずしも本発明の同じ実施態様を参照するものではない。さらに、特定の構成、構造、材料又は性質は、1つ又はそれ以上の実施態様においてすべての適切な方法で組み合わされてもよい。種々の追加の層及び/又は構造が含まれ、また記載された構成が他の実施態様では省略されてもよい。
種々の操作について、複数の、別々の操作として説明したが、これは本発明を理解するために最も有用な方法であるからである。しかし、記載の順序は、必ずしもこれらの操作が順序に依存するものであると解釈されるべきではない。特に、これらの操作は、示される順序でなされることは必ずしも必要ではない。記載された操作は、記載された実施態様とは異なる順序で実施することができる。種々の追加の操作も実施することができ、及び/又は記載された操作はさらなる実施態様においては省くこともできる。
この技術分野の熟練者は、上述の開示に照らして、多くの改良及び変更が可能であることを理解できる。この技術分野の熟練者は、図に示された種々の部品について種々の均等な組み合わせ及び置換を認識するであろう。従って、本発明の範囲は、この詳細な説明に限定されるものではなく、むしろ添付した特許請求の範囲により規定されるものである。
110 開口部
120 トレンチ
112 開口幅
114 開口高さ
130 バリア層
140 トレンチ表面
150 開口部側壁
160 下置金属表面
170 金属
180 誘電領域
210 導電性層
220 ボイド
310 高融点インターコネクト
410 バリア層、高融点インターコネクト
420 トレンチインターコネクト、トレンチバリア
430 トレンチコンダクタ
600 マイクロエレクトロニクスデバイス
605 基板
610 プレメタル誘電体
620 層間誘電層
630 境界誘電層
710 中央処理ユニット(CPU)
700 通信システム
730 ネットワークインターフェイス
740 バス

Claims (20)

  1. インターコネクト形成方法で;
    パターン化された金属層の上に誘電層を堆積し、
    前記誘電層をエッチングしてトレンチ及び下置金属表面を露出するために開口部を形成し、
    前記前処理された開口部に及び前記下置金属表面に直接隣接した高融点インターコネクトを形成し、
    前記トレンチと前記高融点インターコネクト上にバリア層とシード層を堆積し、及び
    前記シード層上に低抵抗金属を形成することを含む、方法。
  2. 前記高融点インターコネクトを無電解メッキによる形成をさらに含む、請求項1に記載の方法。
  3. 前記高融点インターコネクトの無電解メッキ堆積が、コバルト(Co)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、タングステン(W)、ルテニウム(Ru)及びそれらの合金を含む群から選択される高融点金属の無電解メッキ堆積を含む、請求項1に記載の方法。
  4. 前記開口部及び前記下置金属表面の前処理をさらに含む、請求項1に記載の方法。
  5. 前記開口部の前処理が、アルゴンイオン(Ar)暴露又は水素(H)及びヘリウム(He)又はH及びAr混合物を用いて形成されるプラズマプロセスを用いることを含む、請求項4に記載の方法。
  6. 前記開口部が、3:1以上の比である、高アスペクト比である、請求項1に記載の方法。
  7. 前記開口部の開口幅が、実質的に50ナノメータ(nm)以上である、請求項6に記載の方法。
  8. 上に誘電層、トレンチ及び下置金属表面を露出するための開口部が形成された基板を用意し;
    前記下置金属表面に直接隣接する開口部に高融点インターコネクトを前記下置金属表面にボトム−アップ無電解メッキにより堆積し;
    前記トレンチにシード層とコンダクタを含むトレンチインターコネクトを堆積し、前記シード層が前記コンダクタのための核化表面として作用し;及び
    前記トレンチインターコネクト及び前記誘電層を平坦化する、ことを含む方法。
  9. 前記高融点インターコネクトの無電解メッキ堆積が、コバルト(Co)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、タングステン(W)、ルテニウム(Ru)及びそれらの合金を含む群から選択される高融点金属の無電解メッキ堆積を含む、請求項8に記載の方法。
  10. 前記開口部及び前記下置金属表面の前処理をさらに含む、請求項8に記載の方法。
  11. 前記開口部の前処理が、アルゴンイオン(Ar)暴露又は水素(H)及びヘリウム(He)又はH及びAr混合物を用いて形成されるプラズマプロセスを用いることを含む、請求項10に記載の方法。
  12. 前記開口部が、3:1以上の比である、高アスペクト比である、請求項8に記載の方法。
  13. 前記開口部の開口幅が、実質的に50ナノメータ(nm)以上である、請求項12に記載の方法。
  14. 前記誘電層が、低−k誘電材料から形成される、請求項8に記載の方法。
  15. マイクロエレクトロニクスデバイスであり:
    低−k誘電体及び前記低−k誘電体が形成された実質的に50ナアノメータ(nm)以上の開口部を含む基板と;
    前記開口部に隣接する下置金属表面と;
    前記開口部に高融点インターコネクトであって、前記高融点インターコネクトが、前記下置金属表面及び前記開口部の壁と直接隣接し、かつ前記高融点インターコネクトが実質的に前記開口部を充填する、前記開口部に高融点インターコネクトと;
    前記高融点インターコネクト上のバリア層と;及び
    前記バリア層上のトレンチインターコネクトとを含む、
    マイクロエレクトロニクスデバイス。
  16. 前記高融点インターコネクトが、無電解メッキによりボトムアップ堆積で形成される、請求項15に記載のマイクロエレクトロニクスデバイス。
  17. 前記高融点インターコネクトの無電解メッキ堆積が、コバルト(Co)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、タングステン(W)、ルテニウム(Ru)及びそれらの合金を含む群から選択される高融点金属の無電解メッキ堆積を含む、請求項16に記載の方法。
  18. 前記開口部及び前記下置金属表面の前処理をさらに含む、請求項17に記載の方法。
  19. 前記開口部の前処理が、アルゴンイオン(Ar)暴露又は水素(H)及びヘリウム(He)又はH及びAr混合物を用いて形成されるプラズマプロセスを用いることを含む、請求項18に記載の方法。
  20. 前記開口部が、3:1以上の比である、高アスペクト比である、請求項15に記載の方法。
JP2011531271A 2008-12-10 2009-12-01 ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクト Pending JP2012505554A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/316,304 US7867891B2 (en) 2008-12-10 2008-12-10 Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US12/316,304 2008-12-10
PCT/US2009/066198 WO2010068523A2 (en) 2008-12-10 2009-12-01 Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance

Publications (1)

Publication Number Publication Date
JP2012505554A true JP2012505554A (ja) 2012-03-01

Family

ID=42230178

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011531271A Pending JP2012505554A (ja) 2008-12-10 2009-12-01 ギャップ充填信頼性を改良し及び容量を減少させるためのデュアル金属インターコネクト

Country Status (6)

Country Link
US (2) US7867891B2 (ja)
EP (1) EP2356674B1 (ja)
JP (1) JP2012505554A (ja)
KR (1) KR101238953B1 (ja)
CN (1) CN102171797A (ja)
WO (1) WO2010068523A2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8508018B2 (en) 2010-09-24 2013-08-13 Intel Corporation Barrier layers
US8779589B2 (en) 2010-12-20 2014-07-15 Intel Corporation Liner layers for metal interconnects
US20120153483A1 (en) * 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR20130000218A (ko) * 2011-06-22 2013-01-02 삼성디스플레이 주식회사 자성체 물질을 함유한 전극 및 상기 전극을 갖는 유기발광소자
US9128289B2 (en) 2012-12-28 2015-09-08 Pixtronix, Inc. Display apparatus incorporating high-aspect ratio electrical interconnects
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9704798B2 (en) 2013-12-20 2017-07-11 Intel Corporation Using materials with different etch rates to fill trenches in semiconductor devices
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9583386B2 (en) 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
WO2017087005A1 (en) * 2015-11-21 2017-05-26 Intel Corporation Metallization stacks with enclosed vias
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
TW201840903A (zh) * 2016-11-20 2018-11-16 美商應用材料股份有限公司 選擇性沉積無腐蝕金屬觸點之方法
US10395986B1 (en) 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US11107727B2 (en) 2019-05-10 2021-08-31 International Business Machines Corporation Double metal double patterning with vias extending into dielectric
US11075165B2 (en) 2019-07-19 2021-07-27 Applied Materials, Inc. Methods and apparatus for forming dual metal interconnects
US11177214B2 (en) 2020-01-15 2021-11-16 International Business Machines Corporation Interconnects with hybrid metal conductors

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04307736A (ja) * 1991-04-04 1992-10-29 Canon Inc 微細多層構造半導体素子の配線方法
JPH07135209A (ja) * 1993-11-09 1995-05-23 Matsushita Electric Ind Co Ltd 多層配線構造およびその製造方法
JPH11135630A (ja) * 1997-08-29 1999-05-21 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JP2001135638A (ja) * 1999-11-04 2001-05-18 Sony Corp 半導体装置およびその製造方法
JP2003152077A (ja) * 2001-11-15 2003-05-23 Hitachi Ltd 半導体装置および半導体装置の製造方法
JP2003179057A (ja) * 2001-12-12 2003-06-27 Sony Corp 半導体装置及びその製造方法
JP2006216690A (ja) * 2005-02-02 2006-08-17 Renesas Technology Corp 半導体装置
JP2007005840A (ja) * 2006-10-16 2007-01-11 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2007502551A (ja) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
JP2007520051A (ja) * 2003-09-23 2007-07-19 マイクロン テクノロジー,インコーポレイテッド 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム
WO2007111679A2 (en) * 2005-10-28 2007-10-04 Applied Materials, Inc. Method of selectively depositing a thin film material at a semiconductor interface

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692349A (en) * 1986-03-03 1987-09-08 American Telephone And Telegraph Company, At&T Bell Laboratories Selective electroless plating of vias in VLSI devices
US4957775A (en) * 1986-05-29 1990-09-18 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
JPH06104207A (ja) * 1992-09-18 1994-04-15 Toshiba Corp 半導体装置の製造方法
US6197685B1 (en) * 1997-07-11 2001-03-06 Matsushita Electronics Corporation Method of producing multilayer wiring device with offset axises of upper and lower plugs
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6495019B1 (en) * 2000-04-19 2002-12-17 Agere Systems Inc. Device comprising micromagnetic components for power applications and process for forming device
US6368910B1 (en) * 2000-11-24 2002-04-09 Winbond Electronics Corp. Method of fabricating ruthenium-based contact plug for memory devices
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
WO2004019391A2 (en) * 2002-08-23 2004-03-04 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP2004289046A (ja) * 2003-03-25 2004-10-14 Renesas Technology Corp キャパシタを有する半導体装置の製造方法
US7304388B2 (en) * 2003-06-26 2007-12-04 Intel Corporation Method and apparatus for an improved air gap interconnect structure
KR100621630B1 (ko) 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US7291558B2 (en) * 2004-11-08 2007-11-06 Tel Epion Inc. Copper interconnect wiring and method of forming thereof
US7682952B2 (en) * 2004-11-30 2010-03-23 Massachusetts Institute Of Technology Method for forming low defect density alloy graded layers and structure containing such layers
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
KR100894769B1 (ko) * 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
JP4921945B2 (ja) * 2006-12-13 2012-04-25 株式会社東芝 半導体装置の製造方法及び半導体装置
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04307736A (ja) * 1991-04-04 1992-10-29 Canon Inc 微細多層構造半導体素子の配線方法
JPH07135209A (ja) * 1993-11-09 1995-05-23 Matsushita Electric Ind Co Ltd 多層配線構造およびその製造方法
JPH11135630A (ja) * 1997-08-29 1999-05-21 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JP2001135638A (ja) * 1999-11-04 2001-05-18 Sony Corp 半導体装置およびその製造方法
JP2003152077A (ja) * 2001-11-15 2003-05-23 Hitachi Ltd 半導体装置および半導体装置の製造方法
JP2003179057A (ja) * 2001-12-12 2003-06-27 Sony Corp 半導体装置及びその製造方法
JP2007502551A (ja) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
JP2007520051A (ja) * 2003-09-23 2007-07-19 マイクロン テクノロジー,インコーポレイテッド 導電性構成部品、貫通ビア及び導電性貫通ウェーハ・ビアを含む半導体構成部品を製造するためのプロセス及び集積化スキーム
JP2006216690A (ja) * 2005-02-02 2006-08-17 Renesas Technology Corp 半導体装置
WO2007111679A2 (en) * 2005-10-28 2007-10-04 Applied Materials, Inc. Method of selectively depositing a thin film material at a semiconductor interface
JP2007005840A (ja) * 2006-10-16 2007-01-11 Renesas Technology Corp 半導体集積回路装置の製造方法

Also Published As

Publication number Publication date
EP2356674B1 (en) 2023-10-11
US7867891B2 (en) 2011-01-11
US20110079910A1 (en) 2011-04-07
US20100140804A1 (en) 2010-06-10
WO2010068523A3 (en) 2010-08-26
WO2010068523A2 (en) 2010-06-17
CN102171797A (zh) 2011-08-31
EP2356674A2 (en) 2011-08-17
KR20110059752A (ko) 2011-06-03
EP2356674A4 (en) 2017-12-06
KR101238953B1 (ko) 2013-03-04

Similar Documents

Publication Publication Date Title
KR101238953B1 (ko) 상호접속부 형성 방법 및 마이크로 전자 디바이스
US20230013937A1 (en) Semiconductor device with reduced via resistance
JP5430946B2 (ja) 相互接続構造体形成方法
US9293412B2 (en) Graphene and metal interconnects with reduced contact resistance
US7915162B2 (en) Method of forming damascene filament wires
US8232653B2 (en) Wiring structures
US20080128907A1 (en) Semiconductor structure with liner
US20100314765A1 (en) Interconnection structure of semiconductor integrated circuit and method for making the same
TWI375995B (en) Microelectronic device
US20070059919A1 (en) Method of manufacturing semiconductor device
US20060125100A1 (en) Method of manufacturing semiconductor device, and semiconductor device
US10964588B2 (en) Selective ILD deposition for fully aligned via with airgap
US20060216929A1 (en) Etch stopless dual damascene structure and method of fabrication
JP2015133509A (ja) 銅プラグを有する半導体デバイスとその形成方法
JP2005340808A (ja) 半導体装置のバリア構造
US7638423B2 (en) Semiconductor device and method of forming wires of semiconductor device
JP2009026989A (ja) 半導体装置及び半導体装置の製造方法
TW201841324A (zh) 內連線結構及其形成方法
US7018921B2 (en) Method of forming metal line in semiconductor device
US20060226549A1 (en) Semiconductor device and fabricating method thereof
KR100539443B1 (ko) 반도체 소자의 금속배선 형성방법
CN113571466A (zh) 半导体结构的形成方法
KR100571386B1 (ko) 반도체 소자의 구리 배선 및 그의 제조 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130419

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131001