CN100405595C - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN100405595C
CN100405595C CNB2006100717255A CN200610071725A CN100405595C CN 100405595 C CN100405595 C CN 100405595C CN B2006100717255 A CNB2006100717255 A CN B2006100717255A CN 200610071725 A CN200610071725 A CN 200610071725A CN 100405595 C CN100405595 C CN 100405595C
Authority
CN
China
Prior art keywords
film
interconnection
embolism
semiconductor device
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2006100717255A
Other languages
English (en)
Other versions
CN1835226A (zh
Inventor
宇佐美达矢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1835226A publication Critical patent/CN1835226A/zh
Application granted granted Critical
Publication of CN100405595C publication Critical patent/CN100405595C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明的目的是有效地降低栓塞和互连之间的连接电阻以及绝缘膜的介电常数。半导体器件100具有:半导体衬底(未示出);由含铜金属构成的第一互连108,其形成在半导体衬底上;导电的第一栓塞114,其形成在第一互连108上并连接到第一互连108;Cu硅化物层111,其位于第一互连108上的除了形成第一栓塞114的区域以外的区域中;第一栓塞114上的Cu硅化物层117;和第一多孔MSQ膜105,其形成在从第一互连108侧表面到第一栓塞114侧表面的区域上,并覆盖第一互连108侧表面、第一互连108的上部和第一栓塞114的侧表面。

Description

半导体器件及其制造方法
本申请基于日本专利申请第2005-077720号,在此引入其内容以作参考。
技术领域
本发明涉及具有多层互连结构的半导体器件及其制造方法。
背景技术
图21示出了常规半导体器件结构的截面图。将根据以下工序制造图21所示的半导体器件200。
首先,在硅衬底(未示出)上形成SiO2膜201和SiC阻挡介电膜203。然后在阻挡介电膜203上淀积约70至200nm厚的多孔SiOC膜作为第一低k(低介电常数)膜205。在第一低k膜205上将硬掩模SiO2膜(未示出)淀积到约50至150nm的厚度。然后,用基于碳氟化合物的气体对硬掩模SiO2膜和作为第一低k膜205的多孔SiOC膜进行蚀刻并通过光刻工艺将其灰化,从而形成互连沟槽。当在互连沟槽中形成阻挡金属膜211和铜互连213之后,形成SiC膜作为阻挡介电膜207。然后,以如上所述的工艺,在该膜上进一步形成作为第二低k膜209的多孔SiOC膜,从而形成通孔215。由此,提供了图21所示的半导体器件200。随后,在通孔215中埋置金属膜以形成通孔栓(未示出)。重复该工艺以形成多层互连。
然而,在该制造工艺中,由于绝缘中间层的介电常数减小,因此由于工艺而产生的膜损伤变得更加显著。特别是,使用碳氟化合物气体的蚀刻和灰化工艺导致在第一低k膜205和第二低k膜209中的曝光区域中形成了受损膜层217。在受损膜217的区域中,低k膜中的Si-CH3键被破坏,导致低k膜的介电常数增大。而且,由于具有相对较高的特定介电常数的阻挡介电膜203存在于铜互连213上,因此难以减小有效介电常数。
此外,在层叠部分中会出现剥离,该层叠部分是指:在要形成铜互连213的层(即互连层)上形成具有通孔栓和比该互连层更小的金属区域的层。具体来说,作为第二低k膜209的多孔SiOC膜与作为阻挡介电膜207的SiC膜之间的粘附性差。因此,在形成多层互连并装配后的T/C(热循环)测试期间,由于各膜之间的热膨胀系数不同而使膜剥离,导致了剥离界面219。
由此,已经提出应用互连上的金属盖层技术来取代阻挡介电膜。
图22示出了这种半导体器件结构的截面图。与图21所示的半导体器件200对比,图22所示的半导体器件210不具有阻挡介电膜207,且由此在形成通孔期间需要蚀刻阻挡层。因此,半导体器件210具有在铜互连213上的盖层金属膜221。在盖层金属膜221上形成由阻挡金属膜225和Cu膜227构成的Cu栓塞,在该Cu栓塞上形成盖层金属膜229。
图22所示的半导体器件210将根据以下工艺制造。在SiO2膜(未示出)上形成SiC阻挡介电膜203,然后在其上形成作为低k膜的多孔SiOC膜。然后,在多孔SIOC膜上形成SiO2膜,从而获得第一叠层膜233。随后,通过使用光刻技术对第一叠层膜233进行处理以形成互连沟槽。然后,依次形成阻挡金属膜211、Cu籽晶(未示出)和将成为铜互连213的Cu电镀膜,并且随后通过CMP在沟槽中形成互连。接着,通过选择性生长,在互连上形成作为盖层金属膜221的CoWP层。
然后,如上所述,在盖层金属膜221上形成第二叠层膜235,然后在其中形成通孔。当在通孔中形成由阻挡金属膜225和Cu膜227构成的栓塞之后,通过选择性生长,在该栓塞上形成作为盖层金属膜229的CoWP层。
日本专利申请第2003-152077号已经公开了在通过双大马士革工艺形成的多层互连结构中,形成钨膜作为盖层金属膜并且去除接触孔底部上的钨膜。
发明内容
然而,在图22所示的半导体器件210的制造工艺中,盖层金属膜221和盖层金属膜229的选择性生长是不完全的,由此在绝缘中间层(即,分别是在第一叠层膜233和第二叠层膜235)上的金属淀积是非选择性淀积物223和231。非选择性淀积物223和231的存在会导致互连之间的漏电。
而且,在形成盖层金属膜221之后的工艺中,虽然在作为盖层金属膜221的CoWP层上的第二叠层膜235中形成了通孔,但未将盖层金属膜221蚀刻掉。因此,如图22所示,阻挡金属膜225形成在盖层金属膜221上,导致互连和通孔之间的连接电阻增大。
作为现有技术的日本专利申请第2003-152077号已经提供了通过双大马士革工艺的互连结构。然而,当通过双大马士革工艺形成由Cu构成的互连或连接栓塞时,半导体器件的制造产量低且不稳定。而且,存在进一步的改进空间以便在更长时间内稳定地使用半导体器件。由此,已经需要进一步的改进方案以获得具有更高器件稳定性的半导体器件。
日本专利申请第2003-152077已经描述,在互连上形成钨膜之后,通过蚀刻去除接触孔底部上的钨膜。然而,我们的研究已经证明从底部去除的材料例如会粘附到接触孔的侧壁上,导致不充分的去除。由此,不能在接触孔中稳定地形成阻挡金属膜,从而导致诸如埋没通孔的缺陷等问题。
在本发明的一方面中,提供一种具有互连结构的半导体器件,包括:
半导体衬底;
由含铜金属构成的、形成在半导体衬底上的第一互连;
导电栓塞,其形成在第一互连上并连接到第一互连;
第一盖层金属膜,其位于第一互连上的除栓塞所在区域之外的区域中;
形成在栓塞上的栓塞保护膜;以及
绝缘膜,其形成在如下区域上:所述区域是在从第一互连侧表面到栓塞侧表面,并覆盖第一互连的侧表面、第一互连的上部和栓塞的侧表面。
在本发明中,在第一互连上除了形成栓塞的区域之外的区域中形成第一盖层金属膜。由此,可以有效减小第一互连和栓塞之间的接触电阻。而且,在防止电迁移和应力迁移的同时,将第一互连的上部可靠地保护起来。
此外,在从第一互连侧壁到通孔侧壁的区域中形成绝缘膜,由此绝缘膜覆盖第一互连的侧壁、第一互连的上部和通孔的侧壁。这里,下面的第一互连形成层和上面的通孔形成层之间的边界是绝缘膜中数据比(data ratio)不连续且显著变化的区域。当在这种区域中形成了由不同材料构成的膜时,会在各膜之间的分界面中产生分离。根据本发明,可以提供一种在第一互连形成层与通孔形成层之间的边界中没有由不同材料构成的膜的构造。由此,本发明可以防止第一互连形成层与通孔形成层之间的边界中的绝缘膜分离。而且,由于没有形成由不同材料构成的膜,因此可以有效地减小绝缘膜的介电常数。
在本说明书中,术语“数据比”是指在绝缘膜中由金属膜所占据的面积比例。通常,具有互连的层具有更高的数据比,而具有通孔的层具有较低的数据比,且这些层之间的数据比显著不同。
在本发明中,绝缘膜可以从第一互连的侧壁到通孔的侧壁形成连续完整的部分。在本说明书中,术语“连续完整”是指膜形成连续且完整的部分。它优选具有由没有接头的单个构件构成的结构。如果绝缘膜是多层膜的层叠,则在会发生分离的膜之间存在分界面。本发明的构造不具有这种分界面,使得绝缘膜的热循环特性进一步改进。而且,可以更有效地减小绝缘膜的介电常数。
本发明的另一方面,提供一种用于制造半导体器件的方法,包括:
在半导体衬底上形成第一牺牲绝缘中间层,并在第一牺牲绝缘中间层中埋置含铜金属膜,以形成第一互连;
在第一牺牲绝缘中间层上形成第二牺牲绝缘中间层,选择性地去除第二牺牲绝缘中间层以形成孔,从孔的底部露出第一互连上表面的一部分,并在孔中埋置含铜金属膜以形成连接到第一互连上表面的一部分的栓塞;
在形成栓塞之后,去除第二牺牲绝缘中间层,以曝露第一互连的上表面;
在曝露第一互连的上表面之后,同时在第一互连和栓塞上形成盖层金属膜;以及
当同时形成盖层金属膜之后,去除第一牺牲绝缘中间层以曝露第一互连的侧表面,以及形成覆盖第一互连侧表面、第一互连上部和栓塞侧表面的绝缘膜。
在本发明的制造方法中,在第一互连和栓塞上同时形成盖层金属膜,因此可以保护第一互连的上部。而且,在栓塞和第一互连之间没有形成盖层金属膜,由此可以有效地减小半导体器件的接触电阻并可以稳定地制造该半导体器件。本方法可以删掉去除第一互连上的盖层金属的步骤,结果提高了栓塞制造中的可靠性并由此提高了制造成品率。
而且,在去除第一牺牲绝缘中间层、牺牲阻挡介电膜和第二牺牲绝缘中间层而曝露第一互连和栓塞之后,形成绝缘膜,该绝缘膜覆盖第一互连的侧表面、第一互连的上部和栓塞的侧表面。因此,本方法可以删除在形成绝缘膜后通过选择性地去除该绝缘膜而形成沟槽或孔的步骤,结果防止了由处理绝缘层而引起的损伤。
第一和第二牺牲绝缘中间层可以形成为单层膜或多个膜的层叠。
如上所述,根据本发明可以有效地减小栓塞与互连之间的连接电阻以及可以有效地减小绝缘膜的介电常数。
附图说明
由以下结合附图的描述,本发明的上述和其他目的、优点和特征将更加明显,其中:
图1和2示出了根据一实施例的半导体器件构造的截面图;
图3至11示出了图1所示的半导体器件制造方法的截面图;
图12和13示出了根据一实施例的半导体器件构造的截面图;
图14和15示出了图12所示的半导体器件制造方法的截面图;
图16至19示出了根据一实施例的半导体器件构造的截面图;
图20示出了一实施例中多孔SiOC膜FTIR光谱的曲线图;
图21和22示出了常规半导体器件的截面图。
具体实施方式
现在参考说明性实施例在此对本发明进行描述。本领域技术人员将意识到,利用本发明的教导可以完成许多替换实施例且本发明不限于这些为说明目的而示出的实施例。
将参考附图描述本发明的一些实施例,用于制造具有多层互连结构的半导体器件,该多层互连结构通过单大马士革工艺由含铜金属构成。在所有附图中,用相同的标记表示共有的元件,且在下面的说明中将适当地不再重复共有的说明。
(实施例1)
图1和2示出了根据本实施例的半导体器件构造的截面图。图2所示的半导体器件110是图1所示的半导体器件100,其中互连和通孔之间的接合未对准。
图1所示的半导体器件100具有:半导体衬底(未示出)和位于半导体衬底上的互连结构,所述互连结构具有由含铜金属构成的第一互连108;第一互连108上的导电栓塞(第一栓塞114),其连接到第一互连108;第一盖层金属膜(Cu硅化物层111),其位于第一互连108上除了形成第一栓塞114的区域以外的区域中;第一栓塞114上的栓塞保护膜(Cu硅化物层117);以及绝缘膜(第一多孔MSQ膜105),其形成在从第一互连108的侧表面到第一栓塞114侧表面的区域中,并覆盖第一互连108的侧表面、第一互连108的上部和第一栓塞114的侧表面。
在本实施例中,第一盖层金属是形成在第一互连108上的Cu硅化物层111,其是通过使第一互连108的上部硅化而形成的膜。第一栓塞114直接接触第一互连108,在未与第一栓塞114接触的区域中,选择性地硅化第一互连108的上部。
栓塞保护膜是由与Cu硅化物层111相同的材料构成的第二盖层金属膜,即,Cu硅化物层117。如将在下文描述的,Cu硅化物层117通过与Cu硅化物层111相同的工艺形成。在第一栓塞114上设置第二互连124,其中所述第二互连124通过Cu硅化物层117连接到第一栓塞114。
半导体器件100具有第一增强绝缘膜(第一SiC膜103),其位于第一多孔MSQ膜105之下,与第一互连108侧表面的底部接触,并具有比第一多孔MSQ膜105更高的膜密度。可以使用SiN或SiON代替第一SiC膜103。
第一多孔MSQ膜105形成为从第一互连108侧表面到第一栓塞114侧表面的连续完整的部分。第一多孔MSQ膜105是埋置在第一互连108中的互连之间以及第一栓塞之间的固体膜。
第一多孔MSQ膜105由具有低介电常数的材料构成,且其上部(从硅衬底的远端面)的膜密度比其下部更高。第一多孔MSQ膜105上部的机械强度比其下部的机械强度更高。第一多孔MSQ膜105由包含碳作为组成元素的具有低介电常数的材料构成,且其上部具有比其下部更高的碳浓度。
第一多孔MSQ通过电子束辐射或UV射线辐射形成。
在低介电常数材料的红外线光谱中,对于峰值约为1150cm-1的红外线吸收带中的峰值强度I1来说,第一多孔MSQ膜105上部的峰值强度比其下部的峰值强度低。吸收带表现为笼型(Cage type)Si-O结构。1150cm-1附近的波数例如为1100至1200cm-1
在低介电常数材料的红外线光谱中,对于峰值约为1050cm-1的红外线吸收带中的峰值强度I2来说,第一多孔MSQ膜105上部的峰值强度比其下部的峰值强度高。吸收带表现为梯型(Ladder type)Si-O结构。1050cm-1附近的波数例如为1000至1100cm-1
在低介电常数材料的红外线光谱中,对于峰值约为3000cm-1的红外线吸收带中的峰值强度I3来说,第一多孔MSQ膜105上部的峰值强度比其下部的峰值强度低。吸收带表现为C-H键。随着I3越高,膜中的碳浓度越高。3000cm-1附近的波数例如为2950至3050cm-1
在本说明书中,术语“峰值强度”是指:在红外线光谱中的红外线吸收带中(即,由吸收曲线和基线围绕的区域),一峰值位置处的吸收率与该峰值位置的波数处的基线吸收率之间的差。单个红外线吸收带的基线通常可以落入以下范围内:
I1:1000至1100cm-1
I2:1100至1200cm-1
I3:3050至2800cm-1
在半导体器件100中,多个这种互连结构层叠,且下层互连结构中的第一栓塞114连接到上层互连结构中的第一互连(第二互连124)。
半导体器件100具有第二互连(第一互连124)和第二增强绝缘膜(第二SiC膜119),其中:所述第二互连形成在Cu硅化物层117上,连接到第一栓塞114,并由含铜金属构成;而所述第二增强绝缘膜是第一多孔MSQ膜105的上层,同时接触第二互连124的下部侧壁并具有比第一多孔MSQ膜105更高的膜密度。在第二SiC膜119上形成第二多孔MSQ膜121,且第二SiC膜119具有比第二多孔MSQ膜121更高的膜密度。
将更详细地描述半导体器件100的构造。
半导体器件100具有如下构造,在硅衬底(未示出)上依次形成SiO2膜101、第一SiC膜103、第一多孔MSQ膜105、第二SiC膜119和第二多孔MSQ膜121。
第一多孔MSQ膜105是连续完整的膜,其具有第一栓塞114和除第一互连108底部周围以外的区域。第二多孔MSQ膜121是连续完整的膜,其具有第二栓塞130和除第二互连124周围以外的区域。
在第一多孔MSQ膜105中埋置第一互连108、第一栓塞114和Cu硅化物层117,以使它们依次互相连接。在第二多孔MSQ膜121中埋置连接到Cu硅化物层117的第二互连124、第二栓塞130和Cu硅化物层133,以使它们依次相互连接。第一栓塞114和第二栓塞130通过是连接到互连的导电通孔栓。
在从第一多孔MSQ膜105到第一SiC膜103的区域中形成了第一互连108,并且所述第一互连由第一Cu互连109和阻挡金属膜107组成,其中阻挡金属膜107覆盖了第一Cu互连109的侧表面和底表面。设置第一互连108与第一栓塞114相接触,且在除了与第一栓塞114接触的区域以外的区域中,通过Cu硅化物层111覆盖第一互连108的上表面。第一互连108由其下侧面中的第一SiC膜103支撑并固定在SiO2膜101上。
在第一互连108上形成电连接到第一互连108的第一栓塞114。第一栓塞114由第一Cu通孔115和阻挡金属膜113构成,其中阻挡金属膜113覆盖了第一Cu通孔115的侧表面和底表面,且第一Cu通孔115的上表面被Cu硅化物层117所覆盖。
在从第二多孔MSQ膜121到第二SiC膜119的区域中形成了第二互连124,且该第二互连124由第二Cu互连125和阻挡金属膜123构成,其中所述阻挡金属膜123覆盖了第二Cu互连125的侧表面和底表面。与第二检塞130相接触地形成第二互连124,并且在除了与第二栓塞130相接触的区域以外的区域中,通过Cu硅化物层127覆盖第二互连124的上表面。第二互连124由其下侧面中的第二SiC膜119支撑并固定在第一多孔MSQ膜105上。
在第二互连124上形成电连接到第二互连124的第二栓塞130。第二栓塞130由第二Cu通孔131和阻挡金属膜129构成,其中所述阻挡金属膜129覆盖了第二Cu通孔131的侧表面和底表面,且第二Cu通孔131的上表面被Cu硅化物层133覆盖。
第一多孔MSQ膜105和第二多孔MSQ膜121是由低介电常数材料构成的绝缘中间层。第一多孔MSQ膜105和第二多孔MSQ膜121通过来自上表面侧的UV射线或电子束辐射形成。例如,UV-射线的波长为100nm至400nm,且包括100nm和400nm。而且,第一多孔MSQ膜105和第二多孔MSQ膜121在其上部具有比其下部(即硅衬底侧)更高的膜密度,以机械地增加强度。例如,在第一多孔MSQ膜105和第二多孔MSQ膜121中,膜的上部可以具有两倍于或更多倍于膜的下部的机械强度。由此,可以更可靠地提高将形成第一栓塞114的具有较低数据比的区域中的上部的强度,结果进一步提高了产品的可靠性。
当应进一步提高机械强度时,可以控制后续的工艺条件,以便可靠地减小上层中的碳浓度。因此,通过适当的方法可以容易地观测碳浓度的减少,例如SIMS(二次离子质谱测定法)或XPS(X射线光电子光谱法)。
在第一多孔MSQ膜105和第二多孔MSQ膜121中,多孔MSQ上部中C-H键的数量可以比其下部小;可以增加多孔MSQ中梯型Si-O键的数量;可以降低多孔MSQ中笼型Si-O键的数量;或者可以同时达到这些条件中的两个或更多。这样的键数量的改变例如可以通过红外线吸收光谱来检测。
在第一多孔MSQ膜105和第二多孔MSQ膜121中,重要的是,对于膜的成分特性和/或物理特性来说,上部和下部有所不同,例如,膜密度、机械强度、多孔MSQ中C-H键的数量、多孔MSQ中梯型Si-O键的数量和多孔MSQ中笼型Si-O键的数量;例如,可以随距第一SiC膜103距离的增大而逐渐改变这些特性。
半导体器件100具有如下结构,其中第一多孔MSQ膜105或第二多孔MSQ膜121中的成分或物理特性不连续变化的不连续平面并不位于数据比不连续变化的不连续平面中。特别地,当在具有高数据比的区域上设置具有低数据比的区域时,在具有低数据比的区域的底部水平面中不存在多孔MSQ膜的成分或物理特性的不连续平面。具体地,在如下的水平面上不会出现第一多孔MSQ膜105或第二多孔MSQ膜121的成分或物理特性的不连续平面,所述水平面分别是:与第一互连108和第一栓塞114之间的分界面相同的水平面,或者与第二互连124和第二栓塞130之间的分界面相同的水平面中。
而且,至少分别在如下区域中不会出现第一多孔MSQ膜105或第二多孔MSQ膜121的成分或物理特性的不连续平面:所述区域分别是:从与第一互连108底部相同的水平面到与第一栓塞114的底部相同的水平面的区域,或从与第二互连124底部相同的水平面到与第二栓塞130底部相同的水平面的区域,同时包含二者。
分别在如下区域中可能存在第一多孔MSQ膜105或第二多孔MSQ膜121的成分或物理特性的不连续平面,所述区域分别是:在第一栓塞114的底部的上方并在等于或低于第一栓塞114上表面的区域,或者在第二栓塞130底部的上方并在等于或低于第二栓塞130上表面的区域。更优选的是,第一多孔MSQ膜105或第二多孔MSQ膜121在整个膜上都不具有成分或物理特性的不连续平面。由此,可以进一步改善第一多孔MSQ膜105和第二多孔MSQ膜121的热循环特性。
第一SiC膜103和第二SiC膜119分别接触第一互连108和第二互连124的下侧面,并分别作为第一互连108和第二互连124的支撑膜。第二SiC膜119插入位于第一栓塞114和第二互连124的边界中的第二多孔MSQ膜121和第一多孔MSQ膜105之间,在该边界中金属膜的数据比急剧并显著增大,由此用作增强各互连结构之间区域的增强膜。
图2所示的半导体器件10具有图1所示的半导体器件所述的基本构造,只是由阻挡金属膜112和第一Cu通孔116构成的第一栓塞118代替了第一栓塞114且第一栓塞118具有未对准部137,以及由阻挡金属膜128和第二Cu通孔132构成的第二栓塞134代替了第二栓塞130且第二栓塞134具有未对准部139。
接下来,将描述用于制造图1所示的半导体器件的方法。图3至11示出了用于半导体器件100的制造方法的截面图。
本实施例的制造方法包括:在半导体衬底(未示出)上形成第一牺牲绝缘中间层(第一牺牲SiO2膜141),并在第一牺牲SiO2膜141中埋置含铜金属膜以形成第一互连108;在第一牺牲SiO2膜141上形成第二牺牲绝缘中间层(牺牲SiC膜143和第二牺牲SiO2膜145),选择性去除牺牲SiC膜143和第二牺牲SiO2膜145以形成孔(通孔147),用于从通孔147底部曝露第一互连108上表面的一部分,并在通孔147中埋置含铜金属膜,以形成连接到第一互连108上表面的一部分的栓塞(第一栓塞114);在形成第一栓塞114之后,去除牺牲SiC膜143和第二牺牲SiO2膜145,以曝露第一互连108的上表面;在曝露第一互连108的上表面之后,在第一互连108上和第一栓塞114上同时形成盖层金属膜(Cu硅化物层111和Cu硅化物层117);在同时形成Cu硅化物层111和Cu硅化物层117之后,去除第一牺牲SiO2膜141以曝露第一互连108的侧表面,并形成覆盖第一互连108侧表面、第一互连108上部和第一栓塞114侧表面的绝缘膜(第一多孔MSQ膜105)。
本实施例的制造方法还包括,在形成第一多孔MSQ膜105之后,使用Cu硅化物层117作为掩模进行第一多孔MSQ膜105的机械化学抛光,同时通过形成在第一栓塞114上的Cu硅化物层117停止抛光。
本实施例的制造方法还包括,在形成第一多孔MSQ膜105之后,在第一多孔MSQ膜105上形成第三牺牲绝缘中间层(未示出),并在第三牺牲绝缘中间层中埋置含铜金属膜,以形成连接到第一栓塞114的第二互连124。
同时形成的Cu硅化物层111和Cu硅化物层117同时硅化了第一互连108和第一栓塞114的上表面。
在形成第一牺牲SiO2膜141和形成互连108之前,在半导体衬底上形成增强绝缘膜(第一SiC膜103)包括:依次选择性地去除第一牺牲SiO2膜141和第一SiC膜103,以形成穿透第一牺牲SiO2膜141和第一SiC膜103的沟槽,并在沟槽中埋置含铜金属膜。
将更加详细地描述用于制造半导体器件100的方法。
首先,如图3所示,在SiO2膜101上将作为SiC增强绝缘膜的第一SiC膜103形成到约20至50nm的厚度,然后将作为第一牺牲绝缘中间层的第一牺牲SiO2膜141形成到约70至200nm的厚度。通过光刻对第一牺牲SiO2膜141进行处理,然后回蚀第一SiC膜103以形成互连沟槽。
接着,形成约10至30nm厚的Ta金属膜作为阻挡金属膜107。然后,通过溅射将Cu籽晶膜(未示出)形成到约50至150nm的厚度。随后,通过电镀技术将Cu电镀膜形成到约200至600nm的厚度,以便使该膜埋没互连沟槽,进行CMP(化学机械抛光)以形成埋置在互连沟槽中的第一互连108。
然后,在第一互连108上将牺牲SiC膜143形成到约20至50nm。接着,在牺牲SiC膜143上将第二牺牲SiO2膜145形成到约70至200nm。在通过光刻对第二牺牲SiO2膜145进行构图后,回蚀刻牺牲SiC膜143以形成穿透第二牺牲SiO2膜145和牺牲SiC膜143的通孔147(图3)。
然后,在第二牺牲SiO2膜145的整个上表面上将作为阻挡金属膜113的Ta金属膜形成到约10至30nm。接着,通过溅射将Cu籽晶膜(未示出)形成到约50至150nm。随后,通过电镀技术从Cu籽晶膜开始将Cu电镀膜生长到约100至300nm,以便用Cu电镀膜填埋通孔147。然后,通过CMP去除形成在通孔147外面的Cu电镀膜,从而形成第一Cu通孔115。由此,形成了埋置在通孔147中的第一栓塞114(图4)。
接着,使用Cu硅化物层117作为蚀刻掩模,通过湿法蚀刻去除第二牺牲SiO2膜145(图5)。例如,蚀刻剂可以是含缓冲剂的HF(氢氟酸)。然后,通过干法蚀刻回蚀刻并蚀刻掉牺牲SiC膜143。由此,曝露第一栓塞114和第一互连108的上表面(图6)。
随后,硅化第一互连108和第一栓塞114的上表面,具体地通过SiH4处理,使用金属盖层技术,分别在第一互连108上和第一栓塞114上将作为盖层金属的Cu硅化物(CuSix)层111和Cu硅化物层117选择性地形成到膜厚度约2至15nm。这里,在第一互连108的上表面中,仅在未与第一栓塞114接触的区域中形成Cu硅化物层111。通过该步骤,在第一牺牲SiO2膜141上也形成了作为非选择性淀积物159的Si反应产物(图7)。
然后,通过使用含缓冲剂的HF的湿法蚀刻将第一牺牲SiO2膜141蚀刻掉(图8)。在该工艺期间,当去除第一牺牲SiO2膜141时,通过剥离(lift-off)法将第一牺牲SiO2膜141上的作为非选择性生长污染物的非选择性淀积物159去除,以便在保留第一互连108和第一栓塞114的同时曝露第一SiC膜103的表面,并曝露第一互连108的侧表面。
然后,在将形成为牺牲膜的绝缘膜完全去除之后,将第一多孔MSQ膜105涂覆到约70至200nm,以使其覆盖硅衬底(未示出)的整个上表面并填埋第一互连108之间的区域,然后焙烧,以形成与第一栓塞114和第一互连108相接触的绝缘膜(图9)。然后,通过EB(电子束)照射对第一多孔MSQ膜105的整个上表面进行EB处理,或通过使用UV灯的UV照射对第一多孔MSQ膜105的整个上表面进行UV处理,从而高度增强第一多孔MSQ膜105中的上部(图10)。然后,使用Cu硅化物层117作为CMP阻挡层对第一多孔MSQ膜105进行CMP处理,从而使第一多孔MSQ膜105的表面平坦化(图11)。
接着,从形成第二SiC膜119的步骤开始重复上述工序,从而在牺牲膜中形成阻挡金属膜123、第二Cu互连125、阻挡金属膜129和第二Cu通孔131。然后,去除牺牲层的一部分以曝露第二互连124和第二栓塞130的上表面。形成Cu硅化物层127和Cu硅化物层133,并且随后去除牺牲膜和牺牲膜上的非选择性淀积物。随后,在被去除的区域中埋置第二多孔MSQ膜121并将其高度增强。由此,在硅衬底(未示出)上形成了图1所示的多层互连结构,从而提供了半导体器件100。
图2所示的半导体器件110的制造基本与半导体器件100所述的相同,只是如图2所示,由于在第一互连109上形成通孔期间形成了未对准部,因此在通孔中埋置了代替第一Cu通孔115的第一Cu通孔116,以及在第二Cu互连125上进一步形成了代替第二Cu通孔131的具有未对准部139的第二Cu通孔132。
将描述本发明的效果。
在半导体器件100中,第一互连108的上表面部分地连接到第一栓塞114,而Cu硅化物层111形成在剩余区域中,并且没有在第一互连108和第一栓塞114之间形成盖层金属膜,而且它们相对彼此直接接触。因此,可以有效地降低第一互连108和第一栓塞114之间的连接电阻,并同时可靠地保护了第一互连108的上表面。在参考图22的现有技术所描述的半导体器件210中,在互连上形成了盖层金属并在该金属上淀积了阻挡金属,导致通孔电阻增大。相反,根据本实施例的构造,在形成第一互连108和第一栓塞114之后实施金属覆盖,由此可以防止通孔电阻增大。
在参考图22的相关技术所述的半导体器件210中,残留有非选择性淀积物223和非选择性淀积物231,导致互连之间漏电。
相反,在本实施例中,如前文中参考图7和8所描述的,在制造工艺期间去除了第一牺牲SiO2膜141,因而在去除第一牺牲SiO2膜141期间,在第一牺牲SiO2膜141上非选择性生长的非选择性淀积物159与第一牺牲SiO2膜141一起被剥离了。由此,防止了互连之间的漏电。
如上述作为现有技术描述的日本专利申请第2003-152077号的技术,前提是在互连上形成盖层金属后形成栓塞。而且,由于在随后的步骤中蚀刻掉了形成在互连上的盖层金属膜中的接触孔部分,因此被去除的材料会粘附到例如接触孔侧壁上,导致了例如阻挡金属膜的缺陷的形成。
相反,在本实施例中,在第一互连108和第一栓塞114的上表面被整体硅化形成盖层金属膜之前,就形成了第一互连108和第一栓塞114。由此,简化了制造工艺并删去了金属盖层的去除步骤。因此,通孔不会被盖层金属材料污染,结果防止了阻挡金属膜的缺陷的形成。
在日本专利申请第2003-152077号中,在互连上形成TEOS膜作为阻挡介电膜,以提供低介电常数的绝缘膜和TEOS膜的层叠结构。因此,即使当绝缘膜是低介电常数的膜时,也不能显著地降低有效介电常数。而且,在数据比急剧改变的边界区域中,相对致密的TEOS膜和低介电常数的绝缘膜之间存在分界面。在该结构中,由于数据比的不连续平面与膜结构的不连续平面处在相同的水平面中,因此,在位于将形成具有低数据比的栓塞的层中的绝缘中间层(即,低介电常数的绝缘膜)与TEOS膜之间的分界面中容易在T/C循环中发生分离。
相反,在本实施例中,在制造工艺期间去除了作为阻挡介电膜的牺牲SiC膜143,从而在从第一互连108侧表面到第一栓塞侧表面的区域中提供连续完整的第一多孔MSQ膜105。由此,可以降低有效介电常数。
在日本专利申请第2003-152077号中,使用了双大马士革工艺,因此没有在栓塞上形成盖层金属。相反,在本实施例中,在第一栓塞114上也形成了用作盖层金属的Cu硅化物层117。因此,在铜互连和连接到其上表面的通孔栓之间的分界面周围可以防止产生由空隙导致的所谓的应力(SIV)。由此,可以使有缺陷的接触或互连电阻的增加最小化,由此得到更可靠的半导体器件。
而且,由于同样在第一栓塞114上形成了作为盖层金属的Cu硅化物层117,因此可以防止作为互连或栓塞的构成金属的铜或含铜金属的迁移,从而与日本专利申请第2003-152077号中所述的双大马士革工艺相比,可以提高产品可靠性和产品产量。
此外,在半导体器件100中,分别在第一栓塞114或第二栓塞130底部的水平面中,第一多孔MSQ膜105或第二多孔MSQ膜121与其他膜之间不存在分界面。而且,第一多孔MSQ膜105和第二多孔MSQ膜112是成分或物理特性沿膜的法线方向逐渐改变的膜,并且分别在第一栓塞114或第二栓塞130的底部水平面中不存在成分或物理特性的不连续平面。因此,在层叠膜中可以避免由热膨胀系数不同而引起的分离,结果改进了热循环特性。而且,在具有不同介电常数的膜之间不存在分界面的构造可以有效地降低绝缘中间层的介电常数。
而且,由于在从第一互连108的底部水平面到第一栓塞114的底部水平面的范围内不存在成分或物理特性的不连续平面,因此在第一多孔MSQ膜105中的低密度区域中可以更可靠地防止分离或损伤。在第一栓塞114的底部水平面上方的部分中,第一多孔MSQ膜105具有比下部更高的密度,可能会存在成分或物理特性的不连续平面,但优选的是第一多孔MSQ膜105的成分或物理特性逐渐改变而没有不连续平面。由此,可以进一步提高互连结构的产品可靠性。
在本实施例中,第一多孔MSQ膜105具有更高的密度且由此提高了第一多孔MSQ膜105上表面附近的机械强度,即,在将形成第二互连124的层的分界面附近。而且,在第一多孔MSQ膜105和第二多孔MSQ膜121之间形成了第二SiCN膜119,从而使第二SiCN膜119能够用作第二互连124的支撑膜。
由此,沿半导体衬底(未示出)的法线方向,具有低密度的第二多孔MSQ膜121形成在金属膜中具有较高数据比的区域中,用于充分降低具体介电常数以便降低互连之间的电容。同时,为了确保形成多孔MSQ膜的急剧变小的区域的适当强度,因此,在具有将形成第一栓塞114的区域的边界面中,形成第二SiCN膜119作为第二多孔MSQ膜121的下层,即,第二SiCN膜119增强了第二多孔MSQ膜121。由此,将第二多孔MSQ膜121和第一多孔MSQ膜105形成连续完整的部分。因此,与第一栓塞114和第二互连124之间分界面周围的区域被单层膜覆盖的结构相比,可以大幅度地提高互连结构的强度。
第一多孔MSQ膜105是在形成第一互连108和第一栓塞114后埋置的膜。因此,这使得可以删除在淀积第一多孔MSQ膜105之后的精制(refining)步骤,结果省去了对第一多孔MSQ膜105的蚀刻或灰化处理。由此,可以防止第一互连108和第一栓塞114之间分界面附近的损伤。因此,可以防止形成在如参考图21所描述的情况中产生的损伤层217,结果提高了产品可靠性。
在形成第一互连108之后,在随后要去除的第一牺牲SiO2膜141和牺牲SiCN膜143保持层叠状态的同时(图3),形成了通孔147。由此,即使当如图2所示形成通孔147期间产生未对准部时,也可以防止通孔的蚀刻在未对准部137的深度方向上继续进行。因此,在阻挡金属膜113和包含第一栓塞114的第一Cu通孔115中可以防止有缺陷的填埋特性。由此,在产生未对准部时可以提高产品可靠性。而且,在形成通孔147期间,对作为蚀刻阻挡膜的牺牲SiC膜143和第一牺牲SiO2膜141进行处理,即,删去了在第一多孔MSQ膜105中处理所谓的未完全对准的(unlanded)通孔的步骤。因此,即使当存在未对准时,也可以在第一多孔MSQ膜105中可靠地形成第一栓塞118。
虽然已经描述了使用多孔MSQ膜作为低介电常数膜的情况,但在本实施例或下面的实施例中,绝缘膜可以是聚硅氧烷膜、氢化硅氧烷膜或任何多孔膜。例如,这些膜可以通过CVD或涂覆来形成,但不限于此。
聚硅氧烷的例子包括:
诸如MSQ(甲基倍半硅氧烷)的甲基聚硅氧烷;
诸如MHSQ(甲基氢倍半硅氧烷)的甲基氢聚硅氧烷;
OSG(有机硅玻璃);和
CDO(掺碳氧化物)。
氢化硅氧烷的例子包括:
HSQ(氢化倍半硅氧烷);和
诸如梯型氢化硅氧烷的梯型氧化物(ladder oxide)。在这些物质中,梯型氢化硅氧烷是具有梯型分子结构的聚合物,考虑到防止互连延迟,优选具有2.9或更低的介电常数并具有较低的膜密度。例如,优选的是,膜密度为1.50g/cm2至1.58g/cm2(包含二端点),且在633nm处的折射率为1.38至1.40(包含二端点)。这种膜材料的具体例子是已知的梯型氧化物L-OxTM(商标)(下文中,简称为“L-Ox”)。多孔L-Ox可以用作绝缘材料。
低介电常数绝缘膜可以是有机树脂膜,包括:
聚对二甲苯(parylene)树脂;
诸如Cytop(注册商标)的氟树脂;
诸如SiLK(注册商标)的非氟化含芳香族的有机树脂;
聚芳基醚(PAE);和
聚亚苯基。
例如,低介电常数膜的具体介电常数为3.5或更小,优选3或更小。低介电常数膜可以包含Si、O和H作为构成元素。可选地,低介电常数膜可以包含Si、C、O和H作为构成元素。
虽然在本实施例中,已经描述了直接在第一多孔MSQ膜105和第二多孔MSQ膜121下形成的增强绝缘膜是SiC膜的结构,但增强绝缘膜可以是任何膜,只要它具有比第一多孔MSQ膜105或第二多孔MSQ膜121更高的密度,且能够增强在互连层和栓塞层之间的分界面中形成的低介电常数绝缘膜的表面,特别是低介电常数绝缘中间层底部附近的具有较低密度的脆弱区域。例如,可以使用SiCN或SiON膜代替SiC膜。
低介电常数膜和增强绝缘膜的组合可以是聚有机硅氧烷膜、氢化硅氧烷膜或上述作为绝缘膜的任何一个多孔膜与作为增强绝缘膜的SiC膜、SiCN膜或SiON膜的组合。更优选地,使用具有SiC的多孔SiOC膜的组合。
将在下面的实施例中主要描述与实施例1不同的元件。
(实施例2)
尽管已经描述了实施例1中第一多孔MSQ膜105和第二多孔MSQ膜121为实心的,但是从第一互连108的侧壁到第一栓塞114的侧壁形成的绝缘膜中可以存在气隙,以使其覆盖第一互连108的侧壁、第一互连108的上部和第一栓塞114的侧壁。而且,从第二互连124的侧壁到第二栓塞130的侧壁形成的绝缘膜中也可以存在气隙。该实施例将描述这方面。
图12和13示出了根据该实施例的半导体器件结构的截面图。除了在互连和通孔之间的接合处中产生了未对准之外,图13中示出的半导体器件152具有与图12中示出的半导体器件150一样的结构。
除了第一SiO2膜151和第二SiO2膜153分别替换第一多孔MSQ膜105和第二多孔MSQ膜121之外,图12和13中示出的半导体器件分别具有与图1和2中示出的半导体器件一样的基本结构。第一SiO2膜151和第二SiO2膜153分别具有气隙155和157。气隙155和157分别形成在第一互连108之间和在第二多孔MSQ膜121和其周边中。第一SiO2膜151和第二SiO2膜153可以是没有成分或密度分布的膜。
将描述用于制造该实施例的半导体器件的方法。
可分别如同实施例1中所述的制造半导体器件100和110一样制造半导体器件150和152。形成第一SiO2膜151和第二SiO2膜153分别代替第一多孔MSQ膜105和第二多孔MSQ膜121。形成第一SiO2膜151和第二SiO2膜153分别包含形成气隙155和气隙157。
具体地,在用于半导体器件150的制造方法中,与以上参考图3到8所描述的一样,在SiO2膜上曝露第一SiCN膜103、第一互连108和第一栓塞114。
然后在第一SiCN膜103上淀积第一SiO2膜151作为低介电常数膜,并填充第一互连108之间的区域以由此形成气隙155。这里,从第一SiCN膜103的上表面到Cu硅化物层117上表面的高度大于第一互连108的宽度。因此,可提高其中埋有第一SiO2膜151的凹面的纵横比,因此可以可靠地形成气隙155。通过等离子体CVD淀积第一SiO2膜151,其条件例如是350℃到400°,包括350℃和400℃,以及5乇到8乇,包括5乇和8乇。因此在第一SiO2膜151中形成了气隙155(图14)。
然后使用Cu硅化物层117作为CMP掩模,通过CMP处理第一SiO2膜151,从而使第一SiO2膜151的表面平坦化(图15)。接着从形成第二SiC膜119重复以上步骤,以在第一SiO2膜151上曝露第二SiC膜119、第二互连124和第二栓塞130。然后,当在第二SiO2膜153中形成气隙157时,在第二Cu互连125之间和第二栓塞130之间填充第二SiO2膜153。与所述的形成第一SiO2膜151一样可形成第二SiO2膜153。随后,平坦化第二SiO2膜153,从而形成图12中示出的多层互连结构以提供半导体器件150。
尽管基本与所述的半导体器件150一样地制造了图13中示出的半导体器件152,但是当在第一Cu互连109上方形成通孔时产生了未对准,因此,在具有未对准部137的通孔中填充了代替第一Cu通孔115的第一Cu通孔116。此外,在第二Cu互连125上方,形成了具有未对准部139的第二Cu通孔132代替第二Cu通孔131。
将描述该实施例的效果。
再次,在该实施例中,从第一互连108的侧面到第一栓塞114的侧面形成连续整体的第一SiO2膜151。而且,在形成第一互连108和第一栓塞114之后形成第一SiO2膜151。第二SiO2膜153层也具有类似的结构。在该互连的上表面中,在除了将形成栓塞的区域以外的区域中淀积盖层金属。而且,分别通过第一SiC膜103和第二SiC膜119支撑第一互连108和第二互连124的下侧面。因此,可获得与实施例1相当的效果。
通过等离子体CVD形成作为绝缘中间层的第一SiO2膜151和第二SiO2膜153。使用该方法,在互连间隔小的区域中形成气隙,而在所述间隔大的区域中形成这样的结构,所述结构是通过没有气隙的SiO2膜所形成的。因此,可减小介电常数并且可在其需要的部分中保证机械强度。而且,在膜淀积之后的例如电子束或UV射线照射的增强工艺可以被省略,因此进一步简化了该制造方法。
尽管已经描述了具有气隙155和157的绝缘中间层是第一SiO2膜151和第二SiO2膜153的示例性结构,但是可使用低介电常数膜例如SiOC膜代替SiO2膜。因此,与在SiO2膜中一样,在互连间隔小的区域中形成气隙,并且与使用SiO2膜的情形相比,可进一步减小有效介电常数。此外,这些绝缘膜可由其他材料制成,例如实施例1中所列出的。
当使用低介电常数膜时,层间绝缘层的具体介电常数可为例如3.5或更小,优选3.2或更小。因此,可适当减小第一互连108和第二互连124的各互连之间的电容。而且,层间绝缘层的具体介电常数可为例如2.0或更大,优选2.5或更大。因此,可进一步改善层间绝缘层的强度,因此进一步改善了热循环特性。
(实施例3)
实施例2中的半导体器件可以具有没有第一SiC膜103或第二SiC膜119的结构。
图16和17示出了根据该实施例的半导体器件结构的截面图。除了在互连和通孔之间的接合处中产生了未对准之外,图17中示出的半导体器件162具有与图16中示出的半导体器件160一样的结构。
除了没有形成第一SiC膜103或第二SiC膜119之外,图16和17中示出的半导体器件分别具有与实施例2中所述的半导体器件150(图12)和半导体器件152(图13)一样的基本结构。
尽管可以与实施例2中所述的制造半导体器件150和半导体器件152一样制造半导体器件160和半导体器件162,但是在去除第一牺牲SiO2膜141(图8)之后,在形成第一SiO2膜151之前,通过干蚀刻对第一SiC膜103进行回蚀刻并蚀刻掉第一SiC膜103(图14)。而且在形成第二SiO2膜153之前去除第二SiC膜119。
根据该实施例,由于不存在第一SiC膜103或第二SiC膜119,因此与实施例2中的结构比较,可进一步有效地减小绝缘膜的有效介电常数。在该实施例中,即使与图17中示出的半导体器件162一样,在第一栓塞118和第一互连108之间产生了未对准,也因为Cu硅化物层117作为盖层金属形成在第一栓塞118上,因此可以防止Cu扩散到绝缘中间层中。
(实施例4)
在实施例1和2的结构中,在栓塞上可以没有盖层金属。作为示例将描述实施例1的结构,但是该实施例的结构可应用于实施例2中的结构。
图18和19示出了根据该实施例的半导体器件结构的截面图。除了在互连和通孔之间的接合处中产生了未对准之外,图19中示出的半导体器件172具有与图18中示出的半导体器件170一样的结构。
除了没有形成Cu硅化物层117或Cu硅化物层133之外,图18和19中示出的半导体器件分别具有与实施例1中所述的半导体器件100(图1)和半导体器件110(图2)一样的基本结构。在没有Cu硅化物层的情况下,第一互连108与第一栓塞114或第二栓塞118相接触。在没有Cu硅化物层的情况下,第二互连124也与第二栓塞130或第二栓塞134接触。半导体器件170和半导体器件172具有在第一栓塞114上形成的且与第一栓塞114接触的第二互连124,以及具有第二增强绝缘膜(第二SiC膜119),该第二增强绝缘膜与第二互连124的下侧面接触并且具有比第一多孔MSQ膜105更高的膜密度,且栓塞保护膜是第二SiC膜119。SiN膜或SiON膜可替换第二SiC膜119。
根据该实施例的制造半导体器件的方法具有如下步骤:在形成第一多孔MSQ膜105之后,机械并且化学地抛光第一多孔MSQ膜105和Cu硅化物层117,从而去除第一栓塞114上的第一多孔MSQ膜105和第一栓塞114上的Cu硅化物层117。该方法还具有如下步骤:在去除第一栓塞114上的Cu硅化物层117之后,在第一多孔MSQ膜105上形成绝缘栓塞保护膜(第二SiC膜119)。
更具体地,尽管可与实施例1中所述的制造半导体器件100和半导体器件110一样制造半导体器件170和半导体器件172,但是在平坦化第一多孔MSQ膜105(图11)之后,进一步通过CMP去除Cu硅化物层117,以及在平坦化第二多孔MSQ膜121之后,进一步通过CMP去除Cu硅化物层133。例如通过使用Cu硅化物层117作为掩模进行抛光,然后通过不可抛光Cu膜但可抛光Cu硅化物层的稀浆进行导电抛光(conducting polishing),从而去除Cu硅化物层117上的第一多孔MSQ膜105,从而去除这些Cu硅化物层。与实施例3相反,在该实施例中保留第一SiC膜103和第二SiC膜119作为栓塞保护膜。
根据该实施例,在第一栓塞114、第一栓塞118、第二栓塞130和第二栓塞134上不存在盖层金属,因此可更有效地减小这些栓塞中的任一个与其上的互连之间的连接阻抗。而且,在该实施例中,第一栓塞114和第一栓塞118的上表面可被第二SiC膜119覆盖,从而可防止Cu扩散到绝缘中间层。
已经参考了一些实施例描述了本发明。对于本领域普通技术人员来说明显的是:这些实施例仅仅是示例性的,可以作出多种变化并且这些变化都包含在本发明中。
例如,在以上的实施例中,对于半导体器件的横截面来说,可通过TEM(透射电子显微镜)来确定绝缘膜的膜密度。
尽管在该实施例中已经描述了具有两个互连结构层叠的构造,其中在所述结构中在互连层上形成栓塞,但是不具体限制层叠的互连结构的数量。即使在三层或更多层的层叠中,也可使用该实施例的结构以有效减小在互连之间的连接阻抗以及在这些互连之间的电容,并且提供产品稳定性。
尽管在该实施例中已经描述了通过CVD可选择性地生长Cu硅化物层以作为盖层金属膜的情形,但是,可以选择性地生长Cu硅氮化物层。可替换地,通过选择性地电镀CoWP膜或CoWB膜或者通过CVD选择性地生长W膜也可以形成盖层金属膜。
(示例)
在该示例中,对于为了作为低介电常数绝缘中间层的材料的多孔SiOC对淀积后经过后处理的膜质量的变化进行了研究。
形成厚约200nm的多孔SiOC膜并用EB(电子束)照射,并改善膜质量。例如,在以100ppm或更小的氧气浓度的氛围下、以0.2mC/cm2和摄氏350度的电子照射,在2.35的介电常数处,机械强度(系数)为7GPa,表明与EB处理之前相比提高了约两倍或更多。
用于EB处理之前和之后的多孔SiOC膜的横截面的TEM(透射电子显微镜)表明:EB照射侧比相对侧具有更高的密度。当与EB照射侧的背面的距离增加时,该膜具有更高密度,并且没有密度不连续变化的区域。
然后通过FTIR光谱法(Furier Transmittance Infra Red光谱法)评估膜质量。图20示出了多孔SiOC膜的FTIR频谱的图表。图20给出了在EB照射之前在约1150cm-1(1100到1200cm-1)处具有峰值的键的吸收频谱,该键大概表示笼型Si-O结构,以及在约1050cm-1(1000到1100cm-1)处具有峰值的键的吸收频谱,该键大概表示梯型Si-O结构。相反,在上述EB照射之后,反映笼型Si-O结构的1150cm-1附近的峰值强度减小,而反映梯型Si-O结构的1050cm-1附近的峰值强度增加。因此,EB照射可改变Si-O键状态。
对于更厚的多孔SiOC膜来说,如上地对该膜进行处理。然后,在长度方向产生膜结构中的分布。具体地,在梯型Si-O结构中表面部分比较深部分更浓,而在笼型Si-O结构中较深部分比较浅部分更浓。
EB照射减小了在约3000cm-1具有峰值的键的吸收带的峰值强度,该键源于C-H键。这表明在EB照射侧中碳浓度变得低于相对侧。
通过进一步增加EB剂量,可进一步改善机械强度。观察到在该膜中,与EB照射之前相比,在EB照射之后,EB照射侧中Si-O键增加了而C-H键减少了。
当使用UV(紫外)射线灯代替EB时,观察到类似趋势。
当使用氢化聚硅氧烷代替SiOC作为低介电常数材料时,观察到类似的EB照射效应。
明显的是,本发明不限于以上实施例,在不背离本发明的保护范围和精神情况下可以进行修改和改变。

Claims (20)

1.一种具有半导体衬底和互连结构的半导体器件,所述互连结构包括:
半导体衬底;
由含铜金属构成的第一互连,其形成在所述半导体衬底上;
导电栓塞,其形成在所述第一互连上并连接到所述第一互连;
第一盖层金属膜,其位于所述第一互连上的除了形成所述栓塞的区域以外的区域中,所述第一盖层金属膜由铜硅化物构成;
栓塞保护膜,其形成在所述栓塞上;以及
绝缘膜,其形成在从所述第一互连侧表面到所述栓塞侧表面的区域上,并覆盖所述第一互连的侧表面、所述第一互连的上部和所述栓塞的侧表面。
2.如权利要求1所述的半导体器件,包括第一增强绝缘膜,其位于所述绝缘膜的下面,与所述第一互连侧表面的底部相接触,并具有比所述绝缘膜更高的膜密度。
3.如权利要求1所述的半导体器件,其中所述第一盖层金属膜是通过对所述第一互连的上部进行硅化处理而形成的膜。
4.如权利要求1所述的半导体器件,其中所述栓塞保护膜是由与所述第一盖层金属膜相同的材料构成的第二盖层金属膜。
5.如权利要求4所述的半导体器件,进一步包括第二互连,该第二互连通过所述第二盖层金属膜形成在所述栓塞上。
6.如权利要求1所述的半导体器件,包括:
形成在所述栓塞上并与所述栓塞相接触的第二互连,和
第二增强绝缘膜,其与所述第二互连的下部侧表面相接触并具有比所述绝缘膜更高的膜密度,
其中所述栓塞保护膜是所述第二增强绝缘膜。
7.如权利要求1所述的半导体器件,其中所述绝缘膜由低介电常数的材料构成,且所述绝缘膜上部的膜密度比所述绝缘膜下部的要高。
8.如权利要求1所述的半导体器件,其中所述绝缘膜由低介电常数的材料构成,且所述绝缘膜上部的机械强度比所述绝缘膜下部的要高。
9.如权利要求1所述的半导体器件,其中所述绝缘膜由低介电常数的材料构成,该低介电常数的材料包括碳作为构成元素,且所述绝缘膜上部的碳浓度比所述绝缘膜下部的要低。
10.如权利要求7所述的半导体器件,其中所述绝缘膜是通过电子束照射或UV射线照射处理过的膜。
11.如权利要求10所述的半导体器件,其中所述绝缘膜是从由聚硅氧烷膜、氢化硅氧烷膜和这些膜的多孔膜构成的组中选出的。
12.如权利要求1所述的半导体器件,其中在所述绝缘膜中形成有气隙。
13.如权利要求1所述的半导体器件,其中
将多个所述互连结构层叠;以及
将下面的互连结构中的所述栓塞连接到上面的互连结构中的所述第一互连。
14.一种制造半导体器件的方法,包括:
在半导体衬底上形成第一牺牲绝缘中间层,并在所述第一牺牲绝缘中间层中埋置含铜金属膜,从而形成第一互连;
在所述第一牺牲绝缘中间层上形成第二牺牲绝缘中间层,选择性地去除所述第二牺牲绝缘中间层以形成孔,从所述孔的底部曝露所述第一互连上表面的一部分,并在所述孔中埋置含铜金属膜,以形成连接到所述第一互连上表面的一部分上的栓塞;
在形成所述栓塞之后,去除所述第二牺牲绝缘中间层,以曝露所述第一互连的上表面;
在曝露所述第一互连的上表面之后,同时在所述第一互连的上表面上和所述栓塞上形成盖层金属膜,所述第一盖层金属由铜硅化物构成;以及
在同时形成所述盖层金属膜之后,去除所述第一牺牲绝缘中间层以曝露所述第一互连的所述侧表面,以及形成覆盖所述第一互连侧表面、所述第一互连上部和所述栓塞侧表面的绝缘膜。
15.如权利要求14所述的制造半导体器件的方法,包括:在形成所述绝缘膜之后,机械和化学地抛光所述绝缘膜,并在所述栓塞上的所述盖层金属膜处停止所述抛光。
16.如权利要求14所述的制造半导体器件的方法,包括:在形成所述绝缘膜之后,机械和化学地抛光所述绝缘膜和所述盖层金属膜,以去除所述栓塞上的所述绝缘膜和所述栓塞上的所述盖层金属膜。
17.如权利要求16所述的制造半导体器件的方法,包括:在去除所述栓塞上的所述盖层金属膜之后,在所述绝缘膜上形成绝缘的栓塞保护膜。
18.如权利要求14所述的制造半导体器件的方法,包括:在形成所述绝缘膜之后,在所述绝缘膜上形成第三牺牲绝缘中间层,并将含铜金属膜埋入所述第三牺牲绝缘中间层中,从而形成连接到所述栓塞的第二互连。
19.如权利要求14所述的制造半导体器件的方法,其中所述的同时形成所述盖层金属膜的步骤是同时对所述第一互连和所述栓塞的上部进行硅化处理。
20.如权利要求14所述的制造半导体器件的方法,其中
在形成所述第一牺牲绝缘中间层之前,在所述半导体衬底上形成增强绝缘膜;以及
所述的形成所述第一互连的步骤包括:依次选择性地去除所述第一牺牲绝缘中间层和所述增强绝缘膜,以形成穿透所述第一牺牲绝缘中间层和所述增强绝缘膜的沟槽,并将所述含铜金属膜埋入所述沟槽中。
CNB2006100717255A 2005-03-17 2006-03-16 半导体器件及其制造方法 Active CN100405595C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005077720A JP5204370B2 (ja) 2005-03-17 2005-03-17 半導体装置およびその製造方法
JP2005077720 2005-03-17

Publications (2)

Publication Number Publication Date
CN1835226A CN1835226A (zh) 2006-09-20
CN100405595C true CN100405595C (zh) 2008-07-23

Family

ID=37002909

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100717255A Active CN100405595C (zh) 2005-03-17 2006-03-16 半导体器件及其制造方法

Country Status (3)

Country Link
US (1) US7969010B2 (zh)
JP (1) JP5204370B2 (zh)
CN (1) CN100405595C (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080079159A1 (en) * 2006-10-02 2008-04-03 Texas Instruments Incorporated Focused stress relief using reinforcing elements
JP2008130753A (ja) * 2006-11-20 2008-06-05 Nec Electronics Corp 半導体チップおよびその製造方法
JP5326202B2 (ja) * 2006-11-24 2013-10-30 富士通株式会社 半導体装置及びその製造方法
US7803693B2 (en) * 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
KR100800495B1 (ko) * 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2009117743A (ja) * 2007-11-09 2009-05-28 Panasonic Corp 半導体装置及びその製造方法
KR101123522B1 (ko) 2008-03-24 2012-03-12 후지쯔 가부시끼가이샤 배선 기판, 반도체 장치 및 반도체 장치의 제조 방법
JP2009278000A (ja) 2008-05-16 2009-11-26 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
JP2012530362A (ja) * 2009-06-19 2012-11-29 アイメック 金属/有機誘電体界面でのクラックの低減
JP2012009490A (ja) * 2010-06-22 2012-01-12 Toshiba Corp 半導体装置およびその製造方法
KR20130092570A (ko) * 2010-08-31 2013-08-20 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
JP2012054306A (ja) * 2010-08-31 2012-03-15 Tokyo Electron Ltd 半導体装置の製造方法
JP5560144B2 (ja) * 2010-08-31 2014-07-23 東京エレクトロン株式会社 半導体装置の製造方法
KR20120053799A (ko) * 2010-11-18 2012-05-29 삼성전자주식회사 반도체 장치 및 반도체 장치의 형성 방법
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
JP2013026347A (ja) 2011-07-19 2013-02-04 Toshiba Corp 半導体装置およびその製造方法
JP2013105891A (ja) * 2011-11-14 2013-05-30 Toshiba Corp 半導体装置およびその製造方法
KR20130092884A (ko) * 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 반도체 소자의 배선 구조체 및 제조 방법
US9214429B2 (en) 2013-12-05 2015-12-15 Stmicroelectronics, Inc. Trench interconnect having reduced fringe capacitance
US20150162277A1 (en) * 2013-12-05 2015-06-11 International Business Machines Corporation Advanced interconnect with air gap
CN103956349B (zh) * 2014-05-20 2016-06-15 株洲南车时代电气股份有限公司 功率半导体芯片的铜金属化结构及其制作方法
KR102380774B1 (ko) 2014-11-14 2022-04-04 삼성전자주식회사 슬러리 화합물 이를 이용한 반도체 소자의 제조 방법
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US10510657B2 (en) * 2017-09-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with interconnecting structure and method for manufacturing the same
KR102450580B1 (ko) * 2017-12-22 2022-10-07 삼성전자주식회사 금속 배선 하부의 절연층 구조를 갖는 반도체 장치
US11037799B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd Metal heterojunction structure with capping metal layer
US20220123040A1 (en) * 2019-03-07 2022-04-21 Sony Semiconductor Solutions Corporation Semiconductor device and imaging unit
CN112786525B (zh) * 2019-11-07 2023-07-07 长鑫存储技术有限公司 半导体器件及其形成方法
US11637036B2 (en) * 2020-01-30 2023-04-25 International Business Machines Corporation Planarization stop region for use with low pattern density interconnects
US11309263B2 (en) * 2020-05-11 2022-04-19 Nanya Technology Corporation Semiconductor device structure with air gap structure and method for preparing the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511879B1 (en) * 2000-06-16 2003-01-28 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
JP2003152077A (ja) * 2001-11-15 2003-05-23 Hitachi Ltd 半導体装置および半導体装置の製造方法
CN1457095A (zh) * 2002-05-08 2003-11-19 日本电气株式会社 具有含硅金属布线层的半导体器件及其制造方法
CN1135607C (zh) * 1997-09-02 2004-01-21 恩益禧电子股份有限公司 半导体装置的制造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5981378A (en) * 1997-07-25 1999-11-09 Vlsi Technology, Inc. Reliable interconnect via structures and methods for making the same
JP2971454B2 (ja) * 1997-08-21 1999-11-08 松下電子工業株式会社 半導体装置とその製造方法
JP2000150517A (ja) * 1998-11-16 2000-05-30 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3353727B2 (ja) * 1998-12-21 2002-12-03 日本電気株式会社 半導体装置の配線構造の形成方法
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
US6350679B1 (en) * 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
SG125881A1 (en) * 1999-12-03 2006-10-30 Lytle Steven Alan Define via in dual damascene process
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
JP2001274237A (ja) * 2000-03-23 2001-10-05 Sanyo Electric Co Ltd 半導体装置の製造方法
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP2002110788A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
JP3654830B2 (ja) * 2000-11-17 2005-06-02 松下電器産業株式会社 半導体装置及びその製造方法
JP2002158213A (ja) * 2000-11-21 2002-05-31 Sharp Corp 半導体装置の製造方法
US6984892B2 (en) * 2001-03-28 2006-01-10 Lam Research Corporation Semiconductor structure implementing low-K dielectric materials and supporting stubs
JP2002299437A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法
JP2003209111A (ja) * 2002-01-17 2003-07-25 Sony Corp 半導体装置の製造方法
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US7214594B2 (en) * 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US20040023552A1 (en) * 2002-07-30 2004-02-05 Wilson Chen Serial ATA cable
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
JP4527948B2 (ja) * 2003-05-23 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4344841B2 (ja) * 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
JP3992654B2 (ja) * 2003-06-26 2007-10-17 沖電気工業株式会社 半導体装置の製造方法
JP4143845B2 (ja) * 2003-11-26 2008-09-03 Jsr株式会社 絶縁膜およびその形成方法、ならびに絶縁膜を有する積層体およびその形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1135607C (zh) * 1997-09-02 2004-01-21 恩益禧电子股份有限公司 半导体装置的制造方法
US6511879B1 (en) * 2000-06-16 2003-01-28 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
JP2003152077A (ja) * 2001-11-15 2003-05-23 Hitachi Ltd 半導体装置および半導体装置の製造方法
CN1457095A (zh) * 2002-05-08 2003-11-19 日本电气株式会社 具有含硅金属布线层的半导体器件及其制造方法

Also Published As

Publication number Publication date
US7969010B2 (en) 2011-06-28
JP5204370B2 (ja) 2013-06-05
CN1835226A (zh) 2006-09-20
JP2006261440A (ja) 2006-09-28
US20060211235A1 (en) 2006-09-21

Similar Documents

Publication Publication Date Title
CN100405595C (zh) 半导体器件及其制造方法
CN101335257B (zh) 半导体装置及其制造方法
US6333255B1 (en) Method for making semiconductor device containing low carbon film for interconnect structures
US6265321B1 (en) Air bridge process for forming air gaps
KR101369361B1 (ko) 일체형 크랙 스탑 구조물을 구비한 반도체 장치
US8093679B2 (en) Integrated BEOL thin film resistor
CN100369247C (zh) 改进的hdp氮化物基ild盖层
US7459391B2 (en) Semiconductor device and method of fabricating the same
CN101110386B (zh) 内连线结构以及形成内连线结构的方法
KR101674057B1 (ko) 강화된 복합 절연막을 포함하는 반도체 칩 구조 및 그 제조 방법
US6875686B2 (en) Method for fabricating a structure of interconnections comprising an electric insulation including air or vacuum gaps
KR100714476B1 (ko) 반도체 장치 및 그 제조 방법
JP4525534B2 (ja) 半導体装置の製造方法
CN100550366C (zh) 半导体芯片
JP2006319116A (ja) 半導体装置およびその製造方法
KR100914976B1 (ko) 반도체 소자의 제조방법
US20060180934A1 (en) Wiring structures for semiconductor devices
US20070293034A1 (en) Unlanded via process without plasma damage
KR100950761B1 (ko) 반도체 소자의 제조방법
KR100924545B1 (ko) 반도체 소자의 제조방법
TW200805488A (en) Unlanded via process without plasma damage

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: RENESAS ELECTRONICS CORPORATION

Free format text: FORMER NAME: NEC CORP.

CP01 Change in the name or title of a patent holder

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

CP02 Change in the address of a patent holder

Address after: Tokyo, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: Renesas Electronics Corporation

CP02 Change in the address of a patent holder