CN100369247C - 改进的hdp氮化物基ild盖层 - Google Patents

改进的hdp氮化物基ild盖层 Download PDF

Info

Publication number
CN100369247C
CN100369247C CNB200510124664XA CN200510124664A CN100369247C CN 100369247 C CN100369247 C CN 100369247C CN B200510124664X A CNB200510124664X A CN B200510124664XA CN 200510124664 A CN200510124664 A CN 200510124664A CN 100369247 C CN100369247 C CN 100369247C
Authority
CN
China
Prior art keywords
layer
hdp
nitride
ild
cap rock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB200510124664XA
Other languages
English (en)
Other versions
CN1790702A (zh
Inventor
王允愈
理查德·A·康蒂
伍仲平
马修·C·尼古拉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1790702A publication Critical patent/CN1790702A/zh
Application granted granted Critical
Publication of CN100369247C publication Critical patent/CN100369247C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

一种氮化物叠层盖层,在保持Cu顶部上HDP氮化物电迁徒益处的同时,可防止腐蚀透HDP氮化物。在一种实施方式中,该叠层包含第一层HDP氮化物和在第一层上的Si-C-H化合物第二层。例如Si-C-H化合物为BloK或NBLoK(Si-C-H-N),并选自在通道RIE期间有高选择性的材料组,使得RIE化学反应不致穿通下一引线层。碳和氮是关键元素。在另一种实施方式中,叠层包含第一层HDP氮化物,接着为第二层UVN(等离子体氮化物),以及在第二层上的包含HDP氮化物的第三层。

Description

改进的HDP氮化物基ILD盖层
技术领域
本发明涉及到半导体器件的制作,更确切地说,是涉及到互连结构如镶嵌式互连结构的层间介电(ILD)盖层技术。
背景技术
集成电路(IC)器件(亦称为半导体芯片)可包含数百万只晶体管和其他电路元件,这些元件都制作在一块单晶硅衬底(晶片)上。在IC器件工作时,通常复杂的网络信号将被路由选择以连接分布在器件表面上的电路元件。随着电路元件的复杂性增大,元件数增多,对器件上这些信号的有效路由选择就越难。因此,更加希望形成多层面的互连方案,如双镶嵌引线(互连)结构,因为这会在复杂IC上大量的晶体管与其他电路元件间有效地提供高速的信号路由图形。
镶嵌技术
一般说来,镶嵌技术包括:淀积层间介电(ILD)层,如二氧化硅(SiO2;也简称为“氧化物”);在ILD中制作开孔;用金属例如铜(Cu)过填充此开孔;然后用化学机械抛光(CMP)法除去过多的金属,而得到平面的互连结构。这就在多层布线的全部“互连结构”中得到了一个“引线层”(或“互连层”)。此互连层中的开孔可为与集成电路(IC)芯片表面平行延伸的沟槽,而填充的沟槽称为“引线”或“线条”。引线被用来从晶片上第一处将信号路由选择至远离该处的第二处。制作引线的沟槽可以只从其上表面平行地部分延伸到ILD的厚度中(例如,一半厚度)。在某种意义上,铜导体是“镶嵌”在ILD中的。
作为替代,互连层中的开孔也可为垂直于IC表面完全穿过ILD层的通道,用来使较高引线层或本引线层的上层引线(在下面描述的双镶嵌结构中)与较低引线层的下层引线相连。填充的通道典型地简称为“通道”,有时也称为“柱塞”,尤其是与下层的第一金属化层(M1)或下层的MOS(金属-氧化物-半导体)结构元件连接时。通道和引线这里都称为“导体”,因为其目的是传输电信号。
在“双”镶嵌技术中,ILD中的开孔包括与上层沟槽部分连接的下接触或通道孔部分,且通道孔和沟槽部分是同时填充的。
目前,制作在集成电路芯片上的互连结构包括最小光刻特征尺寸(现在约为0.25μm(微米),表示为1×(称为“细线”))的至少约2-8个引线层,在这些层上面的约2-4个引线层(称为“宽线”),其线宽为约2×或约4×细线最小线宽。通道的典型宽度约为130nm(纳米),且通常有多余的通道来有效地连接上层和下层的引线。1μm(微米)=1000nm(纳米)。
在互连金属化中铜(Cu)和Cu合金作为替代铝(Al)和Al合金的候选者已受到显著的注意。Cu较贵,易加工,且比Al有较低的电阻率。此外,与钨(W)相比,Cu的电学性质有改善,使之成为用作柱塞和导电引线所希望的金属。这里所用的“Cu”包括高纯元素铜及Cu基合金,例如含有少量锡、锌、锰、钛、镁、锗、铝和硅的Cu合金。
由于Cu会通过层间介电层(ILD)材料如二氧化硅而扩散,Cu互连结构应被扩散阻挡层(或“衬层”)包围。常规的作法是在ILD中制作镶嵌开孔,然后在通道或引线淀积Cu之前,在ILD中开孔的侧壁和底部衬以淀积的阻挡层如TaN。
典型的扩散阻挡层金属包括钽(Ta)、氮化钽(TaN)、氮化钛(TiN)、钛-钨(TiW)、钨(W)、氮化钨(WN)、Ti-TiN、氮化钛硅(TiSiN)、氮化钨硅(WSiN)、氮化钽硅(TaSiN)、以及包围Cu层的氮化硅。使用这样的阻挡层材料来包围Cu的优点是不仅限于Cu与介电层之间的界面,也可包括Cu与其他金属之间的界面。
任何Cu导体的上表面(典型地,一条指定的引线将总是由一通道与上层导体的下表面连接)也必须予以保护,例如防止氧化。为封盖铜互连线的上表面,典型地使用介电材料的“盖层”如氮化硅(Si3N4;也简称为“氮化物”)。盖层也称为“钝化层”。通常钝化层在后面的工艺中也必须起止蚀层的作用,然而,最佳的止蚀层材料却往往不是最佳的钝化层。例如,氮氧化硅(SiON)是有用的止蚀层,但因为在铜与氮氧化硅间会剥离(delamination)而不大希望用作钝化层。氮化硅(SiN)避免了起层问题,因而是优选的钝化材料,但不大希望用作止蚀层。
图1说明了利用上述的铜金属化、阻挡层及保护盖层的常规BEOL(后端线)互连结构100。所说明的互连结构100包含第一互连层110和第二互连层130,并表示为(作为实例)制作在衬底102如半导体晶片上,晶片含有多个逻辑电路元如晶体管。在衬底102中示出的一个“普通”接触区104是,例如,制作在MOSFET(金属-氧化物-半导体场效应晶体管)源区或漏区的电极。
应清楚地了解,图1所说明的只是集成电路(IC)器件的一个极小(可用显微镜看到)部分,而半导体晶片包含有大量这样的器件。例如,所示者可为半导体晶片上仅有几个微米(μm)的宽度,而晶片的直径为数英寸。再者,在“现实生活”中的事物并不如所示那样整齐清楚,线性和均匀。然而,对于与本发明有密切关系的本领域的一名技术人员,当考虑相关描述的正文的前后关系时,本专利申请中所示的此图和其他图对了解本发明将是很有用的。
第一互连层110包含层间介电层(ILD)112,例如用化学汽相沉积法(CVD)制备的氧化物,其典型厚度为8,000-10,000(埃),或800-1000nm(纳米)。(1nm=10)
在“先通道”的镶嵌工艺中,例如用反应离子刻蚀(RIE)法制作通道116,使之延伸至ILD 112的下表面(在此情形下就是衬底102上的电极104)。然后,制作(也用RIE法)沟槽114,它从ILD 112的上表面(如图所示)向ILD 112中扩展,其深度通常为数(例如,4-5)千埃。
沟槽114和通道116构成了ILD 112中的“开孔”。阻挡层118如TaN,用例如溅射或CVD法来淀积,使之衬在ILD 112中“开孔”的侧壁和底部。阻挡层118的典型厚度为600-1000,而金属阻挡层也淀积在ILD 112的上表面上。
然后在有衬层的开孔中淀积铜(Cu)120。接着进行化学机械抛光(CMP)从ILD 112表面除去过量的阻挡层材料和铜,留下平整的表面以进行下面的半导体制作工艺。铜120在沟槽114中形成引线(或线条),而在通道116中形成了柱塞(或通道)。由于引线的上表面是曝露的,用CVD法在引线表面上淀积盖层122,如氮化物,其典型厚度为500(50nm)。此盖层122最终被图形化,在淀积下一个介电层(132)后,用光致抗蚀剂(未示出)和常规的光刻技术制作开孔124,使后面(上层)引线层(130)的通道(136)可与沟槽114中的引线120接触。
第二互连层130制作在第一互连层110上,并与之基本相同。两个互连层110和130都是由双镶嵌工艺制作的。第二互连层130包含层间介电层(ILD)132,例如氧化物。用RIE法制作延伸至ILD 132下表面的通道136(对比116)。在ILD 132中制作沟槽134(对比114)。沟槽134和通道136构成了ILD 132中的“开孔”。
制作通道136后,对盖层122开孔124,使得在第二互连层130的通道136中填充的金属140,可与下面第一互连层110的沟槽114中填充的金属120形成电接触。
淀积阻挡层138,例如TaN,使在ILD 132开孔的侧壁和底部形成衬层,其工艺过程如上所述。在有衬层的开孔中淀积铜(Cu)140,其工艺过程如上所述。铜140在沟槽134中形成引线(或线条),而在通道136中形成了柱塞(或通道)。在ILD 132和引线140表面上淀积盖层142,例如氮化物。如有需要,将对盖层142开孔(对比124),以使后面的(上层)引线层(未示出)通道(未示出)与沟槽134中的引线140形成接触。
图1所示的双镶嵌互连结构100是利用本领域技术熟练人员所知的常规镶嵌工艺步骤制作的。由于这样的技术是众所周知的,且对了解本发明不是关键的,所以这里不对之作详细讨论。为了说明得清楚起见,应知已省略了如籽层、粘附层、清洗步骤等各种步骤和材料。
介电层112和132可为相同或不同的无机或有机绝缘材料。合适的介电材料包括,但不限于:SiO2、富碳氧化物、氟化SiO2、聚酰亚胺、金刚石、类金刚石的碳、硅聚合物、paralyene聚合物、氟化类金刚石的碳、以及其他类似的介电化合物。
低κ介电材料
半导体器件典型地由互连结构(例如,金属引线)连接在一起而形成有用的电路,互连结构包含导体材料如铜(Cu)或铝(Al)及介电材料如二氧化硅(SiO2)。这些互连结构的速度可粗略地设为与引线电阻(R)和线间电容(C)的乘积成反比。用铜(Cu)代替铝(Al)可减小引线电阻(因而,提高速度)。为进一步减少延迟和提高速度,也希望降低电容(C)。这里可用的一种方法是降低层间介电层(ILD)介电材料的介电常数“κ”。因此,很有兴趣开发与集成电路技术兼容的“低κ”介电材料及其淀积方法。
通常用于层间介电层(ILD)的介电材料为二氧化硅(SiO2;也简称为“氧化物”)。氧化物的介电常数κ至少为3.85,典型地为4.1-4.3,或更高。空气的介电常数κ接近1.0。按照定义,真空的介电常数κ为1.0。
已经知道各种低κ介电材料,并定义为介电常数κ小于3.85的材料,换言之,介电常数小于氧化物。有时,将κ<2.5的材料称为“超低κ”材料。这些低κ和超低κ介电材料一般可由其组分和/或其典型的淀积方法来表征。
淀积是一种工艺,借此工艺在半导体晶片表面上沉积电绝缘的(介电膜)或导电的材料膜。化学汽相沉积法(CVD)通过反应室中各种气体间发生化学反应而用来淀积介电膜和导体膜。等离子体增强化学汽相沉积法(PECVD)使用感应耦合等离子体来在淀积过程中产生各种不同的离子和原子物质。与相应的热CVD工艺相比,PECVD典型地得到低温淀积膜。旋转涂敷淀积用来淀积如光致抗蚀剂之类的材料,也可用来淀积介电材料。晶片被敷以液态材料,然后以高达6000转/分的速率自转,在此期间,液体因离心力而均匀地分布在表面上,接着用低温烘烤使材料固化。
旋转涂敷低κ材料的实例包括:
-由Dow Chemical出售的BCB(二乙烯基硅氧烷双苯并环丁烯)
-由Dow Chemical出售的SiLKTM,一种κ=2.65的有机聚合物,与BCB相似。
-由Honeywell出售的NANOGLASSTM,一种κ=2.2的无机多孔聚合物。
-FLARE 2.0TM介电材料,一种有机低κ聚(芳基烯)醚,购自Allied Signal,Advanced Microelectronic Materials,Sunnyvale,Calif.
-无机材料如旋转涂敷的玻璃(SOG)、氟化硅玻璃(FSG)以及,特别是,掺甲基的多孔石英,被专业技术人员称为黑金刚石或BD。
-有机硅酸盐材料,如JSR LKD 5109(一种旋转涂敷材料,JapanSynthetic Rubber)。
-有机聚合物(氟化物或非氟化物)、无机聚合物(非多孔的)、无机-有机混合物、或多孔材料(干凝胶或气凝胶)。
-聚对二甲苯族聚合物材料、聚萘族聚合物材料、或聚四氟乙烯。
低κ化学汽相沉积(CVD)和等离子体增强CVD(PECVD)低κ材料的实例包括:
-由Applied Materials Inc.出售的黑金刚石TM,一种Si-O-C-H型材料的有机硅玻璃(OSG),介电常数κ为2.7-3.0(例如2.9)。
-由Novellus System,Inc.出售的CORALTM,也是一种Si-O-C-H型材料的有机硅玻璃(OSG),介电常数κ为2.7-3.0。
-氟化SiO2玻璃(FSG),以及
-类金刚石的碳或掺氟的类金刚石碳(无定形C:F)。
也知道介电材料中的气孔可降低介电常数。低κ介电材料可典型地从一开始就淀积为有或没有气孔,取决于工艺条件。由于空气的介电常数接近1,多孔膜呈现出比其基材低的介电常数。一般说来,旋转涂敷的材料(例如,SiLK,NANOGLASS)呈高度的多孔性。PECVD材料由于淀积方法而一般不呈这样的高度多孔性。因此很难制备κ值<2.5的CVD膜。对于有气孔的低κ介电材料,重要的是在多孔介电层上覆盖对其起湿气阻挡作用的附加层或膜。
盖层
由于在淀积铜后需要低温工艺,盖层(也称为“帽层”)典型地是在低于450℃的温度下淀积的。因此,盖层的淀积典型地是用等离子体增强化学汽相沉积法(PECVD)或高密度等离子体化学汽相沉积法(HDP CVD)来实现的,其淀积温度一般约为200-500℃。
PECVD已被用于半导体器件制作中的许多其他应用。然而,在对铜互连使用帽层如氮化硅时,常规的PECVD氮化硅膜会产生可靠性问题。与PECVD氮化硅(或“PE氮化物”)相比,HDP氮化硅已表现出可靠性有改善。
HDP CVD膜,如氮化硅,与PECVD膜相比提供更优越的电迁徙保护,因为HDP CVD膜更易使铜原子在帽层中沿互连表面停止运动。然而,在常规的HDP淀积工艺过程中,在互连结构形貌上的HDPCVD盖层中会形成接缝,在此接缝处由于互连结构中的应力常会在盖层中产生裂痕。若裂痕发生在铜导体上的部分盖层中,铜导体就易于曝露在湿气和其他氧源中。若裂痕发生在ILD上的部分盖层中,则铜导体就易于曝露在通过ILD扩散的湿气中。对于后一种情形,在用二氧化硅作为ILD材料的互连结构中,接缝的影响较小,因为湿气在二氧化硅中的扩散速率是很低的。然而,在用低κ聚合热固化介电材料如SiLKTM的互连结构中,这种接缝的影响就较大,因为湿气通过大多数旋转涂敷和CVD低κ材料的扩散速率是较高的。
而且,盖层中的任何裂痕都可导致铜通过接缝扩散至ILD中。由于这种铜扩散,可在盖层下面经接缝形成铜结节(nodule)。这种铜结节可引起相邻互连线之间的漏电。
HDP氮化物是ILD盖层的通用材料,因为它对下面铜(Cu)的扩散有极好的控制。然而,已发现HDP氮化物会呈现接缝(seams)而使反应物(例如,氧)由之进入下面的铜(Cu)层。
由于HDP氮化物的接缝问题,在HDP氮化物上加一个附加层来封住接缝,例如已建议使用UV氮化物(紫外氮化物;“UVN”)或其他等离子体氮化物。(UVN类似于且有时称为PECVD或简称为PE氮化物)。然而,PE氮化物对氧没有选择性,且将在通道RIE期间牺牲(compromise)。另一种办法是改变RIE的选择性,但是这会增大底腐蚀(underetched vias)通道的几率。再一种办法是改换氮化物盖层,但这会失去Cu上的HDP氮化物在电迁徙方面的益处。
UV氮化物(UVN)是在某种选择的条件下淀积的PE CVD(PE)氮化物的特殊形式;然而,所有的PE氮化物都可由处理压力以及产生HD等离子体所用的硬件而区别于高密度等离子体(HDP)氮化物。PE氮化物典型地是在标称1 Torr的压力范围下淀积的,而在HDPCVD中使用几个mTorr(毫托)的压力。一般主张,对于宽的RIE工艺窗口,PE氮化物比HDP氮化物容易腐蚀。
发明内容
本发明的一般目的是对ILD提供改进的HDP氮化物基盖层,以得到较佳的电迁徙、较好的工艺窗口、及改善产量。本发明解决了因HDP氮化物的接缝而引起的Cu氧化或腐蚀的问题。
本发明一般涉及到氮化物盖层叠层,它在保持Cu顶部上HDP氮化物在电迁徙方面益处的同时,能防止腐蚀透HDP氮化物。
按照本发明的一种实施方式,一种互连结构的盖层,该互连结构包含层间介电(ILD)材料和镶嵌在ILD表面中的铜导体,此盖层包含:由ILD表面和铜导体上的HDP氮化物构成的第一层;以及在第一层上由Si-C-H化合物构成的第二层。此Si-C-H化合物为,例如,BloK或N-BloK(Si-C-H-N)。关于使用N-BloK(或BloK),在通道RIE期间这组材料是具有高选择性的,使得RIE化学反应不致穿通下一引线层。碳和氮是关键元素。所选的材料也必须能在接着进行的沟槽RIE步骤的开通道孔期间被开孔(对比124)。ILD材料包括二氧化硅或低κ介电材料。
按照本发明的另一种实施方式,一种互连结构的盖层,该互连结构包含层间介电(ILD)材料和镶嵌在ILD表面中的铜导体,此盖层包含:由ILD表面和铜导体上的HDP氮化物构成的第一层;在第一层上选择由UVN构成的第二层;以及在第二层上由HDP氮化物构成的第三层。关于UVN层,也可使用任何其他的等离子体(PE)氮化物。ILD材料包括二氧化硅或低κ介电材料。
附图说明
考虑到下面结合附图的描述,本发明的结构、工作和优点将变得更加明显。这些图是为了说明而非对本发明进行限制。
为了说明清楚起见,在某些图中省略了一些部分,或是未按比例说明。各剖面图可为“片段”或“近观”剖面图的形式,省略了在“真实”剖面图中可以见到的一些背景线条,以求说明清楚。
在附图中,参考数字和图注(标注,文字叙述)都可用于同样的部分。如果提供了图注,则只是为了帮助读者而不应认为是限制。
在各个图中,常以相似的数字表示相似的部分,其中典型地后两位有效数字可以是相同的,而最重要的数字是图号。
图1为现有技术的多层引线互连结构分解的剖面图。
图2为现有技术的互连结构剖面图,说明了HDP氮化物盖层中的接缝。
图3为现有技术的互连结构剖面图,说明了在HDP氮化物中用UVN来解决与盖层中的接缝有关的问题。
图4为现有技术的图3互连结构剖面图,说明了HDP/UVN盖层的问题。
图5为本发明一种实施方式的互连结构剖面图,在HDP氮化物上使用NBLoK(或BLoK)。
图6为本发明一种实施方式的互连结构剖面图,是在图4HDP层的UVN层上添加HDP层。
具体实施方式
在下面的描述中,为彻底了解本发明,阐明了许多细节。本领域技术人员将会理解,可改变这些具体细节而仍能达到本发明的结果。然而,为避免对本发明的描述产生不必要的干扰,可不详细描述熟知的工艺步骤。
各种材料(例如,二氧化硅)可由其正式的和/或通用的名称及其化学式来表示。关于化学式,其中的数字可表示为正常的字体而非下标。例如,二氧化硅可简称为“氧化物”,化学式为SiO2。例如,氮化硅(化学比为Si3N4,常简化为“SiN”)可简称为“氮化物”。
在下面的描述中,可对说明的本发明实施方式给出示范的尺寸。这些尺寸不应视作限制。只是为了感受实际比例。一般说来,这是各个部分的位置、其对照成分、有时是重要的相对尺寸的关系。
如上所述,已发现Cu金属化的HDP氮化物盖(或帽)层具有良好的电迁徙性质。然而,对于HDP氮化物,已观察到一些针孔(小破损)和接缝(互连结构外表的裂痕),这会引起产量问题,因为在通道的反应离子刻蚀(RIE)和抗蚀剂剥离期间,能通过HDP氮化物接缝而到达Cu的O(氧)、F(氟)、和I(碘)可与Cu(铜)起反应。
图2以剖面描述了互连结构(对比100)的两个引线层210和230(对比110和130),并且一般地说明了上述针孔和接缝引起的问题。
铜导体220(对比120)嵌在第一ILD层212(对比112)的沟槽中(见114)。铜导体下面的阻挡层218(对比118)保护着铜导体。在第一ILD层上淀积HDP氮化物盖层222(对比122)。此HDP氮化物盖层222是在ILD层212表面和铜导体220表面上。铜导体220的表面基本上与ILD层212的表面在同一平面上,典型地是化学机械抛光(CMP)的结果。
第二ILD层232(对比132)制作在第一ILD层上,通道236(对比136)制作在第二ILD层中。此通道236典型地是用反应离子刻蚀(RIE)制作的。这里,称之为“通道RIE”。在此实例中,ILD层212和232二者都为二氧化硅(SiO2;氧化物)。
互连结构220与互连结构110的差别在于,通道236是在上面的第二互连层230中,以单镶嵌式完全穿透互连层230,而不是上面的互连层130中的双镶嵌式沟槽(134)。互连层是单镶嵌式还是双镶嵌式的,对于本发明的讨论没有特别的关系。其理念是保护引线层(互连层)中下面的铜导体,借以提高可靠性。
HDP氮化物盖层222是绝缘体,当然,最后需要在通道236底部开孔,使填充通道236的金属(未示出;对比140)能与第一互连层210中的铜导体220形成物理和电接触。HDP氮化物盖层222的示范厚度约为45-55nm。
如图2所示,HDP氮化物盖层222中的接缝“a”和“b”变成了湿气进入通道(见由通道进入铜导体的弯曲向下箭头d),而使Cu与湿气起反应,这可导致Cu金属体膨胀而使盖层222断裂。结果,通道236会被反应的材料玷污,而不能在通道236底部的HDP氮化物上开孔。这会引起严重的可靠性问题。在图中:
-“a”和“b”代表进入通道,为铜导体220和ILD212边界附近台阶处的接缝,以虚线表示。
-“c”代表因体膨胀而扩散出来的Cu。
-“d”代表经接缝、进入通道由通道236扩散至铜220的氧或水汽。
-“e”代表晶粒间界(不同铜金属晶粒的界面)。
在阻挡层218突入HDP氮化物盖层222处形貌上的小凹陷是CMP(化学机械抛光)工艺的人为结果,如同晶粒间界“e”处的凹陷一样。
图3以剖面描述了互连结构300(对比200)的两个引线层310和330(对比210和230),并且说明了上述HDP氮化物盖层接缝问题的可能解决办法。
铜导体320(对比220)嵌在第一ILD层312(对比212)的沟槽中(见114)。铜导体下面的阻挡层318(对比218)保护着铜导体。铜导体220的表面基本上与ILD层212的表面在同一平面上,典型地是化学机械抛光(CMP)的结果。
盖层322(对比222)淀积在第一ILD层上。此盖层322是在ILD312和铜导体320的表面上。
第二ILD层332(对比232)制作在第一ILD层312上,通道336(对比136)制作在第二ILD层中。在此实例中,ILD层312和332均可为二氧化硅(SiO2;氧化物)。
互连结构300与互连结构200的差别在于,第一ILD层312的盖层322(对比222)包含两层,第一HDP氮化物层324和第二紫外(UV)氮化物(UVN)层326。
HDP氮化物324的示范厚度约为5-70nm(有用范围),例如约为10-20nm(优选范围),例如约为15nm。
UV氮化物(亦即,PE氮化物)326的示范厚度约为10-50nm(有用范围),例如约为30-40nm(优选范围),例如约为35nm。
引入UVN/HDP氮化物帽层322来盖住接缝(例如,“a”,“b”)以修补上述问题。然而用UVN(上层膜)覆盖接缝时遇到了问题,RIE在UV氮化物与SiO2间的选择性不如在HDP氮化物与SiO2之间那样好。由于这个问题,通道RIE穿通UVN 326,并引起与纯HDP氮化物帽层(222)类似的化学反应。虽然所述ILD层(312,332)均为氧化物,在用低κ介电材料时也会发生同样的问题。
图4说明了图3的UVN/HDP双层盖层的问题,即通道RIE穿通UVN 326并到达HDP膜324。在通道326的这个区域,有时UVN也可被除去。在此图中,表示在通道336下面完全除去了UVN 326,在这种情形下,通道RIE将到达HDP氮化物324,从而引起上面所述的问题。如图2所示,HDP氮化物324中的接缝可变为进入通道使Cu与湿气反应,导致体膨胀而使帽层断裂。再者,在通道RIE经过接缝期间也可能引起反应。一般说来,图3的“修补”是不合适的,如图4所示。虽然在HDP上叠有UVN提供了宽的工艺窗口,并使所得的结构减少了只用HDP(图2)时发生问题的几率,但它不是100%健全的(由于RIE选择性的变化)。
本发明
一般说来,上述UVN与SiO2间的不良选择性问题,可通过在盖层中的HDP氮化物层上用N-BloK(或BloK)代替UVN来解决。(BloK是Applied Material Tool制造的Si-C-H化合物.N-BloK是AppliedMaterial Tool制造的Si-C-H-N化合物.)由于N-BloK主要是Si-C-H-N的化合物,SiO2与N-BloK(或BloK)之间的选择性大,通道RIE步骤会停止在N-BloK(或BloK)上而不会有损害HDP盖层的风险。一般,BloK和N-BloK分别称为碳化硅和碳氮化硅(或掺氮碳化硅)。
一般说来,重要的是在镶嵌的铜导体上有HDP氮化物作为第一层,以求在Cu与氮化物盖层之间有良好的界面。因此,在用作多层盖层的第一层时,HDP氮化物是优选的膜。随后的各层可为在HDP第一层上的N-BloK、或在HDP第一层上的UVN之上再叠加HDP、或在HDP层上的N-BloK之上再叠加HDP,以解决通道氧化物RIE期间的选择性问题。
图5说明了本发明的一种实施方式。互连结构500(对比300)引线层510和530(对比310和330)的构成一般与图3者相同。
铜导体520(对比320)嵌在第一ILD层512(对比312)的沟槽(见114)中。铜导体下面的阻挡层518(对比318)保护着铜导体。铜导体520的表面基本上与ILD 512的表面在同一平面上,这典型地是化学机械抛光(CMP)的结果。
盖层522(对比322)淀积在第一ILD层512上。盖层522是在ILD 512表面以及铜导体520的表面上。
第二ILD层532(对比332)制作在第一ILD层512上,而通道536(对比336)制作在第二ILD层532中。在此实例中,ILD层512和532均可为二氧化硅(SiO2;氧化物)。然而,低κ材料也可用。任何上文列出的低κ材料都是良好的材料。
互连结构500与互连结构300类似之处在于,第一ILD层512的盖层522(对比322)包含两层,且第一盖层534(对比334)都由HDP氮化物构成。
互连结构500与互连结构300不同之处在于,全部盖层522的第二盖层526(对比326)包括N-BloK(或BloK)而非UVN。
HDP氮化物524的示范厚度约为5-70nm,例如约为10-20nm,例如约为15nm(一般,与324相同)。
N-BloK(或BloK)的示范厚度约为10-50nm,例如约为30-40nm,例如约为35nm(一般,与326相同)。
在HDP氮化物(524)上的N-BloK(526)双层叠层(或组合盖层)提供了较好的电迁徙、较好的工艺窗口,并改善了产量。
使用N-BloK而非UVN不仅是基于覆盖接缝,这是UVN或N-BloK都可作到的,而且也是基于其在氧化物RIE与盖层材料间的良好选择性。对于这种情形,UVN不是氧化物RIE的良好止蚀材料,而N-BloK是氧化物RIE的良好止蚀材料,因为在氧化物与N-BloK间有高选择性。然而,RIE选择性最好的材料是HDP。这就是可使用下面即将描述的三层叠层的原因。
关于使用N-BloK(或BloK),这组材料在通道RIE期间具有高选择性,使得RIE化学反应不会穿通盖层。碳和氮是关键元素。所选的材料在接着进行的沟槽RIE步骤的开通道孔期间也必须能开孔(对比124)。
图6说明了本发明的另一种实施方式。互连结构600(对比500)有两个引线层610和630(对比510和530)。
铜导体620(对比520)嵌在第一ILD层612(对比612)的沟槽(见114)中。铜导体下面的阻挡层618(对比518)保护着铜导体620。铜导体620的表面基本上与ILD 612的表面在同一平面上,典型地是化学机械抛光(CMP)的结果。
盖层622(对比522)淀积在第一ILD层612上。盖层622是在ILD 612表面以及铜导体620的表面上。
第二ILD层632(对比532)制作在第一ILD层612上,而通道636(对比536)制作在第二ILD层632中。在此实例中,ILD层612和632均可为二氧化硅(SiO2;氧化物)。然而,低κ材料也可用。任何上文列出的低κ材料都是良好的材料。
互连结构600与互连结构500类似之处在于,第一ILD层612的盖层622(对比522)包含多层,且第一盖层634(对比534)都包括HDP氮化物。
互连结构600与互连结构500不同之处在于,多层盖层622包含三层而非两层。更确切地说,UVN层626淀积在HDP氮化物初始层624(HDP-1)上。然后,第二HDP氮化物层628(HDP-2)淀积在UVN层626上。第一HDP氮化物层624(HDP-1)是在ILD 612表面和铜导体620的表面上。
关于UVN层626,在本发明的范围内,可使用任何等离子体氮化物。必须区分PE氮化物与高密度等离子体(HDP)氮化物,前者的UV氮化物是有特色的,而后者是不同化学组分和应力并与下面的薄层有不同相互作用的氮化物。因此,我们看到了可靠性的改善和不同的RIE选择性。
叠层的目的是使第一HDP层624厚至足以提供电迁徙保护,UVN626厚至足以盖住HDP 624中的接缝,而顶层628厚至足以提供止蚀保护。所有三层的厚度将取决于用户的技术。下面即将给出三个薄层的示范厚度。
第一HDP层624(HDP-1)的示范厚度约为5-20nm,例如约为10nm。
UVN层626的示范厚度约为10-20nm,例如约为15nm。
第三层628,即第二HDP层(HDP-2)的示范厚度约为10-35nm,例如约为10nm。
在一优选的实施方式中,第一HDP层624的厚度约为15nm,UVN层626的厚度约为20nm,而第三层628的厚度约为15nm。构成叠层的三层总厚度约为50nm。叠层的最小优选总厚度至少为50nm,或500。
在此实施方式中,使用在HDP氮化物上叠置UVN再加HDP氮化物的三层叠层,而非在HDP氮化物上叠置N-BloK的双层叠层(如图5)。这是更实用的,因为UVN和HDP氮化物都可在同一加工系统中淀积而无须从真空中取出晶片。在HDP氮化物上叠置N-BloK的双层叠层则遇到在一个加工设备中淀积HDP氮化物而在另一个设备中淀积N-BloK的问题。必须从真空中取出晶片以完成这两次淀积。因此,虽然从性能的观点来看,HDP/N-BloK/HDP三层叠层是所希望的,但从加工考虑,它可能不是优选的。(然而,可预期建立设备来制作三层叠层而不破坏真空)。最终,选择制作图5的双层叠层还是图6的三层叠层可简单地取决于所用的通道RIE工艺。
在本发明这种实施方式的一种变通中,第二层(626)可为Si-C-H材料,如BloK或NBLoK。换言之,为HDP氮化物/Si-C-H/HDP氮化物的三层盖层。然而,值得怀疑的是这样的叠层会有任何有用的收益。其一是会受到所用工艺设备的限制。其二是没有看到在NBLoK上淀积HDP氮化物有何优点。一般认为,在HDP/UVN(图2)上得到的改善来自HDP/NBLoK(图5),或是HDP/UVN/HDP(图6)。与UVN相比,NBLoK和HDP都是较好的氧化物RIE止蚀材料。但从整体看来,说明这样一种实施方式(HDP/NBLoK/HDP)的图看起来与图6完全一样,只是以NBLoK代替了UVN。多层盖层的各层尺寸会在与上面讨论的图6实施方式者同样的范围内。
适合HDP氮化物层(524、624)的材料为HDP CVD硅氮化物,其组分约为30-50%原子比的硅、40-65%原子比的氮、以及5-13%原子比的氢,例如约40%原子比的硅、52%原子比的氮、以及8%原子比的氢。在本发明的范围内,HDP氮化物可代之以碳化硅或碳氮化硅或硼氮化硅的HDP层,也可为HDP氮化硼。一般认为,有一些氮是优选的,但不是绝对必须的(例如碳化硅的情形)。
虽然已用某一优选实施方式或某些实施方式示出和描述了本发明,本领域的其他技术人员在阅读和理解此说明书和附图的基础上,将作出某些等效的变更和修改。特别是关于由上述部件(组件、器件、电路等)实现的各种功能,用于描述这样的部件的术语(包括提及的“手段”),除非另外指明,只是对应于能实现所述部件具体功能的任何部件(亦即,功能相当),即使其结构上不同于所公开的结构,该结构实现了这里说明的本发明示例实施方式的功能。此外,虽然只由几个实施方式之一公开了本发明的特点,这样的特点可与其他实施方式的一个或多个特点相结合,这对于任何指定的或特殊应用可能是所希望的和有利的。

Claims (10)

1.一种用于互连结构的盖层,该互连结构包含层间介电ILD材料及嵌在ILD表面中的铜导体。此盖层包含:
第一层,置于ILD和铜导体表面上,其材料选自由碳化硅的HDP层、碳氮化硅的HDP层、硼氮化硅的HDP层以及HDP氮化物构成的组;及
第二层包括置于第一层上的PE氮化物;以及
第三层,置于第二层上,其材料选自由碳化硅的HDP层、碳氮化硅的HDP层、硼氮化硅的HDP层以及HDP氮化物构成的组。
2.如权利要求1的盖层,其中:
第一层的厚度为5-20nm。
3.如权利要求2的盖层,其中:
第一层的厚度为10nm。
4.如权利要求1的盖层,其中:
第二层的厚度为10-20nm。
5.如权利要求4的盖层,其中:
第二层的厚度为15nm。
6.如权利要求1的盖层,其中:
第三层的厚度为10-35nm。
7.如权利要求6的盖层,其中:
第三层的厚度为10nm。
8.如权利要求1的盖层,其中:
第一层的厚度为15nm;
第二层的厚度为20nm;以及
第三层的厚度为15nm。
9.如权利要求1的盖层,其中:
三层的总厚度为至少50nm。
10.如权利要求1的盖层,其中:
ILD材料包括选自由二氧化硅和低κ介电材料构成的组的材料。
CNB200510124664XA 2004-12-01 2005-11-14 改进的hdp氮化物基ild盖层 Active CN100369247C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/904,827 2004-12-01
US10/904,827 US7138717B2 (en) 2004-12-01 2004-12-01 HDP-based ILD capping layer

Publications (2)

Publication Number Publication Date
CN1790702A CN1790702A (zh) 2006-06-21
CN100369247C true CN100369247C (zh) 2008-02-13

Family

ID=36566613

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200510124664XA Active CN100369247C (zh) 2004-12-01 2005-11-14 改进的hdp氮化物基ild盖层

Country Status (2)

Country Link
US (2) US7138717B2 (zh)
CN (1) CN100369247C (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080315347A1 (en) * 2007-06-25 2008-12-25 International Business Machines Corporation Providing gaps in capping layer to reduce tensile stress for beol fabrication of integrated circuits
US7737052B2 (en) * 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7968975B2 (en) * 2008-08-08 2011-06-28 International Business Machines Corporation Metal wiring structure for integration with through substrate vias
US20100187694A1 (en) * 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8610283B2 (en) * 2009-10-05 2013-12-17 International Business Machines Corporation Semiconductor device having a copper plug
US8683420B2 (en) * 2010-11-17 2014-03-25 Intermolecular, Inc. Method and system of improved reliability testing
US8039920B1 (en) * 2010-11-17 2011-10-18 Intel Corporation Methods for forming planarized hermetic barrier layers and structures formed thereby
US8492880B2 (en) * 2011-04-01 2013-07-23 International Business Machines Corporation Multilayered low k cap with conformal gap fill and UV stable compressive stress properties
CN102832197B (zh) * 2011-06-15 2015-11-25 中芯国际集成电路制造(上海)有限公司 金属互连结构及其形成方法
US9577023B2 (en) 2013-06-04 2017-02-21 Globalfoundries Inc. Metal wires of a stacked inductor
US9455220B2 (en) * 2014-05-31 2016-09-27 Freescale Semiconductor, Inc. Apparatus and method for placing stressors on interconnects within an integrated circuit device to manage electromigration failures
US9466569B2 (en) 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor
CN107665829B (zh) 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
US10832947B2 (en) * 2019-02-28 2020-11-10 International Business Machines Corporation Fully aligned via formation without metal recessing
US11205607B2 (en) * 2020-01-09 2021-12-21 Nanya Technology Corporation Semiconductor structure and method of manufacturing thereof
US11309216B2 (en) 2020-01-27 2022-04-19 International Business Machines Corporation Large grain copper interconnect lines for MRAM

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030234416A1 (en) * 2002-06-25 2003-12-25 Thomas Sylvia W. Capacitor for a semiconductor device and method for fabrication therefor
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US20040161924A1 (en) * 2003-02-14 2004-08-19 Jei-Ming Chen Damascene interconnect with bilayer capping film

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2654952B2 (ja) 1987-10-26 1997-09-17 株式会社トーキン 希土類永久磁石材料およびその製造方法
TW374946B (en) 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6162583A (en) 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US6265779B1 (en) 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6218732B1 (en) 1998-09-15 2001-04-17 Texas Instruments Incorporated Copper bond pad process
US6071809A (en) 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6153523A (en) 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6225210B1 (en) 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
TW400619B (en) 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6127238A (en) 1999-03-11 2000-10-03 Chartered Semiconductor Manufacturing Ltd. Plasma enhanced chemical vapor deposited (PECVD) silicon nitride barrier layer for high density plasma chemical vapor deposited (HDP-CVD) dielectric layer
US6235633B1 (en) 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6043152A (en) 1999-05-14 2000-03-28 Taiwan Semiconductor Manufacturing Company Method to reduce metal damage in the HDP-CVD process by using a sacrificial dielectric film
US6099701A (en) 1999-06-28 2000-08-08 Taiwan Semiconductor Manufacturing Company AlCu electromigration (EM) resistance
JP2001015480A (ja) 1999-06-29 2001-01-19 Tokyo Electron Ltd 基板の処理方法
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6107188A (en) 1999-08-16 2000-08-22 Taiwan Semiconductor Manufacturing Company Passivation method for copper process
US6211061B1 (en) 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
JP2001160558A (ja) 1999-12-02 2001-06-12 Nec Corp 半導体装置の製造方法及び製造装置
US6376353B1 (en) * 2000-07-03 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Aluminum and copper bimetallic bond pad scheme for copper damascene interconnects
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
TW471107B (en) * 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US20030234416A1 (en) * 2002-06-25 2003-12-25 Thomas Sylvia W. Capacitor for a semiconductor device and method for fabrication therefor
US20040161924A1 (en) * 2003-02-14 2004-08-19 Jei-Ming Chen Damascene interconnect with bilayer capping film

Also Published As

Publication number Publication date
CN1790702A (zh) 2006-06-21
US20070004206A1 (en) 2007-01-04
US7138717B2 (en) 2006-11-21
US7372158B2 (en) 2008-05-13
US20060113672A1 (en) 2006-06-01

Similar Documents

Publication Publication Date Title
CN100369247C (zh) 改进的hdp氮化物基ild盖层
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6939791B2 (en) Contact capping local interconnect
JP5089575B2 (ja) 相互接続構造体及びその製造方法
US7749892B2 (en) Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
JP5462807B2 (ja) 高い漏れ抵抗を有する相互接続構造体
US9165883B2 (en) Interconnection structure for an integrated circuit
US8133810B2 (en) Structure for metal cap applications
US8368220B2 (en) Anchored damascene structures
US20040232552A1 (en) Air gap dual damascene process and structure
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
US20100051578A1 (en) Method for fabricating an integrated circuit
KR101674057B1 (ko) 강화된 복합 절연막을 포함하는 반도체 칩 구조 및 그 제조 방법
US8129842B2 (en) Enhanced interconnect structure
JP2009141058A (ja) 半導体装置およびその製造方法
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
US20230187278A1 (en) Via alignment in single damascene structure
US20060180934A1 (en) Wiring structures for semiconductor devices
KR20050114952A (ko) 선택적 장벽금속층을 갖는 반도체소자의 콘택 구조체형성방법
KR100714026B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR20070055910A (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171127

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171127

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.