TWI287263B - High mobility tri-gate devices and methods of fabrication - Google Patents

High mobility tri-gate devices and methods of fabrication Download PDF

Info

Publication number
TWI287263B
TWI287263B TW094118759A TW94118759A TWI287263B TW I287263 B TWI287263 B TW I287263B TW 094118759 A TW094118759 A TW 094118759A TW 94118759 A TW94118759 A TW 94118759A TW I287263 B TWI287263 B TW I287263B
Authority
TW
Taiwan
Prior art keywords
substrate
high mobility
reference orientation
crystal plane
forming
Prior art date
Application number
TW094118759A
Other languages
English (en)
Other versions
TW200625465A (en
Inventor
Mohamad A Shaheen
Brian Doyle
Suman Datta
Robert Chau
Peter Tolchinsky
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW200625465A publication Critical patent/TW200625465A/zh
Application granted granted Critical
Publication of TWI287263B publication Critical patent/TWI287263B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Description

I2E7263 ^ (1) 九、發明說明 t胃明所屬之技術領域】 本發明主要有關於半導體積體電路製造領域,更詳而 H之’諸如高移動率三閘電晶體之高移動率三閘裝置以及 其製造方法。 【先前技術】
爲增加裝置性能,已提出用於製造現今積體電路的絕 緣體上覆矽(SOI)電晶體。第1圖描述一標準完全空乏 絕緣體上覆矽(SOI)電晶體100。該SOI電晶體100包 含單晶矽基板1 02,其具有諸如埋設氧化物之絕緣層1 04 形成於其上。單晶矽體1 06形成於絕緣層1 04上。閘極電 介質層108形成於單晶矽體1〇6上,以及閘極電極形成於 閘極電介質層1 08上。源極1 1 2以及汲極1 1 4區域沿著閘 極電極1 1 0相對側橫向地形成於矽體1 06中。
已提出完全空乏SOI作爲電晶體結構以利用完美啓通 流/關斷電流比例之理想的次臨限値梯度。爲了使電晶 體1〇〇達成理想次臨線値梯度,矽體106之厚度(Tsi ) 必須約爲電晶體閘極長度(Lg )尺寸之1/3或著Tsi = Lg/3 。惟,隨著閘極長度的改變,特別當它們接近3 Onm時, 不斷縮減矽薄膜厚度之需要使得此方法更加不可行。在3 0 奈米閘極長度,矽體所需之厚度應爲小於1 0奈米,以及 在20奈米閘極長度約爲6奈米。製造厚度小於1〇奈米之 薄矽薄膜非常地困難。另一方面,達成一奈米程度之晶圓 -5- 1287263 ^ (2) 一致性亦爲困難的挑戰。另一方面,幾乎變得不可能接觸 這些薄膜來形成凸起之源極/汲極區域以降低接面電阻, 因爲於源極/汲極區域中這些薄的矽層會在閘極蝕刻以及 在閘極蝕刻與間隔體鈾刻之後的各種清洗過程中被消耗掉 ,留下不足的矽體1 06供矽生長於上。 已提出一種雙閘極(DG)裝置,如第2A與2B圖所 示,來減輕矽厚度的問題。雙閘極(DG )裝置200包含 矽體202形成於絕緣基板204上。閘極電介質206形成於 矽體202兩側以及閘極電極208與形成於矽體202兩側之 閘極電介質206相鄰形成。一足夠厚之絕緣層209,例如 氮化矽,將閘極電極208自矽體202頂部電性隔離。 雙閘極(DG )裝置200主要具有兩個閘極,各位在 裝置通道兩側。由於雙閘極裝置2 0 0具有閘極在裝置通道 兩側,矽體之厚度(Tsi )可爲單閘極裝置者之兩倍且仍 可獲得完全空乏電晶體之操作。亦及,由於雙閘極裝置 __200的緣故,可形成其中Tsi=(2xLg) /3之完全空乏電晶 體。惟,雙閘極(DG )裝置200最可製造之型式係需要 以比用來圖案化平面裝置(如電晶體1 〇 〇 )之閘極長度( Lg )小0.7倍之光微影技術圖案化矽體202。爲了獲得高 密度積體電路,通常希望對閘極電極208之閘極長度(Lg )使用最積極之微影技術。雖然雙閘極結構使矽薄膜厚度 加倍(由於現在於通道兩側各有一個閘極),但製造這些 結構卻非常地困難。例如,需要能夠產生具有長寬比率約 5:1之矽體202的矽體鈾刻。此外,隨著高裝置性能之要 (3) J287263 求不斷遞增,期望能有增加裝置性能之高移動率裝置。 【發明內容】及【實施方式】 本發明之實施例關於一種新穎的高移動率非平面裝置 或三閘裝置,諸如三閘電晶體結構,以及其製造方法。於 下列說明中,提出各種特定細節以提供本發明更完善的認 識。於其他範例中,將不以特定細節描述眾所周知之半導
體程序以及製造技術以期不必要地模糊本發明實施例。 本發明之實施例關於一種高高移動率非平面裝置(例 如三閘電晶體)。藉由用於形成高移動率非平面裝置之基 板晶圓之參考方位的旋轉或重新定位達成非平面裝置之高 移動率特性。第3圖描述範例非平面裝置3 00 (例如三閘 電晶體)。 於本發明之一實施例中,三閘電晶體3 00係絕緣體上 覆矽(SOI )電晶體。三閘電晶體3 00包含形成於基板 鲁·302上之薄半導體本體3 08 ;基板302可爲絕緣基板(如 包含氧化薄膜之基板302)或半導體基板。半導體本體 30 8包含閘極電介質305,形成於半導體本體308之上表 面以及側壁’以及閘極電極3 0 7形成於在半導體本體3 0 8 上表面上之閘極電介質3 05以及在半導體本體3 08側壁上 形成之閘極電介質3 0 5旁。源極以及汲極區域3 3 0以及 3 3 2分別形成於閘極電極3 0 7相對側上之半導體本體3 0 8 中。由於閘極電極3 0 7以及閘極電介質3 0 5圍繞半導體本 體3 08三側,電晶體3 00主要具有三個個別之通道以及閘 (4) J287263 極。電晶體之閘極寬度等於半導體本體三側每一個之總合
由於有三個個別之通道形成於半導體本體中,當電晶 體被「啓通」時,可完全空乏半導體本體,藉此得以形成 閘極長度小於30奈米之完全空乏電晶體而無需超薄半導 體本體或尺寸小於裝置閘極長度(Lg)的半導體本體之光 微影圖案化。由於本發明之三閘電晶體可以完全空乏方式 操作,裝置之特徵在於理想的次臨界坡度(例如非常陡) 以及小於l〇〇mV//v或理想地60mV/v之減少的汲極引發能 障降低(DIBL; drain induced barrier lowering)短通道效 應,這導致當裝置「關斷」時有較低之漏電流,造成較低 耗電量。 希望諸如三閘電晶體3 00之非平面裝置能成爲高移動 率裝置以增進裝置性能。於本發明實施例中,爲了增進非 平面裝置3 00之移動率,改變半導體本體308之晶面結構 。如第3圖所示,非平面裝置3 00在具有<1〇〇>晶面之半 導體本體308的上表面上具有垂直場。半導體本體308之 側面的垂直場具有<1 1〇>晶面。已證實以移動率而言<100> 以及< 1 1 〇 >晶面之間有顯著的不同。如第4圖所示< 1 1 0 >晶 面具有<1〇〇>晶面移動率約一半的値。如第 4圖所示, <1〇〇>晶面之局木(Takagi)線顯著第局於<110>晶面的局 木線。增進非平面裝置之移動率的一種方法是使半導體本 體3 08之所有側的垂直場具有<1〇〇>晶面。 基板3 02最常由半導體晶圓製成,接著將之處理形成 (5) .1287263 薄膜與結構於其中,以形成半導體裝置如三閘裝置3 00。 於一範例中,基板3 02爲矽塊晶圓。一絕緣層(如二氧化 矽薄膜)形成於基板3 02上,以及裝置品質半導體薄膜( 如單晶矽)形成於絕緣層上。裝置3 00接著形成於裝置品 質半導體薄膜中。於半導體製造領域中於用於形成裝置之 晶圓或諸晶圓上產生參考方位是一種慣例。參考方位通常 爲產生於晶圓中之小凹槽。參考方位有助於設備(如蝕刻 ·>_工具或微影工具)對齊目的並且特別助於製造重複性(例 如諸如微影與蝕刻之裝置處理)。處理工具因此具有對齊 點,其中特定晶圓上的每一個點皆對齊以進行處理。如所 知,矽或其他半導體材料在晶圓不同平面具有不同晶體立 方體方位。因此,針對晶體方位之重複性,產生參考方位 以標記出晶圓一致的方向。參考方位亦提供晶圓到晶圓之 處理的重複性。
於晶圓中產生參考方位的一種方法係在晶圓上特定位 置製造出凹槽。目前,例如矽錠的錠係在<1〇〇>晶面方向 中以種子生長。如第5圖所述,錠502係生長於<1〇〇>晶 面方向中。接著將錠5 02設置於X光衍射工具,以允許找 到<1 10〉晶面方向。在X光衍射過程中,將錠502放射狀 旋轉使得X光衍射光束可顯現並定位< 1 1 0 >位置。一旦找 著<1 1〇>位置,沿著線5 04標記錠5 02使凹槽506可如第 6圖顯示形成凹槽5 0 6。可用輾磨製造線5 0 4。接著使用切 割來切割錠5 02來製造複數個晶圓5 0 8 °如第6圖所示, 晶圓508具有指向頁面外之方向的<100>晶面。凹槽506 (7) •1287263 當非平面裝置所有側面具有<100>晶面時,非平面裝 置將具有高移動率特性,這對高性能裝置而言係希望預見 者。 第9圖描述範例諸如三閘裝置(如三閘電晶體900 ) 之非平面裝置,可藉由使晶圓的凹槽重新定位或旋轉而得 到高移動率特性之優勢。非平面裝置因此爲高移動率非平 面裝置,其可爲高移動率三閘電晶體。
三閘電晶體900係形成於基板902上。於本發明之一 實施例中,基板902爲絕緣基板,包含其上形成諸如二氧 化矽層之絕緣層906之較低單晶矽基板904。惟,三閘電 晶體900可形成於任何已知的絕緣基板,諸如形成自二氧 化矽、氮化物、氧化物以及藍寶石之基板。於本發明一實 施例中,基板9 0 2可爲半導體基板,諸如但不限於單晶矽 基板以及砷化鎵基板。 三閘電晶體900包含半導體本體908形成於絕緣基板 鲁φ 902之絕緣層906上。半導體本體908可形成自半導體薄 膜。當半導體薄膜於絕緣基板9 0 2上時,三閘電晶體9 0 0 可被視爲絕緣層上覆砂(SOI)電晶體。半導體本體908 可以任何已知半導體材料形成,諸如但不限於砂(si )、 鍺(Ge )、矽鍺(SixGey )、砷化鎵(GaAs ) 、inSb、
GaP、GaSb以及奈米碳管。當期望電晶體9〇〇能有最佳電 性能力,例如於微處理器中,則半導體本體9〇8理想地爲 單晶體薄膜。惟,當電晶體900使用於較不嚴苛性能要求 的應用中,例如於液晶顯示器中,半導體本體9〇8可爲多 -11 - J287263 . (8) 晶矽薄膜。處理用於形成半導體本體90 8之晶圓,使半導 體本體90 8所有側面如前述具有<1 10>晶面。 於一實施例中,用於形成半導體本體908之半導體材 料係一晶圓(例如矽晶圓),受到處理或形成有定位在晶 圓上<10 0>晶面位置之參考凹槽。於另一實施例中,用於 形成半導體本體90 8之半導體材料係一晶圓(例如矽晶圓 ),受到處理或形成有定位在晶圓上< 1 1 〇>晶面位置之參 φ 考凹槽。於此另一實施例中,將用於形成半導體本體908 之晶圓旋轉,使參考凹槽偏移45度或-45度。 半導體本體908具有由一距離分隔之橫向相對的一對 側壁9 1 0以及9 1 2,其定義半導體本體寬度9 1 4。此外, 半導體本體908具有相對於形成於基板.902上之下表面 9 1 8的上表面9 1 6。上表面9 1 6以及下表面9 1 8之間的距 離定義本體高度920或半導體本體908之厚度Tsi。於本 發明之一實施例中,本體高度92 0實質上等於本體寬度 ®φ914。於本發明之一實施例中,本體908具有小於30奈米 以及理想地小於20奈米之寬度914以及高度920。於本發 明之一實施例中,本體高度920介於本體寬度914之1/2 至本體寬度9 1 4之2倍。側壁9 1 0以及9 1 2、上表面9 1 6 以及下表面918皆具有擁有<1〇〇>晶面結構之垂直場。 三閘電晶體900具有閘極電介質層922。如第9圖所 示閘極電介質層922形成於半導體本體90 8之上並環繞其 三側。如第9圖所示閘極電介質層922形成於本體90 8之 側壁9 1 2之上或旁邊、上表面9 1 6之上以及側壁9 1 0之上 -12- .1287263 " (9) 或旁邊。閘極電介質層922可爲熟知的閘極電介質層。於 本發明之一實施例中,閘極電介質層爲二氧化矽(S i 〇2 ) 、氧氮化矽(SiOxNy )或氮化矽(Si3N4 )電介質層。於本 發明一實施例中,閘極電介質層922爲形成有厚度介於5-2 0 A之氧氮化砂薄膜。於本發明之一實施例中,閘極電介 質層922爲高K閘極電介質層,諸如金屬氧化電介質,例 如但不限於五氧化鉬(Ta205 )以及氧化鈦(Ti02 )。閘 極電介質層922可爲其他類型之高K電介質,例如但不限 於PZT (鉻鈦酸鉛)。 三閘裝置9 0 0具有閘極電極9 2 4。如第9圖所示閘極 電極924形成於形成在半導體本體908側壁912上之閘極 電介質層92 2之上或旁邊、形成於形成在半導體本體908
上表面916上之閘極電介質層922之上以及形成於形成在 半導體本體908側壁910上之閘極電介質層922之上或旁 邊。閘極電極924具有以一距離分隔之一對橫向相對側壁 926以及928,定義電晶體900之閘極長度930(Lg)。 於本發明之一實施例中,閘極電極924之橫向相對側壁 926以及928以垂直於半導體本體908橫向相對側壁910 以及9 1 2之方向配置。 閘極電極924可以任何適當的閘極電極材料形成。於 本發明一實施例中,閘極電極924包含摻雜濃度密度介於 1x1 〇19原子/立方公分- lxl 〇2G原子/立方公分之多晶矽。於 本發明一實施例中,閘極電極形成自金屬閘極電極,例如 但不限於鎢、鉅以及其氮化物。於本發明一實施例中,閘 -13- (10) 1287263 極電極形成自具有中能隙功函數(mid-gap work function )介於4.6-4.8eV之材料。應能了解到閘極電極924不需 爲單一材料而可爲複合之薄膜堆疊,如但不限於多晶矽/ 金屬電極或金屬/多晶矽電極。 三閘電晶體900具有源極區域93 0以及汲極區域932 。如第9圖所示,源極區域93 0以及汲極區域93 2形成於 閘極電極924之相對策。源極區域93 0以及汲極區域932 •I係由諸如N型或P型導電性之相同導電類型形成。於本發 明一實施例中,源極區域93 0以及汲極區域932具有介於 lxl 019以及1x1 〇21原子/立方公分之間的摻雜濃度。源極 區域93 0以及汲極區域93 2可由一致的濃度或包含不同濃 度或摻雜曲線之次區域如頂端區域(如源極/汲極延伸) 所形成。於本發明一實施例中,當電晶體爲對稱電晶體時 ,源極區域93 0以及汲極區域93 2將具有相同摻雜濃度或 曲線。於本發明一實施例中,當電晶體係由不對稱電晶體 ® φ形成時,則源極區域93 0以及汲極區域93 2之摻雜濃度或 曲線可能會爲了達成特定電性特性而有所變化。 位於源極區域93 0以及汲極區域932之間的半導體本 體908部分定義電晶體900之通道區域95 0。通道區域 950亦可定義成圍繞閘極電極924之半導體本體之區域。 但有時源極/汲極區域會經由擴散稍微延伸至閘極電極之 下而定義稍微小一點的閘極電極長度(Lg )。於本發明一 實施例中,通道區域95 0爲固有或無摻雜之單晶矽。於本 發明一實施例中,通道區域9 5 0爲摻雜的單晶矽。當摻雜 -14- (11) •1287263 通道區域9 5 0時,通常係以介於1x1 ο16至1x1 019原子/立 方公分之導電程度摻雜。於本發明一實施例中,當摻雜通 道區域95 0時,通常係與源極區域93 0以及汲極區域932 導電類型相反摻雜。例如,當源極區域9 3 0以及汲極區域 93 2爲N型導電性時,通道區域950會摻雜成P型導電性 。相同地,當源極區域930以及汲極區域932爲P型導電
性時,通道區域950會爲N型導電性。依此方式,三閘電 晶體900可分別形成NMOS電晶體或PMOS電晶體。可一 致地或不一致或以不同濃度地摻雜通道區域95 0以達成特 定電性特性以及性能特性。例如,若有需要,通道區域 9 5 0可包含熟知的「暈圈」區域。 藉由提供圍繞半導體本體908三側面之閘極電介質以 及閘極電極,三閘電晶體900之特徵在於具有三個通道以 及三個閘極,一個(g 1 )延伸於源極以及汲極區域之間矽 本體908側面912上,第二個(g2 )延伸於源極以及汲極 區域之間矽本體908上表面916上以及第三個(g3 )延伸 於源極以及汲極區域之間矽本體908側面910上。由於半 導體本體9 0 8如則述之構造’鬧極g 1、g 2以及g 3的每一 個皆具有<1〇〇>之晶面結構。因此可藉由三個<100>晶面閘 極增進移動率,使電晶體900成爲高移動率非平面裝置。 電晶體9 00之閘極「寬度」(Gw )爲三個通道區域之寬 度總合。因此,電晶體閘極寬度等於在側壁9 1 0矽本體 908之高度920,加上在上表面916矽本體908之寬度, 加上在側壁912矽本體908之高度920。藉由使用複數個 -15- •1287263 、 (12) 裝置耦合在一起可獲得較大的「寬度」。
由於通道區域95 0係藉由閘極電極924以及閘極電介 質922環繞在半導體本體90 8之三側上。電晶體900可以 完全空乏之方式操作。當電晶體900「啓通」時,通道區 域95 0完全空乏,藉此提供完全空乏電晶體之有益的電性 特性以及性能。此外,當電晶體900「啓通」時,形成完 全空乏區域,並且通道區域950與形成於通道區域950表 面之反轉層係形成於半導體本體908之上表面以及側表面 上。反轉層與源極以及汲極區域具有相同的導電性類型並 且形成導電通道於源極以及汲極區域之間,以允許電流於 其間流動。三閘電晶體900爲非平面電晶體,因爲通道區 域係同時形成於半導體本體9 08中之水平以及垂直方向。 空乏區域從反轉層之下空乏自由載子。空乏區域延伸至通 道區域95 0下方,因此電晶體可稱爲「完全空乏」電晶體 。完全空乏電晶體比非完全空乏或部分空乏電晶體具有更 • φ佳之電性能力。例如,藉由以完全空乏方式操作電晶體 900,電晶體900具有理想或非常陡的次臨界坡度。即使 半導體本體厚度小於 30nm,仍可製造出具有小於 80mV/decade以及理想地約60mV/decade之非常陡的次臨 界坡度之三閘電晶體。此外,隨著完全空乏之電晶體900 ,電晶體900具有實際上爲低之增進的汲極引致能障( DIB L ),其提供更佳「關斷」狀態之漏電量,導致更低的 漏電量以及從而更低的耗電量。於本發明一實施例中,三 閘電晶體900具有小於1 00mV/V以及理想地小於40mV/V -16- J287263 ^ (13) 之DIBL效應。 由於電晶體900具有因<1〇〇>晶面而有高移動率特性 之閘極’電晶體900之電性特性比僅具有上表面擁有 <1〇〇>晶面的裝置更佳。 第1 0圖描述根據本發明實施例用於諸如三閘極電晶 體900之非平面裝置之基板的範例製造方法。於一實施例 中,首先提供基板1 002。基板1 002可爲半導體基板,如 但不限於矽塊基板、單晶矽基板、較低單晶矽基板、多晶 係基板或砷化鎵基板或其他適當的半導體材料。於一實施 例中,基板1 002包含絕緣層1 004如二氧化矽薄膜、氮化 矽薄膜或其他適當電介質薄膜。絕緣層1 004可具有介於 200-2000埃之間的厚度。 將半導體裝置基板1 006結合至基板1 002。於基板 1 0 02包含絕緣層1 004之實施例中,在絕緣層1〇〇4處將半 導體裝置基板1〇〇6結合至基板1 002。半導體裝置基板 • φ 1 006爲製造半導體本體或三閘電晶體本體之基板。於一實 施例中,半導體裝置基板1〇〇6爲高品質矽。於其他實施 例中,半導體裝置基板1 006可爲其他種類的半導體薄膜 ,如但不限於鍺(G〇 、矽化鍺(SiGe )、砷化鎵( GaAs )、締化銦’(InSb )、磷化鎵(GaP )、締化鎵( GaSb )以及奈米碳管。 於本發明一實施例中,半導體裝置基板1 006爲固有 (無摻雜)矽薄膜。於其他實施例中,半導體裝置基板 1 006係以具有介於ΐχΐ〇16至ΐχΐ〇19原子/立方公分之間的 -17- (14) •1287263
濃度程度摻雜成p型或η型導電性。半導體裝置基板1006 可被原位摻雜(如於其沉積的同時摻雜)或在其形成於基 板1 002上之後藉由例如離子佈値摻雜。形成後摻雜允許 能夠輕易地製造NMOS或PMOS三閘裝置兩者於相同絕緣 基板上。於此處之半導體本體之摻雜程度決定非平面裝置 之通道區域之摻雜程度。於一實施例中,半導體裝置基板 1 006包含絕緣層1 008,其可爲二氧化矽薄膜或氮化矽薄 膜或其他適當電介質薄膜。絕緣層1 008可具有介於約200 至約2000埃之間的厚度。 半導體裝置基板具有厚度大約等於後續形成之 半導體本體或製造的三閘電晶體本體之希望的高度。於本 發明一實施例中,半導體裝置基板1〇〇6具有厚度或高度 1 0 1 6小於3 0奈米以及理想地小於2 0奈米。於本發明一實 施例中,半導體裝置基板1 006具有厚度1016約略等於製 造的三閘電晶體希望的閘極「長度」。於本發明一實施例 中,半導體裝置基板1〇〇6具有厚度1016大於欲形成的三 閘電晶體希望的閘極長度。於本發明一實施例中,半導體 裝置基板1 006具有厚度能使製造的三閘電晶體於其希望 的閘極長度(Lg )以完全空乏方式操作。將半導體裝置基 板1006結合至或形成於基板1 002上之後,形成SOI基板 。三閘裝置之半導體本體係形成於半導體裝置基板1006 中。半導體裝置基板結合至基板1 002,得使形成於 半導體裝置基板1006中之三閘裝置的每一側皆具有<1〇〇> 晶面。 -18- J287263 • (15) 半導體裝置基板1 006可以任何熟知方法形成於(結 合至)絕緣基板1 002。於一範例方法中,基板1 002具有 定位在<11〇>晶面位置之凹槽1010。基板1 002可如前述 般自具有參考凹槽產生於<1 1〇>位置之錠切割而來之晶圓 。於一實施例中,半導體裝置基板1 006包含凹槽1012, 亦定位於<11 〇>晶面位置。與基板1 002類似,半導體裝置 基板1 006亦可自具有參考凹槽產生於<1 1〇>位置之錠切割 而來之晶圓。半導體裝置基板1 006可比基板1 002品質更 高。於一實施例中,基板1 002包含絕緣層1 004以及半導 體裝置基板1 006包含絕緣層1 008。使用諸如智慧切割( SMARTCUT)以及已結合並回蝕刻絕緣體上覆矽(BESOI; Bonded and Etch B ack S 01 )之方法或其他結合方法將半 導體裝置基板1 006與基板1 002在絕緣層處結合在一起。 結合在一起之前,旋轉半導體裝置基板1 006,使凹槽 1012相對於凹槽1010偏移45度或-45度。從而改變半導 ® φ體裝置基板1〇〇6之晶面結構。 於SMARTCUT方法中(第11圖),可將半導體裝置 基板1 006氧化,以產生絕緣層1 008。亦可將基板1 002氧 化以產生絕緣層1 〇〇4。接著使用離子佈植將離子植入半導 體裝置基板1 006中特定深度以於半導體裝置基板1 006中 產生深入的微弱層。接著將半導體裝置基板1 006以及基 板1 002清洗並在絕緣層1 004以及1 008處結合。結合前 ,基板1 002以及半導體裝置基板1 006相互偏移約45度 (或-45度)。於一實施例中,基板1002與1006係重疊 -19- (16) •1287263
對齊使得基板1 002之凹槽1010以及半導體裝置基板1006 之凹槽1012相互偏移45度。詳言之,當基板1 006結合 至基板1 002時具有相對於基板1〇〇2凹槽1010旋轉了 45 度或-45度之凹槽1012 (見第10圖)。相對於凹槽1010 凹槽1012之偏移如前述將提供閘極所有側皆擁有<100>晶 面之三閘。接著使用切開方式將在離子佈植之深度的半導 體裝置基板1 006部分切開。半導體裝置基板1 006留下的 部分包含絕緣層1〇〇8係轉移(透過結合)至基板1 002。 可使用退火以及磨光(如化學機械硏磨(CMP ))來完成 SOI基板之形成。具有氧化層1〇〇4以及1008夾於其中之 基板1 002以及半導體裝置基板1〇〇6係稱爲SOI基板。具 有<100>晶面結構於所有側之三閘裝置將形成於半導體裝 置基板1006的表面。 於BES 01方法中(第12圖),可將半導體裝置基板 1 006氧化以產生絕緣層1〇〇8。亦可將基板1 002氧化以產 _馨生絕緣層1〇〇4。接著將半導體裝置基板1 006以及基板 1 0 02清洗並在絕緣層1〇〇4以及1 008處結合。結合前,基 板1 002以及半導體裝置基板1〇〇6相互偏移約45度(或-45度)。於一實施例中’基板1〇〇2與1 006係重疊對齊使 得基板1〇〇2之凹槽1010以及半導體裝置基板1 006之凹 槽1012相互偏移45度。詳言之,當基板1 006結合至基 板1 002時具有相對於基板1〇〇2凹槽1010旋轉了 45度 或-45度之凹槽1012 (見第10圖)。相對於凹槽1010凹 槽1012之偏移如前述將提供閘極所有側皆擁有<1〇〇>晶面 -20- (17) J287263 之三閘。於結合之後,蝕刻並磨光(第11圖)基板1006 以獲得希望之厚度。可使用退火以及磨光(如化學機械硏 磨(CMP ))來完成SOI基板之形成。具有<100>晶面結 構於所有側之三閘裝置將形成於半導體裝置基板1 006的 表面。
於一寳施例中,使用藉氧佈植分隔(SIM0X; Separation by Implantation of Oxygen )之方法形成 SOI 基板。於此實施例中,(第1 3圖),提供基板1 3 00並於 基板1 3 00中執行氧離子深層佈植(通常爲高劑量)形成 SOI基板。將基板1 3 00退火以完成SOI基板之形成。埋 設氧化層1 3 02將形成於基板1 3 00內。於一實施例中,基 板1 3 00爲單晶係基板。三閘裝置將形成於在埋設氧化層 1 3 02之上的矽部分上。因此,在埋設氧化層1 3 02之上的 矽部分主要爲半導體裝置基板1006。於一實施例中,基板 1 3 00係形成自具有參考線產生於<1 1〇>晶面位置之錠,使 得當自該錠切割時,基板1 3 0 0具有產生於< 1 1 〇>晶面位置 之參考凹槽。當置於處理工具上時,相對於處理工具之對 齊點凹槽偏移45度或-45度。因此,並非如傳統般在處理 基板1 3 00中對齊凹槽(如對齊至處理工具上指定給該凹 槽之指定位置),而是將基板1 3 00旋轉使得凹槽於處理 期間偏移。偏移該凹槽將提供如前述具有 <〗00>晶面於閘 極所有側之三閘。於替代實施例中,可自錠〗4 〇 〇產生基 板1 3 00 (第14A圖)’其中參考線定位於<1〇〇>晶面位置 。當錠1400切割成晶圓以產生基板][3〇〇時,在<1〇〇>晶 -21 - •1287263 ^ (18) 面位置產生凹槽1 404。具有<100>凹槽之基板13〇〇可接 著使用如前述SIMOX方法處理。可形成三閘裝置於基板 1 3 00中而無須45度或-45度旋轉基板1 3 00來產生所有側 皆擁有<1〇〇>晶面結構之三閘。 於其他實施例中,除了如第1 1 -1 2圖所示相對於基板 1002旋轉半導體裝置基板1〇〇6或如第13圖所述重新定位 基板1 3 00,可製成非平面裝置之半導體裝置基板以將凹槽 重新定位。甩於形成裝置基板之晶圓的凹槽因而重新定位 至<1〇〇>晶面位置。當需要旋轉裝置基板時,機械旋轉將 支配裝置基板之旋轉的可靠性、準確性以及/或重複性。 例如,當相對於偏移各基板上的凹槽基板1 006以及基板 1 002互相偏移45度或-45度時,偏移之準確度會受到晶 圓結合程序或設備之準確度的影響。因此,基板1 006之 機械相對於基板1 002之旋轉會支配偏移程度(例如數度 )。爲了降低不對齊之可能性,可產生凹槽位在<1〇〇>晶 ® φ面位置(相對於<11 〇>位置)之裝置基板1 006或基板 1 3 00。如第14Α圖所示,用於後續形成裝置基板1 006或 基板1 002之錠1 400可使用X光衍射形成有參考線1402 產生於<1〇〇>晶面位置,其比晶圓結合程序有更準確的機 械旋轉。當切割錠1 400以產生複數個晶圓1 406時,其可 用於形成基板1 006或1 3 00,每個晶圓1 406將具有凹槽 1 406定位在<100>晶面位置。 於第14Β途中,晶圓1 406結合至另一晶圓,基板 1 002,於一實施例中,以產生SOI基板。晶圓1 406可包 -22- 1287263 • (19) 含絕緣層1 408以及基板1 002可包含如前述之絕緣層1004 。如同先前,基板1002包含凹槽1〇1〇如前述產生在 <110>晶面位置。但晶圓1406具有凹槽1404定位在<100> 晶面位置。如第14B圖於處理過程中凹槽1 404以及1010 互相重疊對齊。於處理過程中無須旋轉晶圓1 4 0 6來重新 對齊晶圓1 406之晶體結構。晶圓1 406會具有45度或-45 度之偏移,由於凹槽1 404至<100>晶面位置之重新定位以 重新對齊晶圓1 404中的晶面結構。凹槽1 404至<100>晶 面位置之重新定位允許形成於晶圓1 4 0 6中的非平面裝置 具有高移動率所期望之擁有<1〇〇>晶面平面的所有側。 第15A_15J圖描述根據本發明實施例製造非平面裝置 或裝置1 500 (如三閘電晶體)之範例方法。於第15A圖 中,提供基板1502。該基板1502包含半導體基板1504 ( 如矽塊)以及絕緣薄膜1 5 0 6 (如二氧化矽)。在絕緣薄膜 1 5 0 6之上,形成裝置半導體基板1 5 0 8 (如單晶矽)。基 ® _板1 5 02以及裝置基板1 5 08合倂稱爲前述之SOI基板。裝 置基板1 5 08 ’於一實施例中,具有產生於<1〇〇>晶面位置 之凹槽(未圖示)以及基板1 5 02具有產生於<1 1 〇>晶面位 置之凹槽(未圖示)。如前述互相重疊對齊該些凹槽。於 一替代實施例中,裝置基板1 5 0 8以及基板1 5 0 2兩者皆具 有產生於< 1 1 〇>晶面位置之凹槽。當結合再一起形成S 0 I 基板時,將裝置基板1 5 0 8旋轉4 5度(或-4 5度),以使 諸凹槽如前述般能互相偏移。可在裝置基板1 5 0 8內形成 隔離區域(未圖不)以互相隔離形成於其中的各種電晶體 -23- (20) J287263 。可藉由蝕刻掉環繞三閛電晶體隻裝置基板1 5 082的部分 形成隔離區域,例如藉由熟知的光微影以及蝕刻技術,並 接著以諸如Si02之絕緣薄膜回塡被蝕刻的區域。
接著,如第1 5 B圖所示將光阻遮罩1 5 1 0形成於裝置 基板上。光阻遮罩1510包含圖案或複數個圖案1512,定 義後續將形成裝置1 5 00之半導體本體或鰭1 520之位置。 光阻遮罩1512定義後續形成之半導體本體1 5 20之期望的 寬度1518。於本發明一實施例中,圖案1512定義本體 1 520,其具有寬度1518大於或等於製造的電晶體閘極長 度(Lg)期望之寬度。依此方式,用於製造電晶體之最嚴 苛的光微影限制係與閘極電極圖案化有關而非半導體本體 或鰭之定義。於本發明一實施例中,本體1520會具有小 於或等於3 0奈米且理想地小於或等於2 0奈米之寬度。於 本發明一實施例中,本體1 5 20之圖案15 12具有大約等於 矽本體高度1 5 09之寬度1518。於本發明一實施例中,光 阻圖案1512具有介於半導體本體高度1 5 09之1/2以及半 導體本體高度1 5 09之兩倍之間的寬度1518。 光阻遮罩1510亦可包含圖案1514以及1516,用於定 義將形成源極著陸墊1 522以及汲極著陸墊1 524之位置。 該些著陸墊可用於連接製造的電晶體之各種源極區域在一 起以及連接各種汲極區域在一起。可用熟知的光微影技術 包含遮罩、曝光以及顯影披蓋沉積之光阻薄膜來形成光阻 遮罩1 5 1 0。 接著,如第1 5 C圖所示,與光阻遮罩對齊地蝕刻裝置 -24- .1287263 > (21) 基板1 5 08以形成一或更多矽本體或鰭以及源極與汲極著 陸墊(若有需要)。蝕刻基板1 5 0 8直到暴露出埋設氧化 層1 5 06。熟知的半導體蝕刻技術,如非等向性電漿蝕刻或 反應性離子飩刻可用於蝕刻基板1 5 08。 接著,藉由熟知的技術,諸如化學剝除以及〇2灰化 ,移除光阻遮罩1 5 1 0以產生如第1 5D圖所示中之基板。 接著,閘極電介質層1 526形成於以及圍繞每一個半 ®^導體本體1 520。閘極電介質層1 526形成於每一個半導體 本體1 520上表面1 527以及橫向相對側壁1 52 8以及1529 上。閘極電介質可爲沉積的電介質或生長的電介質。於本 發明一實施例中,閘極電介質層1 52 6係以乾/濕氧化程序 生長之二氧化矽電介質薄膜。於本發明一實施例中,二氧 化矽薄膜係生長有介於5-1 5A之間的厚度。於本發明一實 施例中,閘極電介質薄膜1 526爲沉積的電介質,如但不 限於高電介質常數薄膜,諸如金屬氧化電介質,諸如五氧 ® φ化鉅(Ta205 )以及氧化鈦(Ti02)或其他高K電介質, 如PZT。高電介質常數薄膜可以任何熟知的技術形成,如 化學蒸氣沉積(CVD)。 接著,如第1 5 F圖所示,形成閘極電極1 5 3 0。閘極 電極1 53 0形成於形成在每一個半導體本體1 520上表面 1 527上以及側壁1 528以及1 529旁之閘極電介質層1526 之上。閘極電極1 53 0具有上表面1 5 3 2相對於形成於絕緣 基板1 502上之下表面,並具有一對橫向相對之側壁1534 以及1 5 3 6。相對側壁1 5 3 4以及1 5 3 6之間的距離定義三閘 -25- (22) J287263
電晶體之閘極長度(Lg)。如第15D圖所示可藉由披蓋沉 積適當閘極電極材料於基板上形成閘極電極1 5 3 0。可形成 閘極電極至200-9000A之間的厚度1 5 3 3。於一實施例中 ,閘極電極具有爲半導體本體1 520之高度1 5 09至少三倍 之厚度或高度1 5 3 3。接著以熟知光微影以及蝕刻技術圖案 化閘極電極材料以自閘極電極材料形成閘極電極1 5 3 0。閘 極電極材料可包含多晶砂、多晶砂鍺合金以及金屬,如鎢 、鉅以及其氮化物。於本發明一實施例中,閘極電極1 5 3 0 具有小於或等於30奈米以及理想地小於或等於20奈米之 閘極長度1 5 3 8。 接著,電晶體之源極1 540以及汲極1 524區域形成於 閘極電極1 5 3 0相對側上半導體本體中。於本發明一實施 例中,源極1 540以及汲極1 524區域包含頂端或源極/汲 極延伸區域。可藉由置入摻雜物1 5 44於閘極電極1 5 3 0兩 側壁1 5 3 4以及1 5 3 6上之半導體本體1 5 2 0內形成源極/汲 極區域以及延伸。若使用源極以及汲極著陸墊,亦可在此 時摻雜形成它們。針對PMOS三閘電晶體,半導體鰭或本 體1 5 2 0摻雜成p型導電性並且介於1χ1〇2、1χΐ〇21原子/立 方公分之間的濃度。針對PMOS三閘電晶體,半導體鰭或 本體1 5 20摻雜成η型導電性並且介於ΐχΐ〇2、ιχ102ΐ原子/ 立方公分之間的濃度。於本發明一實施例中,藉由離子佈 植摻雜矽薄膜。於本發明一實施例中,如第1 5 F圖中所示 離子佈植係垂直地發生。當閘極電極1 5 3 0爲多晶矽閘極 電極時,可在離子佈植過程中將之摻雜。閘極電極1 5 3 0 -26- •1287263 * (23) 可作爲遮罩防止離子佈植步驟摻雜三閘電晶體之通道區域 1548。通道區域1548爲位在閘極電極1530之下或周圍之 矽本體1520部分。若閘極電極1530爲金屬電極’可使用 電介質硬式遮罩阻隔在離子佈植期間之摻雜。於其他®施 例中,其他方法,如固體源極擴散可用於摻雜半導體本體 以形成源極以及汲極延伸。 接著,若有需要,可進一步處理第15F圖中所不之基 板以形成額外的特徵,如高摻雜源極/汲極接觸區域、於 源極以及汲極區域與閘極電極上沉積的砂以及於源極/汲 極接觸區域與閘極電極上矽化物之形成。例如,電介質側 壁間隔體1 5 5 0 (第1 5 G圖)可形成於閘極電極1 5 3 0之側 壁上;半導體薄膜1560以及1562(第15Η圖)可形成於 本體1 520暴露的表面上作爲特定應用(如用於形成突起 之源極與汲極區域);可執行額外的摻雜(如形成突起之 源極與汲極區域)(第1 5 J圖);以及耐火金屬矽化物 B φ 1 5 8 0可形成於源極以及汲極區域以及/或閘極電極! 5 3 〇上 (第1 5 J圖)。形成這些構件之技術爲此技藝中習知者。 雖以藉由數個實施例說明本發明,此技藝中具通常知 識者應了解到本發明並不限於所述實施例。本發明之方法 與裝置可以變更與修改實施而不悖離所附申請專利範圍之 精神與範疇。因此本說明應僅視爲例示性而非限制性。 在已揭露範例實施例的情況下,可對揭露的實施例作 出的變更與變化,同時仍在由所附申請專利範圍定義之本 發明精神與範疇內。 -27- (24) .1287263 【圖式簡單說明】 第1圖爲完全空乏基板電晶體剖面圖。 第2A圖與第2B圖描述雙閘極完全空乏基板電晶體。 第3圖爲根據本發明一實施例之三閘電晶體之圖。 第4圖爲<100>以及<110>移動率特性之對照圖。
第5圖爲生長於<100>晶面方向之矽錠並且具有位在 <1 1 〇>晶面之參考方位之圖。 第6圖爲自第5圖之矽錠切割而來之晶圓圖。 第7 A-7B圖爲自第5圖之矽錠切割而來並具有裝置形 成於其上之晶圓圖。 第8A-8B圖描述具有參考凹槽形成在<100>晶面位置 之晶圓。 第9圖爲根據本發明一實施例之三閘電晶體圖。 第1 〇圖爲根據本發明一實施例之形成用於三閘裝置 ® •之高移動率矽基板之方法圖。 第Π · 1 3圖描述根據本發明一實施例之形成用於三閘 裝置之高移動率矽基板之範例方法。 第MA圖描述具有<100>參考凹槽之範例矽錠。 第14B圖描述具有<100>參考凹槽之晶圓至具有 <1 1〇>參考凹槽之晶圓的結合。 第15A-15J圖描述根據本發明一實施例之製造三閘電 晶體之範例方法。 -28- (25) •1287263 【主要元件符號說明】 100 絕緣體上覆矽電晶體 102 基板 1 04 絕緣層 106 矽體 108 閘極電介質層 110 聞極電極
112 源極 114 汲極 200 雙閘極裝置 202 矽體 2 0 4 絕緣基板 206 閘極電介質 2 0 8 閘極電極 2 0 9 絕緣層 3 00 非平面裝置 3 02 基板 3 0 5 閘極電介質 3 07 閘極電極 3 0 8 半導體本體 3 3 0 源極區域 3 3 2 汲極區域 5 02 錠 5 04 線 -29- (26) •1287263
506 凹槽 508 晶圓 5 10 圓形 5 12 箭頭 514 裝置 514-T 頂側 5 1 4-S 側面 802 晶圓 804 凹槽 806 非平面裝置 806-Τ 頂面 806-S 側面 8 10 圓形 900 電晶體 902 絕緣基板 904 較低單晶砂基板 906 絕緣層 908 半導體本體 910 側壁 912 側壁 9 14 寬度 916 上表面 9 18 下表面 920 本體高度 (27) •1287263
922 閘極電介質層 924 閘極電極 926 側壁 928 側壁 930 聞極長度 950 通道區域 1002 基板 1004 絕緣層 1006 基板 1008 絕緣層 1010 凹槽 1016 厚度(高度) 1300 基板 1302 氧化層 1400 錠 1402 線 1404 凹槽 1406 晶圓 1408 絕緣層 1500 裝置 1502 基板 1504 半導體基板 1506 絕緣層 1508 裝置半導體基板 (28) •1287263 1 5 09 高度 1510 光阻遮罩 1512, 1514, 1516 圖案 1518 桌度 1 520 本體 1 5 22 源極著陸墊 1 5 24 汲極著陸墊
1 5 2 6 閘極電介質層 1 5 2 7 上表面 1 5 2 8,1 529 側壁 1 5 3 0 閘極電極 1 5 3 2 上表面 1 5 3 4,1 5 3 6 側壁 1 5 3 3 厚度 1538 閘極長度 1 5 4 0 源極 1542 汲極 1 5 44 摻雜物 1 5 4 8 通道區域 1 5 5 0 電介質側壁間隔體 1 5 60, 1 5 62 半導體薄膜 1 5 8 0 金屬矽化物 -32-

Claims (1)

1287263 (1)
十、申請專利範圍 附件4 : 第94 1 1 8759號專利申請案 中文申請專利範圍替換本 民國96年6月1曰修正 ^一種高移動率半導體組件,包含: φ 第一基板,具有定位在第一基板上<110>晶面位置之 第一參考方位;以及 第二基板,形成在第一基板之上,第二基板具有定位 在第二基板上<1〇〇>晶面位置之第二參考方位, 其中第一參考方位與第二參考方位對齊。 2 ·如申請專利範閨第1項之高移動率半導體組件,進 一步包含: 設置於第一基板與第二基板之間的絕緣層。
3·如申請專利範圍第1項之高移動率半導體組件,其 中第一參考方位以及第二參考方位的每一個包含分別形成 於第一基板以及第二基板之每一個之中的凹槽。 4.如申請專利範圍第1項之高移動率半導體組件,其 中第二基板提供用於形成非平面裝置於其中的表面以及其 中該非平面裝置具有皆擁有<1〇〇>晶面之上表面以及諸側 表面。 5 .如申請專利範圍第1項之高移動率半導體組件’其 中第一基板進一步包含第一絕緣層以及第二基板包含第二 (2) 1287263 ,絕緣層,以及其中第一基板以及第二基板在第一與第二絕 緣層互相結合在一起。 6.如申請專利範圍第1項之高移動率半導體組件,其 中第二基板具有擁有<1〇〇>晶面之上場(field)以及複數 個各擁有<100>晶面之側場。 7·如申請專利範圍第1項之高移動率半導體組件,其 中第一基板係選自於由矽塊、多晶矽、較低單晶矽以及砷 φ 化鎵所組成之群組之材料製成。 8. 如申請專利範圍第1項之高移動率半導體組件,其 中第二基板係選自於由矽、鍺、矽化鍺、砷化鎵、InSb、 GaP、GaSb以及奈米碳管所組成之群組之材料製成。 9. 如申請專利範圍第1項之高移動率半導體組件,進 一步包含形成於第二基板中之非平面裝置,其中該非平面 裝置包含, 半導體本體,具有形成於第一基板上以及第二基板中 # 之上表面以及橫向相對之諸側壁,其中半導體本體之上表 面以及諸橫向相對之側壁各擁有<1〇〇>晶面; 閘極電介質,形成於該半導體本體之上表面上以及諸 橫向相對之側壁上;以及 閘極電極,與形成於該半導體本體之上表面以及諸橫 向相對之側壁上之該閘極電介質相鄰形成。 1 0.如申請專利範圍第9項之高移動率半導體組件, 進一步包含: 一對源極/汲極區域,形成於閘極電極相對側上之矽 -2- 1287263 、 (3) _本體之中。 1 1. 一種高移動率半導體組件,包含: 第一基板,具有定位在第一基板上<11 〇>晶面位置之 第一參考方位;以及 第二基板,形成在第一基板之上,第二基板具有定位 在第二基板上<1 1〇>晶面位置之第二參考方位, 其中第二基板形成於第一基板之上,以及其中第二參 φ 考方位自第一參考方位偏移約45度。 1 2 ·如申請專利範圍第1 1項之高移動率半導體組件, 進一步包含: 設置於第一基板與第二基板之間的絕緣層。 1 3 ·如申請專利範圍第1 1項之高移動率半導體組件, 其中第一參考方位以及第二參考方位的每一個包含分別形 成於第一基板以及第二基板之每一個之中的凹槽。 1 4 ·如申請專利範圍第1 1項之高移動率半導體組件, Φ 其中第一基板進一步包含第一絕緣層以及第二基板包含第 一絕緣層,以及其中第一基板以及第二基板在第一與第二 絕緣層互相結合在一起。 1 5 .如申請專利範圍第丨1項之高移動率半導體組件, 其中第二基板具有擁有<10〇>晶面之上場以及複數個各擁 有<100>晶面之側場。 16·如申請專利範圍第1 1項之高移動率半導體組件, 其中第一基板係選自於由矽塊、多晶矽、低單晶矽以及砷 化鎵所組成之群組之材料製成。 -3 - (4) (4)
1287263 1 7 ·如申請專利範圍第1 1項之高移動率半導體組件, 其中第二基板係選自於由矽、鍺、矽化鍺、砷化鎵、InSb 、GaP、GaSb以及奈米碳管所組成之群組之材料製成。 18·如申請專利範圍第1 1項之高移動率半導體組件, 進一步包含形成於第二基板中之非平面裝置,其中該非平 面裝置包含, 半導體本體,具有形成於第一基板上以及第二基板中 之上表面以及橫向相對之諸側壁,其中半導體本體之上表 面以及諸橫向相對之側壁各擁有<1〇〇>晶面; 閘極電介質,形成於該半導體本體之上表面上以及諸 橫向相對之側壁上;以及 閘極電極,與形成於該半導體本體之上表面以及諸橫 向相對之側壁上之該閘極電介質相鄰形成。 19·如申請專利範圍第18項之高移動率半導體組件, 進一步包含: 一對源極/汲極區域,形成於閘極電極相對側上之矽 本體之中。 2 0.—種製造高移動率半導體組件之方法,包含·· 設置第一基板,其具有定位在第一基板上<11 〇>晶面 位置之第一參考方位;以及 形成第二基板於第一基板之上,第二基板具有定位在 第二基板上<1〇〇>晶面位置之第二參考方位, 其中該形成包含將第一參考方位與第二參考方位對齊 - 4- (5) 1287263 2 1 .如申請專利範圍第20項之製造高移動率半導體組 件之方法’其中第一基板以及第二基板之每一個包含絕緣 層以及其中第二基板以及第一基板係在絕緣層互相結合在 一起。 22.如申請專利範圍第20項之製造高移動率半導體組 件之方法,包含: 形成非平面裝置於第二基板中,其中該非平面裝置具 φ 有皆擁有<1〇〇>晶面之上表面以及諸側表面。 23·如申請專利範圍第20項之製造高移動率半導體組 件之方法,進一步包含: 形成三閘電晶體於第二基板中,其中該三閘電晶體包 含, 半導體本體,具有上表面以及橫向相對之諸側壁,其 中半導體本體之該上表面以及該橫向相對之諸側壁各擁有 <100>晶面;
閘極電介質,形成於該半導體本體之上表面上以及諸 橫向相對之側壁上;以及 閘極電極,與形成於該半導體本體之上表面以及諸橫 向相對之側壁上之該閘極電介質相鄰形成。 24·如申請專利範圍第20項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係包含使用智 慧切割(SMARTCUT)方法以及已結合並回蝕刻(Bonded and Etch Back)方法之任一種將第二基板轉移至第一基板 (6) 1287263 。 25·如申請專利範圍第20項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置用於形成第二基板之第三基板,該第三基板具有 定位於第三基板上<100>晶面位置之第三參考方位; 佈植離子至第三基板中預定深度; 將第三基板與第一基板結合,其中第三參考方位實質 φ 上與第一參考方位對齊;以及 切開第三基板以轉移第三基板之一部分至第一基板, 其中第三基板之該轉移的部分形成第二基板。 26·如申請專利範圍第20項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置具有絕緣層之第三基板,該第三基板係用於形成 第二基板,該第三基板具有定位於第三基板上<100>晶面 •位置之第三參考方位; 佈植離子至第三基板中預定深度; 將第三基板與第一基板結合,其中第三參考方位實質 上與第一參考方位對齊,其中第一基板進一步包含絕緣層 以及其中第三基板係在絕緣層與第一基板結合;以及 切開第三基板以轉移第三基板之一部分至第一基板, 其中第三基板之該轉移的部分形成第二基板。 27·如申請專利範圍第20項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 -6- (7) 1287263 設置用於形成第二基板之第三基板,該第三基板具有 定位於第三基板上<100>晶面位置之第三參考方位; 將第三基板與第一基板結合,其中第三參考方位實質 上與第一參考方位對齊;以及 蝕刻第三基板至預定深度,留下第三基板之一部分於 第一基板之上,其中第三基板之該部分形成第二基板。
28·如申請專利範圍第20項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置具有絕緣層之第三基板,該第三基板係用於形成 第二基板,該第三基板具有定位於第三基板上<1〇〇>晶面 位置之第三參考方位; 將第三基板與第一基板結合,其中第三參考方位實質 上與第一參考方位對齊,其中第一基板進一步包含絕緣層 Φ 以及其中第三基板係在絕緣層與第一基板結合;以及 蝕刻第三基板至預定深度,留下第三基板之一部分於 第一基板之上,其中第三基板之該部分形成第二基板。 29· —種製造高移動率半導體組件之方法,包含: 設置第一基板,其具有定位在第一基板上<11 0>晶面 位置之第一參考方位;以及 形成第二基板於第一基板之上,第二基板具有定位在 第二基板上<1 10>晶面位置之第二參考方位, 其中該形成包含將第二基板形成於第一基板之上,以 (8) 1287263 及其中第二參考方位自第一參考方位偏移約45度。 30·如申請專利範圍第29項之製造高移動率半導體組 件之方法’其中第一基板以及第二基板之每一個包含絕緣 層以及其中第二基板以及第一基板係在絕緣層互相結合在 一起0 31·如申請專利範圍第29項之製造高移動率半導體組 件之方法,包含:
形成非平面裝置於第二基板中,其中該非平面裝置具 有皆擁有<100>晶面之上表面以及諸側表面。 32·如申請專利範圍第29項之製造高移動率半導體組 件之方法,進一步包含: 形成三閘電晶體於第二基板中,其中該三閘電晶體包 含 半導體本體,具有上表面以及橫向相對之諸側壁,其 中半導體本體之該上表面以及該橫向相對之諸側壁各擁有 Φ <1〇〇>晶面; 閘極電介質,形成於該半導體本體之上表面上以及諸 橫向相對之側壁上;以及 閘極電極,與形成於該半導體本體之上表面以及諸橫 向相對之側壁上之該閘極電介質相鄰形成。 33·如申請專利範圍第29項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係包含使用智 慧切割(SMARTCUT)方法以及已結合並回蝕刻(Bonded and Etch Back)方法之任一種將第二基板轉移至第一基板 -8- (9) 1287263 34.如申請專利範圍第29項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置用於形成第二基板之第三基板,該第三基板具有 定位於第三基板上<11 〇>晶面位置之第三參考方位; 佈植離子至第三基板中預定深度;
將第三基板與第一基板結合,其中第三參考方位相對 於第一參考方位實質上偏移約45度;以及 切開第三基板以轉移第三基板之一部分至第一基板, 其中第三基板之該轉移的部分形成第二基板。 35.如申請專利範圍第29項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置具有絕緣層之第三基板,該第三基板係用於形成 φ 第二基板,該第三基板具有定位於第三基板上<11 0>晶面 位置之第三參考方位; 佈植離子至第三基板中預定深度; 將第三基板與第一基板結合,其中第三參考方位相對 於第一參考方位實質上偏移約45度,其中第一基板進一 步包含絕緣層以及其中第三基板係在絕緣層與第一基板結 合;以及 切開第三基板以轉移第三基板之一部分至第一基板, 其中第三基板之該轉移的部分形成第二基板。 -9- (10) 1287263 36.如申請專利範圍第29項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置用於形成第二基板之第三基板,該第三基板具有 定位於第三基板上<11 〇>晶面位置之第三參考方位; 將第三基板與第一基板結合,其中第三參考方位相對 於第一參考方位實質上偏移約45度;以及
蝕刻第三基板至預定深度,留下第三基板之一部分於 第一基板之上,其中第三基板之該部分形成第二基板。 37.如申請專利範圍第29項之製造高移動率半導體組 件之方法,其中於第一基板上形成第二基板係進一步包含 設置具有絕緣層之第三基板,該第三基板係用於形成 第二基板,該第三基板具有定位於第三基板上<11 〇>晶面 位置之第三參考方位; 將第三基板與第一基板結合,其中第三參考方位相對 於第一參考方位實質上偏移約45度,其中第一基板進一 步包含絕緣層以及其中第三基板係在絕緣層與第一基板結 合;以及 蝕刻第三基板至預定深度,留下第三基板之一部分於 第一基板之上,其中第三基板之該部分形成第二基板。 38. —種製造高移動率半導體組件之方法,包含: 設置一基板,其具有定位於基板上<100>晶面位置之 參考方位; -10- (11) 1287263 形成埋設氧化區域於基板中;以及 形成非平面裝置於基板之埋設氧化物上的一部分中, 其中該非平面裝置具有皆擁有<100>晶面之上表面以及諸 側表面。 3 9 ·如申請專利範圍第3 8項之製造高移動率半導體組 件之方法,其中該非平面裝置之形成進一步包含:
形成三閘電晶體於基板之埋設氧化物上之該部分中, 其中該三閘電晶體包含具有上表面以及橫向枏對諸側壁之 半導體本體,其中半導體本體之該上表面以及該橫向相對 之諸側壁各擁有<100>晶面,形成於該半導體本體之上表 面上以及橫向相對之諸側壁上之閘極電介質,以及與形成 於該半導體本體之上表面以及諸橫向相對之側壁上之該閘 極電介質相鄰形成之閘極電極。 40.如申請專利範圍第39項之製造高移動率半導體組 件之方法,進一步包含: 形成源極以及汲極區域於閘極電極相對側上。 41·如申請專利範圍第38項之製造高移動率半導體組 件之方法,其中於基板中形成埋設氧化區域係使用藉氧佈 値分隔(SIMOX )方法來實行。 42·如申請專利範圍第38項之製造高移動率半導體組 件之方法,其中於基板中形成埋設氧化區域係進一步包含 將氧植入基板內並將基板退火。 43.如申請專利範圍第38項之製造高移動率半導體組 件之方法,其中於基板中形成埋設氧化區域係進一步包含 -11 - (12) Γ287263 ,將氧植入基板內並將基板退火以及其中該基板具有定位於 <1〇〇>晶面位置之參考方位。 44·如申請專利範圍第38項之製造高移動率半導體組 件之方法,其中於基板中形成埋設氧化區域係進一步包含 將氧植入基板內並將基板退火以及其中該基板具有定位於 晶面位置之參考方位,以及其中將該基板旋轉約45 度0
-12-
TW094118759A 2004-06-30 2005-06-07 High mobility tri-gate devices and methods of fabrication TWI287263B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/883,183 US7042009B2 (en) 2004-06-30 2004-06-30 High mobility tri-gate devices and methods of fabrication

Publications (2)

Publication Number Publication Date
TW200625465A TW200625465A (en) 2006-07-16
TWI287263B true TWI287263B (en) 2007-09-21

Family

ID=34972228

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094118759A TWI287263B (en) 2004-06-30 2005-06-07 High mobility tri-gate devices and methods of fabrication

Country Status (6)

Country Link
US (2) US7042009B2 (zh)
KR (1) KR100874960B1 (zh)
CN (1) CN1977387B (zh)
DE (1) DE112005001488B4 (zh)
TW (1) TWI287263B (zh)
WO (1) WO2006007350A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9067393B2 (en) 2012-10-29 2015-06-30 Industrial Technology Research Institute Method of transferring carbon conductive film

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
JP2005128419A (ja) * 2003-10-27 2005-05-19 Nec Corp 光導波路構造およびその作製方法
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100585131B1 (ko) * 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7253072B2 (en) * 2004-05-13 2007-08-07 Texas Instruments Incorporated Implant optimization scheme
JP2006019578A (ja) * 2004-07-02 2006-01-19 Toshiba Corp 半導体装置及びその製造方法
US20060044690A1 (en) * 2004-08-31 2006-03-02 Buchan Nicholas I Method and apparatus for manufacturing silicon sliders with reduced susceptibility to fractures
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070063279A1 (en) * 2005-09-16 2007-03-22 Tolchinsky Peter G Insulation layer for silicon-on-insulator wafer
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
TWI463655B (zh) * 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7687859B2 (en) 2007-09-07 2010-03-30 Infineon Technologies Ag Electronic circuit and method of manufacturing an electronic circuit
US7629643B2 (en) * 2007-11-30 2009-12-08 Intel Corporation Independent n-tips for multi-gate transistors
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
DE102008030853B4 (de) * 2008-06-30 2014-04-30 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Dreidimensionaler Transistor mit einer Doppelkanal-Konfiguration
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN101853882B (zh) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
WO2011004211A1 (en) * 2009-07-08 2011-01-13 S.O.I.Tec Silicon On Insulator Technologies Composite substrate with crystalline seed layer and carrier layer with a coincident cleavage plane
US8440998B2 (en) * 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8349692B2 (en) 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
US9559160B2 (en) * 2011-12-23 2017-01-31 Intel Corporation Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
CN103367153B (zh) * 2012-03-31 2015-11-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN103378152B (zh) * 2012-04-24 2016-02-17 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
KR101289666B1 (ko) 2012-08-13 2013-07-26 한국과학기술원 벌크형 나노구조 트랜지스터 및 이의 제조방법
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US10529414B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines

Family Cites Families (449)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3387820A (en) 1965-05-24 1968-06-11 Continental Aviat & Engineerin Turbine engine construction
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4994873A (en) 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5278012A (en) 1989-03-29 1994-01-11 Hitachi, Ltd. Method for producing thin film multilayer substrate, and method and apparatus for detecting circuit conductor pattern of the substrate
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5218213A (en) 1991-02-22 1993-06-08 Harris Corporation SOI wafer with sige
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (de) 1991-04-26 1997-02-20 Canon Kk Halbleitervorrichtung mit verbessertem isoliertem Gate-Transistor
JPH05152293A (ja) 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) * 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) * 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) * 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
KR960002088B1 (ko) 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH0750421A (ja) 1993-05-06 1995-02-21 Siemens Ag Mos形電界効果トランジスタ
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (ja) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) * 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5728594A (en) 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
GB2295488B (en) * 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
JPH08204191A (ja) * 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) * 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW556263B (en) 1996-07-11 2003-10-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
TW406315B (en) * 1997-06-30 2000-09-21 Siemens Ag Closed transistor with small W/L ratios
JPH1140811A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6351040B1 (en) 1998-01-22 2002-02-26 Micron Technology, Inc. Method and apparatus for implementing selected functionality on an integrated circuit device
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) * 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP3167296B2 (ja) * 1998-07-31 2001-05-21 日本特殊陶業株式会社 樹脂製配線基板
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
KR100720842B1 (ko) 1999-03-26 2007-05-25 코닌클리케 필립스 일렉트로닉스 엔.브이. 비디오 코딩 방법 및 대응 비디오 코더
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
EP1063697B1 (en) 1999-06-18 2003-03-12 Lucent Technologies Inc. A process for fabricating a CMOS integrated circuit having vertical transistors
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6159808A (en) 1999-11-12 2000-12-12 United Semiconductor Corp. Method of forming self-aligned DRAM cell
AU3970401A (en) 1999-11-29 2001-06-04 Trustees Of The University Of Pennsylvania, The Fabrication of nanometer size gaps on an electrode
US6150670A (en) 1999-11-30 2000-11-21 International Business Machines Corporation Process for fabricating a uniform gate oxide of a vertical transistor
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
EP1188516A4 (en) * 2000-02-23 2004-12-08 Shinetsu Handotai Kk METHOD AND APPARATUS FOR POLISHING AN OUTER CIRCULAR PART WITH CHAMFER OF A WAFER
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) * 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100360476B1 (ko) 2000-06-27 2002-11-08 삼성전자 주식회사 탄소나노튜브를 이용한 나노 크기 수직 트랜지스터 및 그제조방법
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6798000B2 (en) 2000-07-04 2004-09-28 Infineon Technologies Ag Field effect transistor
JP3859199B2 (ja) 2000-07-18 2006-12-20 エルジー エレクトロニクス インコーポレイティド カーボンナノチューブの水平成長方法及びこれを利用した電界効果トランジスタ
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6770390B2 (en) * 2000-11-13 2004-08-03 Air Products And Chemicals, Inc. Carbon monoxide/water removal from fuel cell feed gas
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
AU2001267880A1 (en) 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) * 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) * 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6534807B2 (en) 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
FR2830123A1 (fr) * 2001-09-26 2003-03-28 St Microelectronics Sa Peripherie haute tension
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
KR100398874B1 (ko) 2001-11-21 2003-09-19 삼성전자주식회사 티자형의 게이트 전극을 갖는 모스 트랜지스터 및 그 제조방법
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
JP4265882B2 (ja) * 2001-12-13 2009-05-20 忠弘 大見 相補型mis装置
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
TW543150B (en) * 2002-02-05 2003-07-21 Taiwan Semiconductor Mfg Structure of bonded wafer
US6784071B2 (en) * 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (fr) 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
KR100471933B1 (ko) * 2002-05-20 2005-03-08 한국과학기술연구원 고 품질계수를 갖는 마이크로파 유전체 세라믹 조성물
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
DE10232804A1 (de) 2002-07-19 2004-02-12 Piv Drives Gmbh Landmaschine mit stufenlosem Kegelscheibengetriebe
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (ko) 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US6686231B1 (en) 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6867425B2 (en) 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
WO2004059703A1 (en) 2002-12-19 2004-07-15 International Business Machines Corporation Finfet sram cell using inverted finfet thin film transistors
EP1581968B1 (en) 2002-12-20 2010-05-12 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) * 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
JP4689969B2 (ja) 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
CN1799146A (zh) 2003-05-30 2006-07-05 松下电器产业株式会社 半导体装置及其制造方法
US7812340B2 (en) 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7196372B1 (en) 2003-07-08 2007-03-27 Spansion Llc Flash memory device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (ko) * 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US20070187682A1 (en) 2003-08-28 2007-08-16 Nec Corporation Semiconductor device having fin-type effect transistor
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
JP4904815B2 (ja) 2003-10-09 2012-03-28 日本電気株式会社 半導体装置及びその製造方法
EP1683193A1 (en) * 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
KR100521384B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (ja) 2003-12-22 2005-07-07 Mitsubishi Electric Corp 高周波用半導体装置
US7569882B2 (en) 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US6997415B2 (en) 2003-12-31 2006-02-14 Gulfstream Aerospace Corporation Method and arrangement for aircraft fuel dispersion
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) * 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
JPWO2005091374A1 (ja) 2004-03-19 2008-02-07 日本電気株式会社 半導体装置及びその製造方法
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US7023018B2 (en) 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7989855B2 (en) 2004-06-10 2011-08-02 Nec Corporation Semiconductor device including a deflected part
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
KR100541657B1 (ko) 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP2008523622A (ja) 2004-12-07 2008-07-03 サンダーバード・テクノロジーズ,インコーポレイテッド Fermi−FETのひずみシリコンとゲート技術
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) * 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7470951B2 (en) 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (ja) 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
WO2008061236A2 (en) 2006-11-16 2008-05-22 Allergan, Inc. Sulfoximines as kinase inhibitors
US7678632B2 (en) 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US7655989B2 (en) 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080212392A1 (en) 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9067393B2 (en) 2012-10-29 2015-06-30 Industrial Technology Research Institute Method of transferring carbon conductive film

Also Published As

Publication number Publication date
WO2006007350A1 (en) 2006-01-19
US20060001109A1 (en) 2006-01-05
KR20070022819A (ko) 2007-02-27
US8084818B2 (en) 2011-12-27
CN1977387B (zh) 2010-09-01
DE112005001488B4 (de) 2014-04-24
DE112005001488T5 (de) 2007-05-24
US20100065888A1 (en) 2010-03-18
TW200625465A (en) 2006-07-16
KR100874960B1 (ko) 2008-12-19
US7042009B2 (en) 2006-05-09
CN1977387A (zh) 2007-06-06

Similar Documents

Publication Publication Date Title
TWI287263B (en) High mobility tri-gate devices and methods of fabrication
JP6211673B2 (ja) トリゲート・デバイス及び製造方法
US9837321B2 (en) Nonplanar device and strain-generating channel dielectric
TWI241718B (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US9559119B2 (en) High voltage metal oxide semiconductor field effect transistor integrated into extremely thin semiconductor on insulator process
TWI305053B (en) Nonplanar device with thinned lower body portion and method of fabrication
US10475899B2 (en) Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
US9018739B2 (en) Semiconductor device and method of fabricating the same
TW201318077A (zh) 替換源極/汲極鰭片式場效電晶體(finfet)之製造方法
TWI646654B (zh) 製造高電阻率絕緣體上半導體底材之方法
US9472572B2 (en) Fin field effect transistor (finFET) device including a set of merged fins formed adjacent a set of unmerged fins
CN111106111B (zh) 半导体装置及其制造方法及包括该半导体装置的电子设备
US11145508B2 (en) Forming a fin cut in a hardmask
US8294243B2 (en) Lateral bipolar transistor with compensated well regions
US11776963B2 (en) Semiconductor structure and method of manufacturing the same
JP5719381B2 (ja) 低寄生容量ボディ・コンタクト・トランジスタ
TWI758818B (zh) 包含浮動閘極之延伸汲極場效電晶體

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees