TW490739B - Graded thin films - Google Patents

Graded thin films Download PDF

Info

Publication number
TW490739B
TW490739B TW090105107A TW90105107A TW490739B TW 490739 B TW490739 B TW 490739B TW 090105107 A TW090105107 A TW 090105107A TW 90105107 A TW90105107 A TW 90105107A TW 490739 B TW490739 B TW 490739B
Authority
TW
Taiwan
Prior art keywords
patent application
scope
item
evaporation stage
source gas
Prior art date
Application number
TW090105107A
Other languages
English (en)
Inventor
Christiaan J Werkhoven
Ivo Raaijmakers
Suvi P Haukka
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Application granted granted Critical
Publication of TW490739B publication Critical patent/TW490739B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

490739 A7 B7 7324pif.doc/008 五、發明說明(丨) 發明領域 本發明大體而言是有關於一種積體電路之形成層,且 特別是有關於一種具漸變形雜質濃度之沉積薄膜。 發明背景 在現代積體電路(Integrated Circuit,簡稱1C)之發展中, 包括了眾多的半導體製程步驟。從最初的矽基底的製造到 最後的封裝與測試,積體電路製造包括許多步驟,包括照 相印刷、摻質、蝕刻、及薄膜沉積。由於這些步驟,積體 電路是由精微的元件及包在數個層次間的佈線所形成的。 積體電路的基本構成方塊爲薄膜電晶體(Thin Film Transistor,簡稱TFT)。此電晶體包括一閘極介電層,夾在 ”金屬”層與半導體基底之間,因而將金屬氧化半導體簡稱 爲 nM〇S(Metal-〇xide-Semiconductor)n。實際上,閘極通常 是以導電性摻植矽形成的,而非金屬。閘極介電質一般大 多使用的是Si02或矽二極體。 今日的市場需要更高性能及更快的積體電路。爲了尋 求速度及較低的功率消耗,藉由縮小元件的尺寸而持續地 增加元件封裝密度。直到今日,此尺度已將閘極寬度減小 到0.25μπι以下。目前,已可購得利用〇·18μηι或更小的閘 極寬度或關鍵尺寸的商業產品。應用於這些小元件的尺寸 標準稱爲極薄閘極氧化層,其已發展得比每一代的M0S 積體電路都還小。閘極氧化物的厚度儘可能的做到很小’ 因而增加切換速度。當尺寸持續地縮小時’習知的聞極氧 化層在許多觀點上都不適用。 本紙張尺度適用中國國家標準(CNS)Al規格(21〇χ 297公釐) ★ V 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008
五、發明說明(D 極薄二氧化矽閘極介電質會產生不想要的現象,諸如 量子機械穿隧(Quantum-mechanical tunneling)。在古典的意 義上,對於電子注入矽的導電帶,假使其具有之動能小於 3.1 eV,氧化物代表是相對地不能穿透的障壁。然而,即 使此電子並非具有足夠動能’此電子表示穿過此障壁的有 限機率。此機率會隨較大的閘極電場及/或較薄的閘極氧化 物而增加。對於小於3 nm的氧化厚度,直接穿隧電流變 得大到足以使載子移除的速度快於由熱發生供應的載子。 於是,二氧化砂閘極介電質似乎已達到約1.5 nm至2 nm 的較低尺寸極限。 閘極氧化物的另一個問題是其易受覆蓋閘極的摻植物 擴散影響。多晶矽閘極層通常是以摻植硼來增強其導電 性。當閘極厚度降低,硼可以很容易地穿透閘極氧化物, 使元件的特性產生不穩定現象。硼穿透至閘極介電質會產 生不想要的結果,如啓始電壓的正偏移,副啓始點擺動增 力口,電荷陷套增加,低場電洞移動性降低,及由於P-MOSFET 的多晶矽耗乏引起的電流驅動的降低。 爲了對付二氧化矽的缺陷所做的努力包括將氮加入閘 極介電質。氮化矽(Si3N4)具有比Si02高的介電常數,理論 上可以使非穿隧極限的閘極介電質的等效氧化物厚度變 薄,以及更可用來當作雜質擴散的有效障壁。然而,氮化 矽薄膜與下面的半導體基底之間的介面品質通常很差,會 產生局密度的電荷陷套座(charge trapping site)及針孔 (pinhole),以及伴隨而來的漏電流。因此,進而試圖產生 Si〇2與Si3N4的混合物,例如氮氧化砂(silicon oxynitride)薄 本紙張尺度適用中國國家標準(cns)a‘丨規格(2丨〇 x 297公釐)
Aw ——I—訂·1———— (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 ^0739 ^0739 經濟部智慧財產局員工消費合作杜印製 ‘7324pif.doc/008 五、發明說明(3 ) 膜,用來當作閘極介電質。然而,將氮結合至氧化矽閘極 介電質的習知方法是很難控制的,特別是對於未來世代的 元件的超薄閘極介電質。 對於縮小的問題的其他解決方法,包括使用高介電常 數材料(高K),例如五氧化鉬、鉬鉍緦(strontium bismuth tantalate,簡稱 SBT)、鉬緦鋇(barium strontium tantalate, 簡稱BST)等。雖然其大幅增加介電強度,但這些材料難以 與現存的製造技術整合。 積體電路的尺寸持續縮小所產生的另一個問題是,很 難適當地製造傳導金屬線,用來連結積體電路內的電路。 一個簡化金屬化製程的方式是利用波紋技術(damascene technique)。波紋製程不採用沉積金屬覆蓋層以及將多餘的 金屬蝕刻掉藉以留下接線圖案的方式,而是包括經由切挖 在絕緣層形成用於接線的模版。將金屬塡充至溝渠內以及 經磨光步驟將溢出溝渠外的金屬去除。因而將金屬保留在 溝渠內的所需的接線圖案中。其中從溝渠的底面延伸至下 方的傳導元件的接觸孔或貫孔也同時塡入金屬,此方法稱 爲雙波紋製程。 很不幸的,波紋製程在縮小尺寸時有困難,特別是當 用如銅之快速擴散金屬來做爲金屬線及接觸時。爲了避免 金屬線從周圍的絕緣體剝落以及避免擴散突尖導致金屬線 之間的短路,在塡充金屬之前,在溝渠(以及貫孔,在雙 波紋製程)之間形成一個或多個金屬線層。典型的,利用 金屬黏著層及金屬氮化物障壁層。假如是以電鍍來塡充溝 渠,則亦需要金屬種子層(metal seed layer)。 -----------裝--------訂--— — — — — — (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準 (CNS)A1規格(2K) x 297公坌) 490739 A7 B7 7324pif.doc/008 五、發明說明(β ) 這些金屬層佔據了可用的溝渠的可觀的容積,減少了 更高傳導性金屬塡充物的可用空間。相對於完全塡充金屬 的相同溝渠,其傳導性因而降低。甚至,利用金屬氮化物 線,雖然有利於容納金屬塡充物及避免短路,但已知在電 路運作期間會產生電子遷移,會導致延著金屬線產生空隙 以及更進一步降低傳導性。 因此,對於薄膜,需要克服關於以傳統材料,例如氮 化矽及氧化矽,建構的閘極介電質的問題。同時亦需改善 用以在波紋溝渠內容納金屬而不會過度地減少傳導性的結 構及方法。 發明總結 前面敘述及其他需求可由本發明之數個觀點來達成。 依照本發明之一觀點,於一積體電路中提供一薄膜。 該膜具有很小的厚度,定義在一上表面與一下表面之間。 提供一受控制的及不同的成分貫穿該很小的厚度。實例的 厚度最好小於約1〇〇 A’小於約50 A更佳,以及可以在10 A 的等級。 依照一實施例,該膜包括一閘極介電質,用於一積體 薄膜電晶體。在一配置中,以漸變濃度的氮提供一氧化矽 屢…。不管該階層如何薄,仍可維持梯度。其爲有利的,一 相當純的二氧化矽可以提供在較低的準位,用於高品質通 道界面,而一高氮含量在上表面側,抵制硼自多晶矽閘極 電極擴散過來。在另一配置中,其他介電材料可以以漸變 方式混合,藉以得到得自於一種材料之所需的界面特性以 本紙張尺度適用中國國家標準(CNS)A1規格(210 x 297公釐) * J 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008 五、發明說明) 及得自於另一材料之所需的主體特性,而在該閘極介電質 中沒有不想要的陡峭的界面。例如,Al2〇3具有高介電常 數以及所需的界面特性,而Zr02具有更高的介電常數,其 爲閘極介電質之"主體(bulk)"所需的。 依照本發明之第二實施例,該薄膜包括一轉變層,於 一障壁膜與一更具導電性繞線材料之間。在所闡述的實施 例中,所提供之一薄氮化金屬層具有一漸變濃度的銅。該 氮化層可以做得非常地薄,留下更多的空間給更具導電性 金屬在一雙波紋溝渠中,例如。其爲有利的,可以提供具 有氮化金屬的有效的擴散障壁在該下表面,而高銅含量在 上表面,提供當作電鍍種子層所需的導電性。平緩的轉變 亦可減少電子遷移,相較於具有陡峭障壁-金屬界面的結 構。 依照本發明之另一觀點,提供一種方法用以形成一薄 膜在一積體電路,具有變化的成分貫穿其厚度。該方法包 括交替地引介至少一第一物種及一第二物種至一基底,在 複數個沉積週期中的每一個,當該基底支撐在一反應腔室 之中。一第三物種引介至該基底,在複數個沉積週期中。 該第三物種的分量在其引介的不同的週期中可以改變。另 外,該第三物種在其自身之來源氣體脈動中供應’在該薄 膜沉積進行中時,其脈動是以漸增或漸減的頻率來利用的 (例如,在第一階段時沒有,在第二階段期間爲每四個週 期,在第三階段期間每個週期,等等)。 其爲有利的,該雜質的分量變化爲在早期的沉積週期 期間爲零而在最後沉積週期期間爲最大分量。在一實例 -------------------^--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(2]ϋ X 297公釐) 經濟部智慧財產局員工消費合作社印製 490739 7324pif.doc/008 五、發明說明(b) 中,在每一週期的第一階段時,一矽來源氣體吸附在該基 底上,而在形成氧化矽的週期的第二階段時爲氧化劑來源 氣體。在相當純的氧化矽覆蓋該基底表面後’在該第二階 段期間引介小量的氮來源氣體。此後每一週期增加氮來源 氣體的分量。在該第二階段期間亦可減少氧化劑的分量, 使得最好可以產生一純氮化砂上表面’在上表面與下表面 之間具有漸變的氮含量。同樣的,在第二實例中,鎢,還 原及氮來源提供氮化金屬,在第一至第三階段中。一銅來 源及還原劑在第四及第五階段提供銅。在接著的週期中, 改變第一至第三階段(只產生約一單屬的WN)與第四至第 五階段(只產·生約一單屬的Cu)的相對比例。此漸增/漸減 可以逐步地交替,例如每兩個週期,每三個週期,每五個 週期,等等。 依照本發明之另一觀點,在熱動力偏愛取代反應中, 選擇性地引介雜質階段或脈動可以取代先前階段的原子。 可以藉由改變跨越原子層沉積製程的雜質階段的頻率來達 成漸變。另外,雜質階段的頻率可以保持固定,而改變跨 越原子層沉積製程的雜質階段的持續時間,或是可以利用 改變頻率及持續時間的組合。 由於藉由原子層沉積提供精良的控制,可以在極薄階 層提供此漸變。此外,在製程期間的低溫可以保持所需的 雜質含量量變曲線(profile)。 圖式之簡單說明 從下面的說明及所附圖式,習知此技藝者可以很容易 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 490739 A7 B7 7324pif.doc/008 五、發明說明(q ) 地瞭解本發明之這些及其他觀點,其中: (請先閱讀背面之注意事項再填寫本頁) 第1圖是單一基底反應腔室之部分架構剖面圖,包括 一些周圍的反應器元件,用以使用於本發明之較佳實施 例。 第2圖是部分製造的積體電路的架構剖面圖,闡述夾 在閘極電極與半導體層間之閘極介電層。 第3圖至第6圖槪要的繪示閘極介電質的逐個單屬 (monolayer-by-monolayer)地沉積,依照本發明之一較佳實 施例。在所闡述的實施例中,在交替循環的製程中的每幾 個週期形成一’’單屬π。 第7圖是依照一較佳的沉積極薄漸變形介電層的方法 的實例的氣體流量圖。 第8圖是依照一較佳實施例所建構的漸變形介電層的 理論的反螺旋(Auger)量變曲線。 第9圖是分別以雙波紋溝渠及貫孔所形成的繞線及接 觸,包括障壁及金屬層,的架構剖面圖。 第10圖是第9圖的區域10-10的放大圖,闡述形成在 層間之漸變形介電層。 經濟部智慧財產局員工消費合作社印製 第11圖是依照一較佳實施例所建構的漸變形障壁至 金屬轉變區域的理論的螺旋(Auger)量變曲線。 第12圖是依照一實施例的實例的氣體流量圖,用以 沉積漸變形傳導層。 圖式中標示之簡單說明 10CVD反應器 12反應腔室 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490739 A7 7324pif.doc/008 五、發明說明(3 ) 經濟部智慧財產局員工消費合作社印製 13上部加熱元件 14下部加熱元件 15聚光燈 16晶圓 18基底支撐結構 20容納器 22支撐架 24軸 26套筒 40進口埠 42出口埠 44進口零件 45狹縫 48出口零件 49排氣開口 50排氣導管 60激勵物種產生器 62氣體管線 63前導氣體 64載送氣體 65分支管線 72閘極介電層 74閘極電極 76半導體基底 78基底界面 80電極界面 82側壁間隔 84介電蓋層 102第一單屬 104第二單屬 106第三單屬 114最後單屬 340氧含量 350雜質含量 400雙波紋結構 402上絕緣層 404下絕緣層 406傳導性電路元件 408蝕刻阻止層 410下絕緣障壁層 420溝,渠 422接觸貫孔 424內襯層 426高導電性材料 430黏著層 432障壁區域 434轉變區域 436種子層區域 450第一五階段週期 455第二週期 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A.l規格X 297公t ) 490739 A7 B7 7324pif.d〇c/〇〇i 五、發明說明(1 ) 460週期 較佳實施例之詳細說明 雖然所說明的是關於在積體電晶體疊層及從障壁至金 屬層之漸變形轉變中的漸變形閘極介電層,但熟習此技藝 者可以很容易地發現應用此處所揭露之原理之其他方面的 應用。此處所揭露之製程及層結構特別適用於需要具有經 由層厚度的調製濃度的雜質的極薄層。 在積體電路中,時常需要經由膜厚度提供漸變的或其 他可變的成分。不同層間的陡變邊界可以說明不利的拙劣 黏著性、不想要的電性品質、缺乏製程控制等等。 對於每個薄膜,例如厚度在10 nm以下者,以習知製 造方法很難實現精確的調製量變曲線。然而,此較佳實施 例採用原子階層沉積法(Atomic Layer deposition,簡稱 ALD),其有助於藉由單屬(mon〇iayer)來形成薄膜單屬。實 際上’控制存在於小於單屬尺度,由於龐大來源化學分子 之空間障礙產生少於每週期一個單屬。原子級的薄單屬的 鋪層能力使得可以在下表面(例如閘極氧化物/Si基底界面) 至上表面(例如閘極電極/閘極介電質界面)間形成更精準的 濃度梯度。 因此,此較佳實施例提供用以在形成於積體電路中之 薄層中更精確地調製雜質含量的方法。因而以下所闡述的 較佳實施例包括在材料的單屬的不連續階層中建構薄膜的 方法以及因而爲原子階層沉積法(Atomic Layer deposition, 簡稱ALD)中的一種。每一不連續階層的成分可以藉由選 本紙張尺度適用中國國家標準規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝--------訂·! 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008 五、發明說明((。) 擇性地引介對於要沉積的每一單屬所需的化學成分來調製 (tailor)。例如,使用ALD,引介氣體的特定組合與之起化 學反應,沉積或吸附於該工作部件上,藉由沉積化學成分 本身的性質,此製程自行結束。不管暴露的長短,製程氣 體不再促成沉積。要沉積後面的單屬,要在製程腔室中引 介不同的化合物,例如要與先前形成的單屬起化學反應或 吸附於其上。最好,第二化學成分或後面的化學成分形成 另一個單屬,也是以自我限制的方式。輪替這些自我限制 的單屬達所需的次數,藉以形成適當厚度的膜。 此方法的特有性質允許對於每一不連續週期的化學成 分的改變。因此,產生的薄膜的成分可以逐步地改變,例 如,在每一週期,在每每第二週期,或是在任意其他所需 的進展中。此外,由於相對於習知熱氧化作用及習知CVD 製程,ALD可以在非常低的溫度中進行,可以有效的限制 在此製程期間的擴散。爲了說明氧化物厚度與對應的層數 之間之比例之目的,例如,2nm的氧化矽薄膜包含約七(7) 個單屬。依照此說明之實施例,可以在約ALD製程的18-22週期形形成氧化矽的七個單屬。於是,即使是此種極薄 層,其成分亦可改變,使得混合至第一單屬的雜質濃度可 以不同於混合至第七單屬的雜質濃度。 &佳製程反應器_ 第1圖繪示化學蒸發沉積(Chemical Vapor Deposition, 簡化CVD)反應器1〇,包括石英製程或反應腔室12,依照 較佳實施例建構’以及對於此處所揭露之方法具有特別效 本紙張尺度適用中國國家標準(CNS)A‘l規格(21〇χ 297公坌) (請先閱讀背面之注意事項再填寫本頁) I 裝--------訂·! ------I . 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008 五、發明說明(u) 用。所闇述之反應器10包括一製程模組,在商業上可以 由 Phoenix,AZ 的 ASM America, Inc.,以商標名 Epsilon™ 取得,適用於遠端電漿源。雖然所討論的較佳實施例是關 於單一基底CVD反應器,但需瞭解所揭露之製程可以應 用在其他型式之CVD反應器,具有不同於此處所討論的 不同幾何形狀的反應腔室。在其他的配置中,此較佳製程 可以在商業上可取得的反應器進行,其爲由Finland的ASM Microchemistry,Ltd·,以商標名 Pulsar™ 2000 取得,其特 別爲ALD設計。 複數個幅射熱源支撐在腔室12的外部,藉以提供熱 能給腔室12,而不會被石英腔室12壁顯著地吸收。雖然 所討論的較佳實施例是關於用以處理半導體晶圓的”冷壁 (cold wall)n CVD反應器,但需瞭解此處所討論的處理方法 可與其他加熱/冷卻系統結合使用,例如使用感應或電阻加 熱的系統。 所闡述的幅射熱源包括細長管型幅射加熱元件13的 上部加熱組成。上部加熱元件13最好是以平行分開關係 來配置,且實質上與經過下面的反應腔室12的反應氣體 流動路徑平行。下部加熱組成包括同樣的細長管型幅射加 熱元件14,在反應腔室12下方,最好其方向橫切於上部 加熱元件13。最好,幅射熱的一部分會分別經由上部及下 部燈泡13、14的上面及下面的粗糙鏡反射器來擴散地反 射進腔室12。此外,複數個聚光燈15提供集中的熱至晶 圓支撐結構的底面,藉以抵消經由反應腔室12底部延伸 之冷支撐結構所造成的散熱效應。 本紙張尺度適用中國國家標準(CNS)Al規格(210 X 297公釐) * , 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490739 7324pif.doc/008 A7 B7 經濟部智慧財產局員工消費合作钍印製 五、發明說明(γ τ) 每一細長管型加熱元件13、14最好是高強度鎢絲燈 泡,具有透明的石英燈殼,其中包含鹵素氣體,例如碘。 此種燈泡產生全頻譜的幅射熱能,可經由反應腔室12的 壁傳送,而不會被顯著地吸收。如在半導體製程設備之技 藝中所知曉的,不同的燈泡13、14、15的電源可以依據 溫度感測器來獨立控制或以群組區域來控制。 如圖所示,工作物件或基底,最好包括矽晶圓16,支 撐在反應腔室12的工作物件或基底支撐結構18上。注意, 雖然所討論的實施例的基底是單晶矽晶圓,但需瞭解名詞 ”基底"泛指任何要在其上沉積一階層之結構。支撐結構18 包括容納器(susceptor)20,石英支撐架22,由經由套筒26 的軸24延伸出來,以及多個周圍元件,用來促進橫越晶 圓16的氣體層流及均勻溫度。 反應腔室12包括進口埠40,用以注入反應物及載送 氣體,以及晶圓16亦可經其送入。出口埠42在腔室12 的相對側,晶圓支撐結構18則位於進口 40與出口 42之 間。 進口零件44恰合於反應腔室,適合於圍繞進口埠40, 以及包括水平延伸的狹縫45,晶圓16經其插入。在運作 期間,狹縫45可選擇性地由閘門閥(未繪示)密封。通常爲 垂直的進q 46接收來自遠端來源之氣體’以及與狹縫45 及進口埠40交流該氣體。 反應器也包括處理氣體之遠端來源(未繪示)’其與進 口 46交流是經由伴隨安全及控制閥之氣體管線’以及大 量流量控制器(mass flow controller,簡稱MFC) ’其位於氣 (請先閱讀背面之注意事項再填寫本頁) 一裝 n I ϋ 一-口、· ϋ n ϋ I 1 ϋ - 本紙張尺度適用中國國家標準(CNS)A〗規格(21〇χ 297公釐) 490739 A7 B7 7324pif.doc/008 五、發明說明(h) 體控制盤,其爲習知此技藝者所瞭解的。 對於所闇述之第一實施例,氣體源包括保持含矽氣體 的儲存槽,最好是矽甲烷(silane),例如甲硅烷(SiH4),四 氯化矽(SiCl4),雙氯矽甲烷(dichlorosilane)(DCS 或 SiH2Cl2), 三氯矽甲烷(trichlorosilane)(TCS或SiCl3),或其他矽甲烷 或矽甲烷鹽矽(halosilane silicon)來源;氧化劑來源氣體, 例如〇2,〇3,〇radicals,H2〇,NO或N2〇;以及氮來源氣 體,例如NH3。金屬來源氣體亦可用於高k金屬氧化物之 沉積。對於第二實施例,來源氣體包括一種或多種金屬來 源氣體(例如〜?5,1^14,(:11(:1,等),氮來源氣體(例如1^3), 以及還原劑(例如三乙硼(triethyl boron)或TEB)。 矽來源可以包括發泡器(bubbler)及氣體管線,用以經 由液體溶劑,例如TCS,使H2冒泡,藉以更有效地將含 矽氣體以氣體狀態輸送至反應腔室。許多金屬來源可以同 樣地包括液體溶劑及發泡器。反應器10亦可包括其他來 源氣體,例如摻植物氣體,包括磷化氫(PH3),砷化三氫 (AsH3),以及/或硼乙烷(b2H6);用以淸潔反應器壁的蝕刻 劑(例如HC1);用以摻植或形成SiGe膜的鍺;等等。 在所闡述的實施例中,激勵物體的選擇性產生器,一 般稱爲遠端電漿產生器60,提供在遠端或反應區域的上 游,以及最好是腔室12的上游。一個遠端激勵物體產生 器的實例爲可在商業上從德國,Munich的Rapid Reactive
Radicals Technology GmbH,以商標名 TR-850 得到。如此 技藝所知的’產生器60將電源耦接至氣體,藉以產生激 勵物體。在所闡述的實施例中,產生器60將來自磁電管 本紙張尺度適用中國國家標準(CNS)A‘丨現格(210 x 297公釐) 9 -----------Int---------訂---------^_wl (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008 五、發明說明(ίθ) (magnetron)的微波能量耦接至在沿著氣體管線的塗抹器的 流動氣體。前導氣體63的來源被耦接至氣體管線62 ’用 以引介至激勵物體產生器60。載送氣體64的來源也耦接 至氣體管線62。亦可提供用於額外的反應物的一個或多個 更進一步的分支管線65。如在此技藝所知的,氣體來源63 ' 64可以包括氣體高壓筒、發泡器等,取決於反應物種類的 形式及揮發度。每一氣體管線可以提供分離的大量流量控 制器(mass flow controller,簡稱MFC)及閥門,如大家已知 的,藉以允許選擇引介至產生器60以及於該處進入反應 腔室12的載送物體及反應物體的量的比例。 出口零件48裝設至處理腔室12,使得排氣開口 49與 出口埠42對齊以及通至排氣導管50。導管50,依次地, 與合適的真空裝置(未繪示)交流,用以抽吸經由腔室12之 處理氣體以及藉以減少壓力,假如需要的話。 漸戀形閘極介電質 如以上所提到的,積體電路製造的趨勢爲更進一步地 縮小元件。隨著裝置變得更小,變得更難以藉由習知裝置 來沉積薄的階層,例如閘極氣化層。更進一步,氧化砂層 的性質需要改變,藉以符合所需的閘極介電質的電氣特 性。 , 積體電晶體中的閘極介電質應該不只具有低缺陷密 度,而且也應該防止雜質從上面的閘極電極擴散至閘極介 電質。以氧化矽當作閘極介電材料至今已經成功地用了十 多年,然而今天的電路設計強調薄還要更薄的階層。由於 本紙張尺度適用中國國家標準(CNS)AO見格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁) --------訂---— II-- 經濟部智慧財產局員工消費合作社印製 739 7 3 2 4pi f . doc/00
經濟部智慧財產局員工消費合作社印製 五、發明說明($ ) 胃胃的階層’摻植物(例如硼)的擴散變成更大的問題。 H夸氮加至閘極介電膜可以有效地減少硼的擴散。如此 技藝之別處所承認的,然而,在通道界面的氮化物會導致 拙劣的界面特性以及必然地拙劣的電氣效能。因此,結果 白勺介電結構在通道界面具有純氧化矽以及在較高層有氮化 矽。 習慣上,氧化矽閘極介電膜是藉由下面的矽基底的熱 氧化來製造的。要加入氮,可以將含氮氣體加至主氧氣氣 ^ ’及/或可以用含氮氣體或氮植入執行後沉積處理。此種 方法可以將氮加入至氧化材料,藉以在氧化物上形成氮氧 化矽(SiOxNy)或形成Si3N4階層。在任一情況中,皆難以控 制膜中的氮含量,特別是對於現代或未來的積體電路元 件’其中閘極介電材料非常薄(例如,小於7 nm)。對於如 Ifc的超薄介電質,將氮加至閘極介電質之習知方法無法控 制’藉以在整個基底上產生均勻的電氣特性,而且氮含量 會在與基底的界面處變得最小。 第一實施例包括交替的吸附不超過大約矽的一個單 屬,以先前吸附的單屬的氧化物,在氧化矽程序的交替層 中。在氧化階段期間,氮亦可選擇性地加入。顯然的,藉 由混合這兩種氣體,可以長出在氮右入任何比例的氧的含 氧氮化物膜(oxynitride film)。在此較佳實施例中,在循環 程序期間改變反應物的比例,可以調製每一週期形成的成 分。最好,沉積以純氧化矽開始以及以純氮化矽結束,以 任意所需的階段貫穿整個厚度。 要在其上發生沉積的基底在啓始時製備成可用於自我 1 8 -----------41^ 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)/Y1规格(210 x 297公釐) 490739 Γ; 經濟部智慧財產局員工消費合作社印製 7324pif .doc/008 ______B7____ 、發明說明((4 ) 限制沉積製程。在所闡述的實施例中,此基底係〜半導體 材料,於其中形成電晶體通道。此半導體基底可以用取^ 附生的階層(epitaxial layer)來形成或在本質地摻植砂晶_ 的頂部形成。在其他配置中,此基底可以包括替代的材^了 例如III-V半導體。 表面製備可以留下表面邊界,其很容易與較佳的ALd 製程中的第一反應物起反應作用。在所闌述的實施例中, 其中在單晶砂層或基底上形成一介電層,裸砂表面最好是 以氫氧根(OH)結尾(tail)來終結。如熟習此技藝者可瞭解 的’此種表面邊界可以很容易地只要在晶圓淸潔後暴露g 潔淨室空氣中來得到。 依照此較佳實施例,將至少一工作物或晶圓載入處Ϊ里 腔室中,以及準備好處理。最好以淨化氣體流經腔室來移 除任何空氣中的污染物。 可以修改溫度及壓力處理參數,藉以得到所需的膜特 性。假如需要的話,藉由增加燈泡13、14及15的功率輸 出來使晶圓提昇至所需之處理溫度。其爲有利地,然而, 所闡述的自我限制反應可以在低溫下進行,使得反應器可 以保持固定的反應溫度,而不會在工作物改變之間跳昇。 所需的壓力位準,假如和大氣不同,可以使用習知的真空 幫浦來得到,如習知此技藝者所熟知的。對於現行的氮氧 化矽製程,例如,處理溫度最好是維持在約100°c至500 t之間,在約20(TC至400°C之間更好,而在約300°C至400 °C之間是最好的。其爲合意地,此製程對於壓力是相當不 敏感的,雖然較佳的壓力範圍是在約1陶爾(Torr)與100 Γ靖先閱讀背面之注意事項再填寫本頁} 本紙張尺度適用中國國家標準(CNLS)A4規格(210 X 297公釐) 490739 A7 B7 7 3 2 4pi f . doc /0 0 8 五、發明說明(ο ) 陶爾之間’以及在約5陶爾與15陶爾之間更好。 在本發明的另外的實施例中,此自我限制反應可以在 更低的溫度上進行。使用遠端電漿激勵氧及/或氮來源,甚 至室溫的處理亦是可行的。因此’可以避免不連續階層的 互相擴散,以及只要高溫的後處理不在含氧或含氮氣體的 環境中進行,沉積成分的量變曲線將保持完整。如以上所 提到的,第1圖的電漿產生器60可以將微波能量耦接至 流動的反應氣體,藉以激發一電漿。其爲合意地,在進入 處理腔室之前,離子物種重新組合,因而使工作物及腔室 本身的損害減至最小,而諸如N及Ο之激進分子可殘留下 來,藉以對製程之氧及/或N位相(phase)提供促進反應性。 當工作物在所需的反應溫度及腔室在所需的壓力位準 時,然後將處理及載送氣體傳送至處理腔室。不反應的處 理及載送氣體以及任何氣態反應副產品因而被排出。載送 氣體可以包括一些已知的任何的不反應氣體,例如H2N2、 Ar、He等。在所闡述的實施例中,用队來當載送氣體。 然後一第一化學物種被吸附至製備的沉積基底上。在 所闡述的實施例中,第一物種包括第一含矽物種,以及包 括至少一個其他的配位子(ligand),其爲含矽物種之自我終 結單屬所產生的。例如,用於氧化矽的沉積的矽來源氣體 可以包括:分子式爲8丨1^2〇1+2的矽甲烷,其中m爲1-3的 整數,分子式爲siyOy^i^y·^的砂氧院’其中y爲2-4的整 數;以及分子式爲Siy(NH)y_丨L2y+2的silazane,其中y爲2-4 的整數。在這些分子式中,每個L可以分別爲Η、F、C1、 烷基(alkyl)、芳香族羥基(aryl)、烷氧基(alkoxy)、乙烯基 本紙張尺度適用中國國家標準(CN、S)A‘1規格(210 X 297公楚) (請先閱讀背面之注意事項再填寫本頁) ▼裝--------訂---—丨丨丨丨· . 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 經濟部智慧財產局員工消費合作社印製 7324pif.doc/008 五、發明說明() (vinyl)(-CH-CH2)、氰基(cyano)(-CN)、異氰酸鹽 (isocyanato)(-NC〇)、氨基(amino)、砂化氫(silyl)(H2Si·)、石夕 化氫院基(alkylsilyl)、砂院氧基(alkoxysilyl)、silylene 或矽 氧院烴基(alkylsiloxane),其中院基(alkyl)及院氧基(alkoxy) 群可以線性或分支的以及可以包含至少一個替代物。揮發 性的矽甲烷(sUanols)及循環矽化合物爲其他合適的矽來源 化合物的實例。 在這些矽化合物中,純氮化矽的沉積最好是使用矽甲 院及silazane,因爲砍氧院具有相當強健的Si-Ο鍵。砂化 合物可由,例如,Gelest,Inc.,612 William Leigh Drive, Tullytown,PA 19007-6308,美國購得。 最好,砍來源氣體包括雙氯砂甲院(dichlorosilane)(DCS) 或三氯矽甲垸(tdchlorosilane)(TCS),其加入至載送氣體 流。在此較佳的反應器中,矽來源氣體之流速約在10 seem 至500 seem之間,約在100 seem至300 seem之間是更佳 的。矽來源氣體在較佳的溫度及壓力條件下維持約0,1秒 至1秒之間,以及在約0.3秒至0.7秒之間更佳。矽的單 屬吸附在矽基底表面上,以氯化物結尾或配位子(ligand)終 結。表面終結可以使其不再與矽來源氣體及載送氣體反 應。 在第一物種的脈動(pulse)之後,提供第二物種至該基 底。在所闡述的實施例中,第二物種包括氧化劑,最好包 括純H2〇蒸汽。H2〇最好是以約10 seem至500 seem的速 率注入載送氣體流,約100 seem至300 seem更佳。在較 佳的溫度及壓力條件下,H20脈動維持在較佳的溫度及壓 本纸張尺度適用中國國家標準(CNS)A‘4規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁)
490739 A7 B7 7324pif.doc/008 五、發明說明() 力條件下約0.1秒至1秒之間,以及在約0.3秒至0.7秒之 間更佳。在氧化劑脈動關掉之後,載送氣體最好允許流動 足夠的時間,藉以在下一個反應物脈動之前,將氧化劑從 腔室中排除掉。在其他的配置中,必須要瞭解可以排空該 腔室,藉以移除該第二物種。 在第二反應物脈動期間,氧化劑與前面的脈動的氯化 物終結反應,留下氧,代替配位子(ligand)。其爲合意地, 留下化學量(stoichiometric)或接近化學量的Si〇2。 依照原子階層沉積的原理,然後將矽來源氣體的第二 脈動注入載送氣體流,該脈動停止及由腔室中將該砂來源 氣體移除,接著第二氧化劑來源氣體脈動,然後輪到其停 止及由腔室中移除。然後這些脈動持續交替著,直到介電 層達到所需的厚度。 在該交替程序中的至少一個週期中,提供雜質來源氣 體。在此介電質實施例顯7K,此雜質最好包括氮,以及雜 質來源氣體最好包括氨(NH3)或聯氨(N2H4)加至該交替程 序。氨及聯氨皆爲相當易反應的氣體,使得其適於低溫ALD 製程。必需要瞭解,在下面的第9圖至第13圖所揭露的 實施例的觀點中,其在一個實施例,氨是在接著矽階段的 分離的氨階段中加入的(每一包括一氣脈動及一排空脈 動)。氨階段可以逐步地取代氧化劑來源氣體階段,例如 每十週期一次,逐步增加至每隔一個週期一次,以及最好 結束於完全取代氧化劑階段。因此,該交替程序開始沉積 氧化矽(藉由交替矽及氧化劑階段);在程序的中間部分以 增加氮的位準沉積漸變氮氧化矽(藉由逐步地將氧化劑階 ------------裝--------訂--------- (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國豕標準(CNS)A彳規格(21〇χ 297公坌) 490739 A7 B7 經濟部智慧財產局員工消費合作li印到仅 7324pif.d〇c/〇〇8 五、發明說明) 段的增加部分代之以氮及特別地氨階段);以及,在達到 所需的介電質厚度的時候,該交替程序即沉積了氮氧化砂 (藉由交替矽及氮階段)。 在所闡述的實施例中,然而,將氨加至氧階段。在整 個製程中,將不同量的NH3加入至不同的氧化劑來源氣 體。因此’所需的氮的量可以選擇性地加入至二氧化矽及 氮氧化矽的每一單屬,產生調製的氮含量量變曲線。 熟習此技藝者可以瞭解,以本揭露之觀點,氨與矽複 合物間的反應將具有不同的熱力學優點,當其與氧化劑與 矽複合物間的反應來比較。因此,氨對氧化劑的比例不需 要等於在產生的氮氧化矽中的氮對氧的比例。熟習此技藝 者可以很容易地解釋熱力學比較,經由常規的實驗來決定 對於氮結合的所需位準的適當參數。經由遠端電漿產生器 提供氮活動物種,特別是與氧活動物種結合,可以使改變 氧對氮來源的比例的效果達到最大。 第7圖是依照一實施例之氣體流動圖,繪示在示範的 自我限制沉積順序的最前面的四個週期301a-301d。所闡 述的順序包括載送氣體的固定流動300。如圖所示,提供 矽來源氣體的第一脈動或突起302a,藉以形成第一自我結 束矽單屬。在第一排空步驟303之後,在此期間,載送氣 體持續流動,直到矽來源氣體已經由腔室移除,提供第一 氧化劑來源氣體脈動或突起304a。在第二排空303之後, 提供第二矽來源氣體脈動302b,其後跟著第二氧化劑來源 氣體304b,第三矽來源氣體脈動302c,第三氧化劑來源氣 體脈動304c等,在被排空步驟303分開的交替的脈動中。 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS)AO見格(210 X 297公釐) 490739 A7 B7 7324pif.doc/008 五、發明說明) 如圖所示,在第一週期301a之後的某些點(形成第— 氧化矽單屬)提供第一雜質來源氣體脈動306b,最好是在 氧化劑來源氣體脈動304b期間。其爲合意的,相當低百 分比的雜質來源氣體(最好包括NH3)是在第一脈動306b期 間提供的。在後面的氧化劑來源氣體脈動304c、3〇4d等期 間,在脈動306c、306d等提供漸漸增大流量的雜質來源氣 體。其中利用漸漸增大流量的雜質來源氣體,其有利於垂 直地供應反應物至基底表面,例如經由頂部噴灑頭。在兩 種來源化學品的競爭吸附的情形,全部基底表面最好同時 暴露至該氣體混合物中。因而可以避免從基底的進口側到 排出側的濃度梯度。 注意第7圖只是槪要的,並非按比例繪製。另外,較 佳的製程條件實際地產生在複數個週期之後形成完整單屬 時。當理論上反應物會吸附在工作物的暴露層上的每個可 能的部位,吸附物種(以及特別是與終結配位子(ligand))的 實體大小通常會限制每一週期覆蓋至單屬之片段。在所闡 述的賓施例中,每週期大約形成1人的Si02,而Si02的確 實單屬約3 A的厚度,使得大約每三個週期有效地形成一 個完整單屬,其中每個週期由一對矽來源氣體及氧化劑來 源氣體脈動來表示。 因此,第一雜質來源氣體脈動308b最好是在三個矽 來源氣體脈動與三個氧化劑來源氣體脈動交替之後進行° 以此方式,在引介氮摻植之前,至少提供一二氧化砂的完 整單屬。其爲更佳的,在六個週期之後提供第一氨脈動 306b,因而可以對於避免氮擴散通過到基底-介電質界面提 本紙張尺度適用中國國家標準(CNS)Al規格(210x297公釐) -----------41^^--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008 五、發明說明( 供額外的保障。在所闡述的實施例中,氨是在接著第一脈 動306b之後流動,其約在〇 sccm至1〇 sccm之間,在約〇 sccm 至5 seem之間是更佳的。在此之後’熱脈動母週期約每 力口 50 seem 〇 雖然並未闡述,在增加雜質來源氣體流量的過程中, 可以減少氧化劑來源氣體脈動3〇4a、304b等。因此,在產 生的氮氧化矽介電層中的氮含量可以由在下部表面的百分 之零往上增加至上部表面的化學量的Si3N4。 第2圖繪示在部分製造的積體電路中的電晶體結構7〇 的槪要剖面視圖,依照一較佳實施例建構成’以及於其中 特別利用此處所揭露之方法。閘極介電層72夾在閘極電 極74與半導體基底76之間。閘極介電質72因而在基底 界面78與電極界面80之間延伸。在所闡述的實施例中, 閘極電極74包括一多晶矽層。基底76包括任何適合的半 導體材料以及在所闡述的實施例中包括一本質摻植單晶矽 的階層。依照本發明之一實施例,閘極介電質72包括氧 化矽,具有改變的及較佳地漸變地氮含量貫穿其厚度。在 另一實施例,A1203可以當作與矽的純界面,漸次變化至 較高介電常數材料,例如Zr02,藉以提供較高的總介電常 數。閘極電極74另外以側壁間隔(spacer)82及介電蓋層84 來保護,每個都可包括習知之絕緣材料,例如氧化矽或氮 化矽。閘極電極74亦可包括高導電性束帶層(strapping layer),例如氮化金屬、矽化金屬、及純金屬,用於較高 速的橫向訊號傳輸。 第3圖至第5圖繪示形成較佳的閘極介電質72的過 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝--------訂· II--I I I I. 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif . doc/008 五、發明說明()~ ) 程,一次一個單屬。注意這些圖式只是槪要性的表示。通 常,每一單屬中的雜質濃度可以按需要改變。在所闡述的 實施例中,具有線性量變曲線的雜質濃度是較佳的。在其 他的配置中,雜質濃度可以指數地變化,藉由步級函數等, 貫穿薄膜的厚度。 第3圖繪示氧化砂的第一單屬102,直接形成在半導 體基底76的表面上。依照較佳的製程條件,於上面依據 第7圖所提出的,如此的單屬可以形成在平均約ALD的 三個週期之後,交替矽及氧化劑來源氣體脈動。其爲合意 地,第一或基底界面單屬102具有微小或無雜質濃度’最 好是小於約0.1%的雜質,以及以純Si02B成單屬102是 更佳的。 第4圖繪示氧化矽的第二單屬104,直接形成在氧化 矽的第一單屬102的表面上。第二單屬104最好具有小量 的雜質濃度(在此較佳實施例爲氮),但大於先前的單屬102 的濃度。 請參照第5圖,第三單屬106直接沉積在第二單屬104 的表面上。在所闡述的實施例中,第三單屬106具有比第 二單屬104還要高的雜質(氮)濃度。同樣的,沉積複數個 額外的單屬,一次一個,直到達到所需的最終厚度。每個 單屬可以具有不同的雜質濃度以及因而可以週製貫穿薄膜 的厚度的雜質量變曲線。 請參照第6圖,沉積最後單屬114藉以完成閘極介電 層的形成。因而最後單屬114定義了與沉積於其上的導電 材料之間的閘極電極界面80。必需要瞭解,當然,第6圖 本紙張尺度適用中國國家標準(CNS)A‘l規格(21〇χ 297公釐) (請先閱讀背面之注意事項再填寫本頁) - -------訂--I--I I ! 經濟部智慧財產局員工消費合作社印製 490739 .… / A7 7324pif-d〇c/〇〇8 ___ B7 五、發明說明 只是槪要的以及可以利用除了所闡述的七個之外的許多另 外的單屬來形成所需的最終厚度。此外,個別的單屬102 至114在最終結構並非銳利地可定義的,與槪要的圖示相 反。 在所闡述的實施例中,控制雜質濃度從在基底界面78 的最低濃度變化至在閘極電極界面80的最高濃度。其爲 較佳的,閘極介電質72在基底界面78具有的氮濃度約小 於0.1%,以及約0%更佳。在閘極電極界面80的氮含量, 另一方面,最好大於約5%,大於約8%更佳。在這兩個界 面78、80之間的氮含量大略地線性地漸次變化。必需要 瞭解,然而,任何其他所需的漸變量變曲線(例如拋物線 的、指數的、橢圓的等等)可以藉由在每個氧化階段期間 調製氮來源氣體的百分比來達到。 結果的薄膜具有約小於7 nm的實際厚度。最好,該 閘極介電質具有約小於6 nm的實際厚度,以及在所闡述 的實施例中,具有約2 nm的厚度,包括約7個單屬。因 爲所闡述的閘極介電質72加入了顯著的氮含量,其較佳 地展現出小於2 nm的等效氧化物厚度,更佳地小於約1.7 nm以及最佳地小於約1.6 nm。所闡述的線性漸變氮氧化 物具有約1.7 nm的等效氧化物厚度。 第8圖是依照一較佳實施例所建構的介電層的理論的 反向螺旋量變曲線,繪示介電層中的雜質含量的百分比爲 至半導體基底界面的距離的函數。如圖所示,在此較佳實 施例中,位於或接近半導體基底界面處,雜質含量350(即 氮)是在最小値,而氧含量340是在最大値。當與半導體 本紙張尺度適用中國國家標準(CNS)A‘1規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) · I I I I 11 I 訂·1111111· 經濟部智慧財產局員工消費合作社印製 490739 A7 B7 7324pif.doc/008 ^__ 經濟部智慧財產局員工消費合作社印製 發明說明(涔) 基底界面之間的距離增加,雜質含量350大約是線性的增 加,直到最大値,而氧含量340則降低至最小値。 (請先閱讀背面之注音?事項再填寫本頁) 因此,在基底界面處,閘極介電質最好包括接近純 (Si〇2),而接近階層頂端處(閘極電極界面),閘極介電質最 好包括接近純氮化砂(Si3N4)。必需瞭解,此種結構可以用 類似第7圖的ALD製程來建構,但在每個週期或每幾個 週期降低氧化劑脈動的幅度。 因此,儘管該較佳閘極介電質的厚度極低,亦可做到 精確地控制貫穿整個厚度的雜質含量。因而,在所闡述的 實施例中,可以在基底表面處得到二氧化矽的界面特性, 而在閘極介電質的其餘處加入氮,藉以減少硼的穿透深度 以及藉以增加閘極介電質的總有效介電常數。使用ALD 可以達成原子階層水準的精確控制。此外,在沉積中包含 的低溫允許維持在厚度中的不同點的任何所需的雜質濃 度,而不會互相擴散。相反的,習知技藝無法如此精確地 控制,以及易於在如此的薄階層產生任何雜質的均勻分 佈,由於製程期間的擴散及/或如此薄的閘極介電層的形成 期間的控制的欠缺。 此外,漸次變化貫穿該階層之厚度有益於允許後面製 程的更佳控制。例如,閘極介電質通常是在主動區之上蝕 刻(例如,電晶體的源極及汲極區域),以便形成與基底之 追些區域接觸之介電質。氣含S從闊極介電質上表面逐步 向下改變至基底界面,允許在此種蝕刻製程上的更佳控 制,如習知此技藝者所瞭解的。因此,可使對基底的損害 達到最小。習知此技藝者應當瞭解將漸變形量變曲線的薄 本紙張尺度適用中國國家標準(CNS)A.I規格(210 X 297公釐) 490739 A7 B7 7324pif.doc/008 五、發明說明(4) 膜使用在積體電路的其他優點。 雖然所闡述的實施例包括在氧化矽層的漸變形氮濃 度,習知此技藝者應當很容易地瞭解,由於此處所揭露的, 相同的原理可以應用至使用ALD的其他閘極介電質材料 來形成漸變形量變曲線。例如,發明人發現氧化鋁有益於 展示高介電常數(k)以及與氧化矽及/或矽基底亦具有良好 界面特性。因此,純氧化鋁(Al2〇3)層可以先以ALD形成, 使用鋁來源氣體及氧化劑的交替脈動。 鋁來源氣體的實例包括烷基鋁化合物,例如三甲基鋁 (CH3)3A1,三乙基鋁(CH3CH2)3A:l,三-η-丁基鋁(n-C4H9)3Al, 二異丁基鋁氫化物(I-C4H9 )2A1H,二乙基鋁二乙醚 (C2H5 )2A1〇C2H5,乙基鋁二氯化物(C2H5)2A1C12,乙基鋁三 氯化物(C2H5)3A1C13,二異 丁基鋁氯化物(i-C4H9)2AlCl,二 乙基鋁碘化物(C2H5)2A1I。這些化合物在商業上可以從,例 如,Albemarle Corporation,USA購得。其他的銘來源氣體 包括含有A1-0-C鍵的氧鹼鋁,例如二乙醚(ethoxide) A1(〇C2H5)3 ,氧化異丙銘(aluminum isopropoxide) A1[(〇CH(CH3)2)3 及 s-氧化丁銘(aluminum s-butoxide) A1(〇C4H9)3。這些化合物在商業上可以從,例如,Strem Chemicals,Inc.,USA購得。該鋁來源亦可包括甜菜鹼二酮 銘(aluminum beta-diketonate),例如乙醯丙酮銘 A1(CH3C〇CHC〇CH3)3,通常縮寫爲 Al(acac)3,三-(2,2,6,6-四甲基-3,5- —^ 庚院)銘(tris-(2,2,6,6-tetramethyl-3,5-heptanedionato)aluminum),通常縮寫爲 Al(thd)3、A1(MHD)3、 或A1(DPM)3。揮發性鹵化鋁甜菜鹼二酮在商業上亦可以, 29 本紙張尺度適用中國國家標準(CNS)Al規格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁) _ 裝 i II----訂--I I I I I I I. 經濟部智慧財產局員工消費合作社印製 490739 7 3 2 4 p i f . d 〇 C / 0 0 8 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明 例如,六氟乙醯丙酮銘(aluminum hexafluoroacetylacetonate) A1(CF3C〇CHC〇CF3)3,通常縮寫爲Al(hfac)3購得。這些化 合物在商業上可以從,例如,Strem Chemicals,Inc,USA購 得。揮發性,純無機鹵化鋁例如氯化鋁A1C13或A12C16、 溴化鋁AlBr3、及碘化鋁A1I3亦可用來當前導。在低基應 溫度,無水硝酸鋁可以當做ALD的鋁來源化學藥品。無 水 A1(N〇3)3 的合成已由 G· N. Shirokova 及 V. Ya. Rosolovskii 提出於 Russian Journal of Inorganic Chemistry,vol. 21,1976, pp. 799-802,其所揭露的爲此處之參考。硝酸鋁分子中斷 成氧化鋁,當其與有機化合物接觸時,例如乙醚。 實例的氧來源氣體包括氧、水、過氧化氫、臭氧、醇 (例如甲醇、乙醇、異丙醇)等。 實例的製程包括交替三甲基鋁或帶水TMA,其中具有 排空脈動或撤空步驟。每一脈動可以具有約0.5秒的持續 期間,以及該基底可以維持在約300°C。此製程沉積一 Al2〇3 層’其後跟著逐步地將來源氣體加至ALD製程,產生額 外的所需的堆積特性(例如較高的介電常數)。例如,TMA 脈動可以每數個週期以锆來源氣體代替,並增加頻率,直 到形成純二氧化锆(Zr02)。在實例的製程中,ZrCl4當做锆 來源氣體以及可以相同溫度(例如300°C)沉積爲氧化鋁 ALD製程。另外,在金屬脈動期間可以同時引介锆來源氣 體以及做爲鋁來源氣體的增加部份,其持續地與氧化劑脈 動交替。在此情形,習知此技藝者可以經由常規實驗決定 金呂來源氣體對銷來源氣體的比例,藉以用來得到階層的所 需的材料特性。同樣的,習知此技藝者可以很容易地瞭解 本紙張尺度過用中國國家標準(CNSMj規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 ^1 ϋ ϋ ·1 ·ϋ ):OJ馨 Μ·· MM I MM MM - 490739 A7 B7 經濟部智慧財產局員工消費合作社印製 7324pif.doc/008 五、發明說明(j ) 其他的閘極介電材料亦可以此形式來建構。 在上述氧化鋁及氧化锆的實例中,氧化鋁當做具有良 好電性界面特性的良好障壁擴散,而氧化銷對於介電質提 供較高的總介電常數値。閘極介電質可以再次地由Zr〇2來 漸次變化直到氧化銘形成上部界面,提供良好的障壁擴散 障壁,防止從閘極電極至閘極介電質的向下的硼(B)擴散。 用於閘極介電質的漸變形材料的另一實例爲在下部界 面的氧化矽,漸次變化至純氧化鋁,用於閘極介電質的堆 積及上部表面。 障壁與金屬層間之漸變形界两 第9圖至第13圖變示本發明之第二實施例。並非介 電層,第二實施例包括漸變形導電薄膜,特別是在障壁層 (例如氮化金屬)與更具導電性的塡充增(例如原始的金屬) 之間的漸變形轉變。 請先參考第9圖至第10圖,繪示雙波紋結構400,依 照一較佳實施例所建構的。特別是,上絕緣層402及下絕 緣層404形成在傳導性電路元件406之上。絕緣層402、404 可以包括習知的氧化物,例如得自四乙基正矽酸鹽 (tetraethylorthosilicate,簡稱TEOS)或磷硼矽酸鹽玻璃 (borophosphosilicate glass,簡稱 BPSG)的氧化物,或是其 可以包括”低kn介電質,依照先進製程技術。下方的電路 元件406通常包括下金屬層或搭載襯墊(landing pad),但在 某些實例中可以包括一半導體層。 結構400也繪示出在絕緣層402、404之間的蝕刻阻止 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS)Al規格(210 X 297公釐) 490739 7324pif.doc/008 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(·> I) 層408,其在雙波紋結構的形成時可以當作硬遮罩(hard mask) ’如習知此技藝者可以瞭解的。下絕緣障壁層41〇也 繪不在下絕緣層404與下導電層406之間。此種階層是特 別適當的,當下導電層406或上面的金屬層包括銅時,其 可以很容易地經由典型的中程度介電質擴散以及引起短 路。每一硬遮罩408及障壁410可以包括氮化矽或氮氧化 砂。 雙波紋結構400之形成是藉由在上絕緣層402提供溝 渠420。溝渠420通常是形成在橫跨工作物的所需的模版。 複數個接觸貫孔422(繪示一個)在不連續的位置從溝渠420 延著溝渠420向下延伸,藉以暴露下方的電路節點。同時, 溝渠420及接觸貫孔422配置在電路上,藉以依照一積體 電路設計連結上方與下方的電路元件。溝渠及接觸點以導 電材料塡充,藉以形成這些互連。以導電材料塡充的溝渠 稱爲金屬流道(metal runner),而塡充接觸貫孔422的部份 稱爲接觸點。在雙波紋結構中,如圖所示,溝渠42〇及貫 孔422同時塡充,而在其他結構中,接觸點及流道可以分 開形成。 典型地,雙波紋溝渠及貫孔首先與內襯層(lining layei*)424 一致,以及然後以高導電性材料426塡充。在所 聞述的實施例中,內襯424形成在溝渠420及貫孔422的 所有表面,內襯424爲導電性的。在其他配置中,其中內 襯爲選擇地只形成在絕緣表面,該內襯不必爲導電性的。 內豫層可以包括黏著層、障壁層及/或種子層。其爲較佳的, 內襯層424包括黏著、障壁及種子層中之至少兩個,在階
(請先閱讀背面之注意事項再填寫本頁) _裝--- 訂--------- 490739 7324pif.doc/008 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明) 層之間至少一界面區域,包括以交替階層沉積(Alternating Layer Deposition,簡稱ALD)產生之漸變形區域。 請參照第10圖,在所闡述的實施例的內襯層424包 括一選擇性的黏著層430,其特性爲與雙波紋結構之絕緣 表面402、404、408、410(參見第9圖)之良好黏著性。黏 著層可以藉由ALD製程來形成,如先前所揭露之暫時性 專利申請案號60/159,799,由Raaijmakers等提出,於1999 年10月15日提出,發明名稱爲CONFORMAL LINING LAYERS FOR DAMASCENE METALLIZATION,以及對應的 應用申請案號09/644,416,由Raaijmakers等提出,於2000 年8月23日提出,發明名稱相同。799申請案及對應的’416 U.S.使用申請案的揭露做爲此處之參考。 所闡述的內襯層424更包括障壁區域432、轉變區域 434及種子層區域436。其爲較佳的,障壁區域432包括導 電性氮化物,以及特別是金屬氮化物(例如WN、TiN、TaN 等)。轉變區域(transition region)434也包括導電性氮化物, 但具有不同位準的氮化物貫穿其厚度及/或不同的金屬含 量。種子區域436最好導電性π原始"金屬,具有適於電鍍 其上之塡充金屬426之導電性。 在所闡述的實施例中,黏著層430包括鎢(W);障壁 區域432包括氮化鎢(WN);轉變區域434包括氮化鎢銅 ((WNx)yCuz)的漸變層,其中y及ζ隨著貫穿轉變區域434 的厚度而變化;以及種子區域436包括銅(Cu)。最好,障 壁432、轉變434及種子436區域是以連續的製程形成的, 而未將工作物移出反應腔室,以及如此從一製程觀點來考 ------------裝--- (請先閱讀背面之注意事項再填寫本頁) ·% 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 490739 7324pif.d〇c/008 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明丨) 慮,在一單一沉積層438之內的區域具有可變成分貫穿其 厚度。 區域432、434、436可以具有任何所需的厚度,適於 特定的應用。對於較佳雙波紋背景,內襯最好是儘可能的 薄,而達到其個別的目的。特別是,障壁區域432當作擴 散障壁,但最好儘可能少佔用溝渠及貫孔。因此,障壁區 域432最好是在約20 A與200 A之間,在約40 A與80 A 之間更佳,以一實例的厚度爲約50 A的WN。轉變區域434 由氮化金屬轉變至純金屬,而期望避免電路運作期間的電 子遷移以及其他尖銳的金屬/氮化金屬邊界的缺點及降低整 體厚度。因此,轉變區域434的厚度最好是在約7 A與200 人之間,在約10人與80 A之間更佳。在一實施例中,轉 變區域具有約10 A的厚度以及在與障壁區域432之界面 處的銅含量約0%以及在與種子區域436(或是與銅塡充料, 在種子層不存在時)之界面處的銅含量約50%。種子區域436 可提供整個工作物的均勻電鑛的充分傳導性。而種子區域 436太厚並非是功能性的缺點,在以電鍍來完成塡充時, 可以藉由使用ALD來沉積最小量的銅來增進產量。因此’ 種子區域436最好大於約100 A,一個實際的Cu的厚度爲 約150 A。每一階層具有極佳的雙波紋溝渠及貫孔的階層 覆蓋,最好是大於約90%(側壁覆蓋對場覆蓋的比例),大 於約93%更佳,以及大於約97%最佳。 請參考第11圖,繪示第10圖的轉變區域434的理論 的螺旋(Auger)量變曲線。圖的右側代表轉變區域434的較 低的表面,當其混合至下面的WN障壁區域432時。左圖 本紙張尺度適用中國國家標準(CNS)A<丨規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) -· H ϋ H ϋ 訂---------線痛 490739 7324pif.doc/008 A7 五、發明說明(3乃 的側代表轉變區域434的頂表面,當其混合至上面的Cu 種子區域436時。如圖所示,轉變區域具有逐步減少的w 及N含量’從右至左,以及同時增加的Cu濃度。必須要 瞭解,此曲線的形狀可以呈現任何所需的形狀以及所闡述 的含量變化比例只是實例而已。 其爲有利的,此製程使用中間減少階段(intermediate reduction phase),藉以去除金屬與氮來源階段之間的鹵化 物渣滓。此中間減少階段避免了鹵化氫的產生,其可能對 後面要形成的金屬造成傷害,例如銅。然而,必須要瞭解, 在其他的配置中,此中間減少階段可以省略。 (請先閱讀背面之注意事項再填寫本頁) · I ϋ -ϋ ϋ n ϋ ϋ 一-0、 I ΗΒ · am
經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490739 p d
7 7 A B 五、發明說明(V)) 表格 脈動 載送氣 體流量 (slm) 反應物 反應物 流量 (seem) 溫度 re) 壓力 (Torr) 時間 (秒) 第一金 屬 400 wf6 20 350 10 0.25 排空 400 -- -- 350 10 1.0 第一還 原 400 TEB 40 350 10 0.05 排空 400 一- —— 350 10 1.0 氮 400 nh3 100 350 10 0.75 排空 400 -- -- 350 10 1.0 第二金 屬 400 CuCl 4 350 10 0.2 排空 400 -- -- 350 10 1.0 第二還 原 400 TEB 40 350 10 0.2 排空 400 -- -- 350 10 1.0 (請先閱讀背面之注意事項再填寫本頁) 裝--------訂--------- 經濟部智慧財產局員工消費合作社印製 參考上面的表格,一個實例製程方法用以形成所需之 漸變形階層,包括障壁、轉變及種子區域,將說明如下。 五個階段(每一階段定義爲,在所闡述的實施例中,包括 接著反應物脈動之後的排空)之說明爲: (1) 第一金屬階段(例如wf6脈動+排空); (2) 第一還原階段(例如TEB脈動+排空脈動); 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ 297公釐) 490739 7324pif.doc/008 A7 B7 五 經濟部智慧財產局員工消費合作社印製 發明說明(2A 0)氮階段(例如NH3脈動+排空脈動); (4) 第二金屬階段(例如CuCl脈動+排空脈動);以及 (5) 第二還原階段(例如TEB脈動+排空脈動)。 這些階段的不同特性被利用在連續的沉積製程期間, 取決於沉積製程之階段。在所闡述的實施例中,在障壁階1 段期間,例如,只有利用階段(1M3),一起代表〜個週期, 其只遺留下約一個WN的單屬。在轉變階段期間,利用階 段(1M3)及(4M5)的變化特性。在種子階段期間,只有利 用階段(4)-(5),一起代表一個週期,其只遺留下約—個Cu 的單屬。 這些階段現在將在下面更詳細地說明。 障壁沉積階段 在一啓始障壁沉積階段,只有沉積一障壁材料,最好 是氣化金屬。在所闡述的實施例中,只有父替上述表格φ 的階段(1)-(3)。在約120-180週期中,產生約50 A的WN。 每個週期可以是一樣的。 在第一週期的第一階段(1)中,WF6用化學方法吸附在 下面的基底上,在所闡述的實施例中,其包括前面形成的 氮化金屬。氮化金屬最好是以類似的ALD製程來形成。 第一金屬來源氣體最好包括充分比例的載送氣體流量以及 提供充分的時間,給定其他的製程參數,藉以滲透下面的 障壁層。只有約一個單屬的鎢複合物遺留在障壁層上’以 及此單屬是以氟化物渣滓自我終結。如以上所提到的’雖 然通常少於一個單屬,此複合物在此爲了方便仍以’’單屬Π 稱之。 (請先閱讀背面之注意事項再填寫本頁) · ϋ ϋ n ϋ· I mm— I > i·— ϋ —mw i ammmm - .參 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 490739 A7 B7 7324pif .doc/008 五、發明說明 在wf6流停止及藉由持續的載送氣體流排空之後,將 第二階段(2),包括還原氣體(TEB)脈動,供應至該工作物。 其爲有利的,此還原氣體移除來自鎢複合物的氟化物渣 滓,避免氫鹵化物的形成,其可能蝕刻銅。必須要瞭解, 在其他的配置中,可以不需要此還原階段。 在TEB流停步及排空後,第三階段(3),包括氮來源 氣體(NH3),被供應至該工作物。在第三階段中,氨最好包 括充分比例的載送氣體流量以及提供充分的時間,給定其 他的製程參數,藉以滲透包含金屬的單層的表面。NH3很 容地與被還原階段暴露後遺留的鎢反應,形成氮化鎢(WN) 的單屬。此反應爲自我限制的。不論是氣或載送氣體都不 會再與產生的氮化鎢單屬反應,以及此單屬遺留下氮及NHX 橋接終結。較佳的溫度及壓力參數,此外,抑制氨經由金 屬單層擴散。 接著氮階段(3),即在將氮來源氣體由腔室中移除後, 最好是以持續的載送氣體排空,開始新的週期的第一階段 (1),即伴隨第一金屬來源氣體(WF6)。 其爲合意的,重覆此三階段週期(1M3)直到形成足夠 的障壁層,最好在約20 A與200 A之間,在約40 A與80 A 之間更佳,實例的厚度爲約50 A。其爲有利的,此薄階層 提供了極佳的階層覆蓋。 在所闡述的實施例中,在每一週期的所有階段中,載 送氣體以固定速率持續流動。必須要瞭解,然而,在交替 氣體脈動之間,反應物可以藉由腔室的排空來移除。在一 種配置中,較佳的反應器包含硬體及軟體,藉以在脈動沉 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝----I---訂-----I I ! 經濟部智慧財產局員工消費合作社印製 490739 A7 7324pif.doc/008 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(从) 積期間維持固定壓力。U.S· Patent No. 4,747,367,1988年 五月 31 日核發給 Posa 以及 U.S. Patent No. 4,761,269,1988 年八月31日核發給Conger等,做爲此處之參考。 轉變沉積階段 障壁區域的接著的形成,在連續的製程中,交替該些 週期,藉以在形成轉變區域的形成期間加入新的階段。特 別是,在所闇述的第四及第五階段(4)、(5)被引介至該些 週期,因而將銅引介至該轉變區域。至少兩個,以及最少 是多於十個週期,包括階段(4)及(5)。 此引介可以是逐步的。例如,兩個週期可以只包括階 段(1M3),如以上所述,產生WN,接著第三週期包括全 部五個階段(1)_(5),產生WN及Cu的混合物,再接著兩個 週期只包括階段(1M3)。逐步地,增加Cu引介的頻率。在 某些點,在一列中的數個週期會包括全部五個階段(1H5)。 第12圖繪示兩個此種五階段週期,以及上述表格表 示ALD製程的一個週期的參數,用以沉積氮化鎢(WN)與 銅(Cu)的漸變形階層。最好該階層當作雙波紋結構的溝渠 及接觸貫孔中的氮化鎢障壁層與銅種子層之間的界面。在 實例的製程方法中,第一金屬來源氣體包括六氟化鎢 (WF6);載送氣體包括氮(N2);第一還原劑包括三乙基硼 (TEB);氮來源氣體包括氨(NH3);第二金屬來源氣體包括 氯化銅(CuCl);以及第二還原劑包括二乙基硼(TEB)。 第一五階段週期450繪不在第圖中。開始時,進 行最前面的三個週期(1M3),如以上關於障壁區域之形成 之敘述。接著氮階段0),即在氮來源氣體已從腔室移除後’ (請先閱讀背面之注意事項再填寫本頁) 一裝---- ·
本紙張尺度適用中國國家標準(匸~9八‘1規格(2丨[^ 297公釐) 490739 7324pif.doc/008 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(^7 ) 最好是以持續的載送氣體流排空’第四階段(4)包括流過第 二金屬來源氣體。氯化銅最好包括充分部分的載送氣體流 以及提供充分的時間,藉以滲透前面階段遺留的表面。只 有約一單屬的自我終結的金屬複合物,特別是氯化物終結 銅,遺留在前面兩個階段形成的氮化金屬上。然後將第二 金屬來源氣體由腔室中移除,最好是以持續的載送氣體流 排空。 在第五階段(5),氯化物終結表面跟著以接著的還原劑 來還原。最好,以TEB流來移除前面階段遺留的氯化物渣 滓。 在下一個週期455,第一階段(1)再次引介第一金屬來 源氣體,其很容易地與銅單屬的表面反應,再次遺留氟化 物終結鎢階層。第二週期的第二至第五階段此時可以如上 面關於週期450之敘述。可以重覆這些週期,每當需要時, 以確保銅與氮化金屬的充分混合。高度傳導性階層可以沉 積在界面材料上面,藉由任何合適的方式。 其爲更佳的,某些週期引介時省略WN的形成,使得 只包括階段(4)及(5)。在第12圖中,此以截短的週期460 來表示,其省略WN階段以及由階段(4)-(5)的組成來代替, 產生只有一單屬的原始Cu。此製程接著繼續另外的五階 段週期470,混合WN與Cu。 在進行的週期間,可以逐步減少WN階段(1)-(3)的頻 率,因而增加成長的階層的Cu的比例。最後,只有產生 Cu沉積。必須要瞭解,在轉變區域的WN對Cu的相對比 例,以及其量變曲線,可以藉由控制WN階段(1)-(3)相較 (請先閱讀背面之注意事項再填寫本頁) --------訂· —--— II-- 1. 本紙張尺度適用中國國家標準(CNS)A、丨規格(210 X 297公餐) 490739 A7 B7 7324pif.doc/008 五、發明說明(功) 於Cii階段⑷-(5)的相對頻率來良好地控制。因此,可以籍 由此處所揭露之方法來達到任何所需的含量量變曲線。$ 其爲有利的’轉變區域可以具有成分變化貫穿該材料 的非常小的厚度。最好,所闡述的實施例的轉變區域,在 氮化金屬障壁區域與金屬種子區域之間,是在約7 A與200 A之間,在10 A與80 A之間更佳,以特別是小於約50 a。 一個用於金屬/金屬氮化物轉變區域的實例的厚度爲約1〇 人。其爲有利的,此薄階層提供極佳的階層覆蓋。 種子沉積階段 轉變區域的接著的形成,在連續的製程中,可以在原 處沉積種子層在轉變區域之上。在所闡述的實施例中,其 中需要銅塡充在雙波紋溝渠及接觸貫孔內,在電鍍之前需 要一種子層。因此,在形成界面之後,重覆所闡述的ALD 製程的第四及第五階段。於是,可以藉由ALD將銅沉積 在混合或複合的階層的界面之上(即在轉變區域之上),藉 以提供均勻厚度的電鍍種子層。 其爲合意的’接著繼續此兩階段週期,沒有第一金屬 及氮階段’直到形成的銅階層的厚度足以當作電鑛種子 層。此種子層最好是大於約50A,大於約ιοοΑ更佳,以 及在此實例的實施例中約150A。 接著此晶圓可以從腔室中移出以及該溝渠及接觸貫孔 以及咼導電性金屬塡充。最好,銅是電鍍在銅種子層之上。 於是,此氮化金屬障壁、漸變形界面或轉變區域及銅 種子區域可以在連續的製程中全部沉積在同一位置,在相 同的溫度及壓力條件之下。其爲有利的,混合及以及最好 f請先閱讀背面之注意事項再填寫本頁}
經濟部智慧財產局員工消費合阼fi印糾π 本紙張尺度適用中國國家標準(CNS)A】規格(2Ιϋχ297公餐) 490739 7324pif.d〇c/008 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(^1) 是漸變形界面或轉變區域可避免電子遷移的問題,其在積 體電路的電性運作期間會發生在急劇的金屬/氮化金屬界 面。 習知此技藝者可以瞭解,在某些配置中,可以藉由改 變單一反應階段的成分來控制反應物的相關位準,如關於 第7圖之揭露。由於在ALD製程中同時暴露的反應物之 間的熱力學競爭的複雜性,然而,其較願意藉由改變連續 的製程中的每一週期的階段次數及/或型式來引介成分變化 至成長的階層中,如第12圖所繪示的。 逐步使用代替反應物 在上述實施例的最前面,所敘述的一雜質被引介至該 氣體階段,當作主要反應物之一(例如增加所提供之氮之 比例。在第7圖之製程之氧化物的同時)。在第二實施例 中’雜質是在ALD製程的選擇的週期中以分開的脈動引 介的。雜質脈動可以代替啓始製程的脈動,或可以提供爲 主要反應物的增加部分,以及這些脈動引介可以用增加的 頻率提供貫穿該製程(例如,在第12圖中,銅來源氣體脈 動可以增加至或代替鎢及氮來源氣體脈動)。 此外’發明人發現雜質的引介可以經由在成長的膜上 白勺已經吸附的物種的熱動力偏愛(thermodynamically favored) 的取代。例如,在藉由ALD來成長Ti02的製程中,氯化 錦(A1C13)氣體脈動的引介可以以Ai-o鍵取Tl_〇鍵,其爲 熱動力偏愛,以及在處理中釋放揮發性TiCl4氣體。同樣 的’ A1C13的脈動可以將表面Si02轉換成A1203,釋放SiCl4 氣體。在另外的實例中,在成長的階層表面的Zr02可以暴 42 (請先間讀背面之注意事項再填寫本頁) 裝---- 訂---------. 經濟部智慧財產局員工消費合作钍印製 490739 五、發明說明(吵) 露在A1C13中以形成A1203。這些實例是特別有利的,在形 成主體TiOfSiOz或21*02介電質與形成在其上之閘極電 極之間的上部界面時。 因爲取代反應爲熱動力偏愛的,延長的曝光可以以 Al2〇3取代較不偏愛氧(less favored oxide)的一個或兩個分 子層。假如在漸變製程需要此種完全階層取代時,此種曝 光可以較不頻繁地引介至沉積中的早期的ALD製程中, 而往製程的後期時則以較高的頻率,藉以產生大的或純的 Al2〇3上表面。相對地,此種曝光可以較頻繁地引介至早 期的ALD製程中,以及在製程的後期時則以較少的頻率, 藉以產生大的或純的A1203下表面,漸變至主體介電質。 另外,藉由對A1C13脈動選擇縮短的時間長度,在每 一 A1C13脈動中不會有對於Ti〇2、Si〇2或Zr〇2的Al2〇3的 完全取代可以完成。對於取代反應的曝光時間在製程期間 可以每個週期或每數個週期逐步地增加,因而在成長的介 電層的上表面完成較大部分的A1203。其中漸變(grading)是 藉著改變曝光時間來達成,其爲有利的,在垂直地供應反 應物氣體至基底時,例如經由頂部噴灑頭進口。於是可以 避免濃度由基底的進口側漸變至排出側。 其已顯示,即使正的Gibb自由能量値用於取代反應, 足夠長的暴露在取代來源氣體下,可以得到成長的介電質 的頂部分子層的最終的取代。參見;iarkko Ihanus,Mikko Ritala,Markku Leskela 及 Eero rauhala,”ALE growth of ZnS丨·xSex thin films by substituting surface with elemetal selenium”,APPLIED SURFACE SCIENCE,Vol. 112,pp. (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS)A.丨規格(21〇χ 297公釐) 7324pif.d〇c/008
490739 五、發明說明(C^) 154-158 (1997)。在其情況中,其顯示暴露硫化物或-SH表 面終結至純硒會導致硫原子被硒取代。 必須要瞭解,類似的取代反應亦可利用在漸變的傳導 性材料,例如氮化金屬與不同的金屬經由上述的漸變形障 壁層。 雖然本發明已以特定較佳實施例說明如上,然而對於 熟習此技藝者’以此處所揭露之觀點可以明瞭其他的實施 例。特別是’可以改變每一週期的階段的次數。例如,在 某些配可以不需要中間還原階段。此外,雖然所揭露 $二,貫施,是關於傳導性薄膜內襯一雙波紋結構,以及 力施例是揭露關於極薄閘極介電膜,習知此技藝者可 以^艮谷易_現此處所揭露之原理在其他方面的應用。 laiit#發明並非被所述的較佳實施例所限制,而以後 附之申請專利範咖界定者爲準。 (請先閱讀背面之注意事項再填寫本頁) 裝 I ϋ ϋ ϋ^OJ· 1 ϋ n ϋ ϋ I «ϋ 經濟部智慧財產局員工消費合作社印製 44 )A‘〗規格(210 X 297公釐)

Claims (1)

  1. 490739 A8 B8 C8 D8 7324pif.doc/008 六、申請專利範圍 1.一種以變化的成分在積體電路形成薄膜之方法,包 括: 將一基底放置在一反應腔室; 在複數個沉積週期,交替地引介第一及第二蒸發(vapor) 階段反應物以及短暫地分隔脈動至該基底;以及 在該複數個沉積週期期間,引介不同分量之一第三蒸 發階段反應物至該基底。 2·如申請專利範圍第1項所述之方法,其中該第一蒸 發階段反應物包括一矽來源氣體。 3·如申請專利範圍第2項所述之方法,其中該矽來源 氣體係選擇自由分子式SlmL2m+2的矽甲烷,分子式Siy〇v iL2y+2的石夕氧院,以及分子式Si/NHhdLhe的silazane戶斤 構成的群,其中m及y爲整數,而L係一配位子(ligand)。 4·如申請專利範圍第3項所述之方法,其中在該矽來 源氣體中之該配位子係選擇自由Η,F,Cl,Br,I,烷基, 芳香族羥基,烷氧基(alkoxy),乙烯基,氰基,異氰酸鹽, 氨,砂化氫(silyl),砂化氫院基(alkysilyl),砂垸氧基 (slkoxysilyl),silylene 及砂氧院烴基(alkylsiloxane)群所組 成的群。 5·如申請專利範圍第3項所述之方法,其中該第二蒸 發階段反應物包括一氧化劑來源氣體以及該第三蒸發階段 反應物包括一氮來源氣體。 6·如申請專利範圍第5項所述之方法,其中該氧化劑 來源氣體包括水蒸汽及該氮來源氣體包括氨。 7.如申請專利範圍第1項所述之方法,其中該第一蒸 (請先閱讀背面之注意事項再填寫本頁) 以 · n n n t— n n an 一 0、B n ft·— 11 1 n I 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公t ) 經濟部智慧財產局員工消費合作社印製 490739 A8 B8 7 3 24pif . doc/ 0 0 8 惡 六、申請專利範圍 發階段反應物包括一第一金屬來源氣體。 8. 如申請專利範圍第7項所述之方法,其中該第二蒸 發階段反應物包括一氧化劑以及該第三蒸發階段反應物包 括一第二金屬來源氣體,其異於該第一金屬來源氣體。 9. 如申請專利範圍第8項所述之方法,其中該第一及 該第二蒸發階段反應物對一閘極介電質產生一界面層,以 及該第三蒸發階段反應物產生一較高介電常數材料。 10. 如申請專利範圍第9項所述之方法,其中該界面層 包括氧化錦。 11. 如申請專利範圍第10項所述之方法,其中該較高 介電常數材料包括氧化锆。 12. 如申請專利範圍第1項所述之方法,其中該第一蒸 發階段反應物係一第一金屬來源氣體,該第二蒸發階段反 應物係一氮來源氣體,以及該第三蒸發階段反應物係一第 二金屬來源氣體。 13. 如申請專利範圍第12項所述之方法,其中該薄膜 包括在一氮化金屬障壁層與一更具導電性金屬之間之一漸 變形轉變層。 14. 如申請專利範圍第12項所述之方法,該第三蒸發 階段反應物係一銅來源氣體。 15. 如申請專利範圍第14項所述之方法,其中該薄膜 在一氮化金屬障壁層與塡充在一雙波紋溝渠之一銅之間形 成一漸變形轉變層。 16. 如申請專利範圍第15項所述之方法,其中引介包 括逐漸增加地添加更多銅來源氣體至該沉積週期。 (請先閱讀背面之注意事項再填寫本頁) • 裝--------訂--I I I I I-- 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公t ) 經濟部智慧財產局員工消費合作社印制农 490739 A8 B8 7324pif.doc/008 惡 六、申請專利範圍 Π.如申請專利範圍第16項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括在沉積進行時以較高 頻率提供銅來源氣體脈動至該沉積週期。 18. 如申請專利範圍第14項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括從該轉變層之一下表 面至該轉變層之一上表面改變一銅濃度。 19. 如申請專利範圍第18項所述之方法,其中該銅濃 度在該下表面最低以及在該上表面最高。 20. 如申請專利範圍第19項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括從該下表面至該上表 面線性地漸變該銅濃度。 21. 如申請專利範圍第19項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括提供0%銅在該下表 面以及約50%銅在該上表面。 22. 如申請專利範圍第19項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括形成一純氮化金屬在 該下表面以及一純銅層在該上表面。 23. 如申請專利範圍第19項所述之方法,形成該漸變 形轉變層之厚度小於50 A。 24. 如申請專利範圍第19項所述之方法,形成該漸變 形轉變層之厚度小於10 A。 25. 如申請專利範圍第1項所述之方法,其中引介不同 分量的該第三蒸發階段反應物包括在沉積進行時以不同頻 率提供該第三蒸發階段反應物之脈動至該沉積週期。 26. 如申請專利範圍第25項所述之方法,其中引介不 (請先閱讀背面之注意事項再填寫本頁) — · ϋ- n n n n n νϋ 一一eJI VI n an I n 1 n 本紙張尺度適用中國國家標準(CNS)A4規格(2】〇χ 297公釐) 經濟部智慧財產局員工消費合作社印製 490739 B8 7324pif>d〇c/〇〇8 C8 Do 六、申請專利範圍 同分量的該第三蒸發階段反應物包括在沉積進行時以較高 頻率提供該第三蒸發階段反應物之脈動至該沉積週期。 27. 如申請專利範圍第25項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括在沉積進行時以較少 頻率提供該第三蒸發階段反應物之脈動至該沉積週期。 28. 如申請專利範圍第1項所述之方法,其中引介不同 分量的該第三蒸發階段反應物包括在沉積進行時於該每一 沉積週期提供不同位準之該第三蒸發階段反應物。 29. 如申請專利範圍第28項所述之方法,其中在每一 週期該不同位準之該第三蒸發階段反應物與該第二蒸發階 段反應物同時提供。 30. 如申請專利範圍第28項所述之方法,其中該不同 位準之該第三蒸發階段反應物在不同持續時間的分開的脈 動中提供。 31. 如申請專利範圍第28項所述之方法,其中該不同 位準之該第三蒸發階段反應物取代來自一先前脈動之該薄 膜之該表面上之原子。 32. 如申請專利範圍第1項所述之方法,形成該漸變形 轉變層之厚度小於50 A。 33. 如申請專利範圍第32項所述之方法,其中引介不 同分量的該第三蒸發階段反應物包括在沉積期間線性地改 變加入至該階層之一雜質之一分量。 34. —種薄膜,在積體電路之中,具有小於50 A之厚 度,定義在一上表面與一下表面之間,該薄膜在該上表面 與該下表面之間具有一受控制的及不同的成分。 -----------裝--------訂--I--I--- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(2】0 X 297公釐) 490739 A8 B8 C8 D8 7324pif.doc/008 六、申請專利範圍 35. 如申請專利範圍第34項所述之薄膜,其中該厚度 小於約7 A及80 A。 (請先閱讀背面之注意事項再填寫本頁) 36. 如申請專利範圍第35項所述之薄膜’其中該厚度 小於50 A。 37. 如申請專利範圍第36項所述之薄膜,其中該不同 的成分包括漸變濃度之一雜質。 38. 如申請專利範圍第37項所述之薄膜,其中該雜質 之濃度在該下表面處小於約0.1%。 39. 如申請專利範圍第38項所述之薄膜,其中該雜質 之濃度在該下表面處爲約〇%。 40. 如申請專利範圍第37項所述之薄膜,其中該雜質 之濃度在該上表面處大於約5%。 41. 如申請專利範圍第40項所述之薄膜,其中該雜質 之濃度在該上表面處大於約10%。 42. 如申請專利範圍第34項所述之薄膜,形成一電晶 體閘極介電質。 線· 43. 如申請專利範圍第42項所述之薄膜,展示小於約 2.0 nm之一等效氧化物厚度。 經濟部智慧財產局員工消費合作社印製 44. 如申請專利範圍第43項所述之薄膜,展示小於約 1.7 nm之一等效氧化物厚度。 45. 如申請專利範圍第42項所述之薄膜,包括至少一 個氧化矽的分子單屬在該下表面處。 46. 如申請專利範圍第45項所述之薄膜,包括至少一 個氮化矽的分子單屬在該上表面處。 47. 如申請專利範圍第42項所述之薄膜,包括氧化鋁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490739 7324pif.doc/008 六、申請專利範圍 位於該上表面與該下表面之一,以及一較高介電材料毗連 該處。 (請先閱讀背面之注意事項再填寫本頁) 如申請專利範_1第47項所述之薄膜,其中該氧化 鋁係形成在該下表面處,直接毗連一半導體基底,該氧化 鋁係以該較高介電材料來漸次變化。 49·如申請專利範園第48項所述之薄膜,其中該較高 介電材料包括氧化鐯。 5〇·如申請專利範圍第34項所述之薄膜,其中該不同 的成分包括從該下表面至該上表面之一不同的氮化物濃 度。 51·如申請專利範圍第5〇項所述之薄膜,其中該氮化 物濃度係逐漸增加地從在該下表面之一最低濃度變化至在 該上表面之最高濃度。 52·如申請專利範圍第51項所述之薄膜,包括一線性 漸變氮化物濃度從該下表面至該上表面。 53.如申請專利範圍第52項所述之薄膜,其中該氮化 物濃度在該下表面處小於約〇. 1 %以及該上表面處大於約 5%。 經濟部智慧財產局員工消費合作社印製 54·如申請專利範圍第53項所述之薄膜,包括實質地 純二氧化矽在該下表面處以及實質地純氮化矽在該上表面 處。 55.如申請專利範圍第34項所述之薄膜,其中該不同 的成分包括從該下表面至該上表面之一漸增的銅濃度。 56·如申請專利範圍第55項所述之薄膜,其中該不同 的成分包括從該下表面至該上表面之一漸減的氮化金屬濃 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490739 A8 B8 7324pif.doc/008 六、申請專利範圍 度。 57. 如申請專利範圍第56項所述之薄膜,具有約7人 與80 A之間的厚度。 58. —種形成一積體電路之方法,包括沉積一階層,具 有從一下表面至一上表面之之一雜質之漸變濃度,藉由將 一基底暴露至蒸發階段反應物之一交替的表面反應。 (請先閱讀背面之注意事項再填寫本頁) π裝 訂---------. 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090105107A 2000-03-07 2001-03-30 Graded thin films TW490739B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US18742300P 2000-03-07 2000-03-07

Publications (1)

Publication Number Publication Date
TW490739B true TW490739B (en) 2002-06-11

Family

ID=22688920

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090105107A TW490739B (en) 2000-03-07 2001-03-30 Graded thin films

Country Status (8)

Country Link
US (3) US6534395B2 (zh)
EP (1) EP1266054B1 (zh)
JP (1) JP5016767B2 (zh)
KR (1) KR100803770B1 (zh)
AU (1) AU2001245388A1 (zh)
DE (1) DE60125338T2 (zh)
TW (1) TW490739B (zh)
WO (1) WO2001066832A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI405864B (zh) * 2007-07-25 2013-08-21 Tokyo Electron Ltd 薄膜形成方法及半導體製程用裝置
US8673396B2 (en) 2006-03-20 2014-03-18 Furukawa Electric Co., Ltd. Method of forming continuous thin film and linear glass substrate with thin film

Families Citing this family (903)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP2001177101A (ja) * 1999-12-20 2001-06-29 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
KR100321178B1 (ko) * 1999-12-30 2002-03-18 박종섭 TaON박막을 갖는 커패시터 제조방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
JP4556282B2 (ja) * 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6617206B1 (en) * 2000-06-07 2003-09-09 Micron Technology, Inc. Method of forming a capacitor structure
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
TW531803B (en) 2000-08-31 2003-05-11 Agere Syst Guardian Corp Electronic circuit structure with improved dielectric properties
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
CN100366792C (zh) * 2000-12-12 2008-02-06 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
US6794705B2 (en) * 2000-12-28 2004-09-21 Infineon Technologies Ag Multi-layer Pt electrode for DRAM and FRAM with high K dielectric materials
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US7112543B2 (en) * 2001-01-04 2006-09-26 Micron Technology, Inc. Methods of forming assemblies comprising silicon-doped aluminum oxide
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100756618B1 (ko) * 2001-02-23 2007-09-10 더 게이츠 코포레이션 적어도 제2 기재에 고무를 직접 결합시키기 위한 방법 및이 방법에 의해 형성된 물품
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6696700B2 (en) * 2001-03-09 2004-02-24 National University Of Singapore P-type transparent copper-aluminum-oxide semiconductor
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6908639B2 (en) * 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US6963103B2 (en) 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6548422B1 (en) * 2001-09-27 2003-04-15 Agere Systems, Inc. Method and structure for oxide/silicon nitride interface substructure improvements
JP2003109956A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置およびその製造方法
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
TW518712B (en) * 2002-01-25 2003-01-21 Taiwan Semiconductor Mfg Manufacture method of low resistance barrier layer of copper metallization process
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US6781126B2 (en) * 2002-02-04 2004-08-24 Applied Materials, Inc. Auger-based thin film metrology
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6764966B1 (en) * 2002-02-27 2004-07-20 Advanced Micro Devices, Inc. Spacers with a graded dielectric constant for semiconductor devices having a high-K dielectric
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
EP1490529A1 (en) * 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7560581B2 (en) 2002-07-12 2009-07-14 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
JP2005534173A (ja) * 2002-07-19 2005-11-10 アヴィザ テクノロジー インコーポレイテッド 金属酸窒化物及び金属シリコン酸窒化物の金属・有機化学気相成長法及び原子層蒸着法
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
KR100480756B1 (ko) * 2002-08-02 2005-04-06 한국화학연구원 산화알루미늄 박막 제조 방법
GB0218417D0 (en) 2002-08-08 2002-09-18 Seagate Technology Llc Combined atomic layer deposition and damascene processing for definition of narrow trenches
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7030042B2 (en) * 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
JP3594947B2 (ja) * 2002-09-19 2004-12-02 東京エレクトロン株式会社 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7252773B2 (en) 2002-10-11 2007-08-07 Texas Instruments Incorporated Clean for high density capacitors
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6713407B1 (en) * 2002-10-29 2004-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Method of forming a metal nitride layer over exposed copper
US6869876B2 (en) 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
ITUD20020238A1 (it) * 2002-11-11 2004-05-12 New System Srl Metodo per la stesura controllata a getto d'inchiostro di polimeri per isolamento e/o protezione di circuiti stampati
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7192866B2 (en) * 2002-12-19 2007-03-20 Sharp Laboratories Of America, Inc. Source alternating MOCVD processes to deposit tungsten nitride thin films as barrier layers for MOCVD copper interconnects
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US20060225642A1 (en) * 2003-03-31 2006-10-12 Yoshihiko Kanzawa Method of forming semiconductor crystal
JP4536333B2 (ja) * 2003-04-03 2010-09-01 忠弘 大見 半導体装置及び、その製造方法
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
KR100724181B1 (ko) * 2003-06-16 2007-05-31 동경 엘렉트론 주식회사 성막 방법, 반도체 장치의 제조 방법, 반도체 장치 및 성막장치
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
AU2003266410A1 (en) 2003-07-30 2005-02-25 Infineon Technologies Ag High-k dielectric film, method of forming the same and related semiconductor device
EP1652226A2 (en) 2003-08-04 2006-05-03 ASM America, Inc. Surface preparation prior to deposition on germanium
JP3954998B2 (ja) * 2003-08-11 2007-08-08 ローム株式会社 半導体装置およびその製造方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
DE102004021578A1 (de) 2003-09-17 2005-04-21 Aixtron Ag Verfahren und Vorrichtung zur Abscheidung von ein-oder mehrkomponentigen Schichten und Schichtfolgen unter Verwendung von nicht-kontinuierlicher Injektion von flüssigen und gelösten Ausgangssubstanzen über eine Mehrkanalinjektionseinheit
WO2005026401A2 (de) * 2003-09-17 2005-03-24 Aixtron Ag Verfahren und vorrichtung zur schichtenabscheidung unter verwendung von nicht-kontinuierlicher injektion
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
JP4059183B2 (ja) * 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US7098537B2 (en) * 2003-11-21 2006-08-29 International Business Machines Corporation Interconnect structure diffusion barrier with high nitrogen content
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3808866B2 (ja) * 2003-12-05 2006-08-16 株式会社東芝 半導体装置
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050130438A1 (en) * 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6849541B1 (en) * 2003-12-19 2005-02-01 United Microelectronics Corp. Method of fabricating a dual damascene copper wire
KR100515370B1 (ko) * 2003-12-31 2005-09-14 동부아남반도체 주식회사 반도체 소자의 플러그 제조 방법
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7160758B2 (en) * 2004-03-31 2007-01-09 Intel Corporation Electronic packaging apparatus and method
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7119600B2 (en) * 2004-04-20 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Wide common mode high-speed differential receiver using thin and thick gate oxide MOSFETS in deep-submicron technology
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2005353832A (ja) * 2004-06-10 2005-12-22 Toshiba Corp 半導体装置及びその製造方法
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
JP4370206B2 (ja) * 2004-06-21 2009-11-25 パナソニック株式会社 半導体装置及びその製造方法
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7115959B2 (en) * 2004-06-22 2006-10-03 International Business Machines Corporation Method of forming metal/high-k gate stacks with high mobility
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7863179B2 (en) * 2006-10-31 2011-01-04 Lam Research Corporation Methods of fabricating a barrier layer with varying composition for copper metallization
EP1774056B1 (de) * 2004-07-15 2011-05-18 Aixtron SE Verfahren zur abscheidung von silizium und germanium enthaltenden schichten
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7955646B2 (en) * 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7300869B2 (en) * 2004-09-20 2007-11-27 Lsi Corporation Integrated barrier and seed layer for copper interconnect technology
US20060068099A1 (en) * 2004-09-30 2006-03-30 Sharp Laboratories Of America, Inc. Grading PrxCa1-xMnO3 thin films by metalorganic chemical vapor deposition
KR100580771B1 (ko) * 2004-10-01 2006-05-15 주식회사 하이닉스반도체 플래쉬 메모리소자의 형성방법
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US7253050B2 (en) 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7345370B2 (en) * 2005-01-12 2008-03-18 International Business Machines Corporation Wiring patterns formed by selective metal plating
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
EP1691383A1 (en) * 2005-02-14 2006-08-16 TDK Corporation Capacitor, method of making the same, filter using the same, and dielectric thin film used for the same
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
EP1693888A1 (en) * 2005-02-16 2006-08-23 Interuniversitair Microelektronica Centrum ( Imec) Method to enhance the initiation of film growth
EP1693889B1 (en) * 2005-02-16 2017-05-24 Imec Method to enhance the initiation of film growth
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US20060226500A1 (en) * 2005-04-06 2006-10-12 Po-Lun Cheng Gate dielectric layer and method of forming the same
KR20080003387A (ko) * 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. 다중층, 다중성분 높은-k 막들 및 이들의 증착 방법
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20080296644A1 (en) * 2005-05-02 2008-12-04 Samsung Electronics Co., Ltd. Cmos image sensors and methods of fabricating same
EP1724822A3 (en) * 2005-05-17 2007-01-24 Sumco Corporation Semiconductor substrate and manufacturing method thereof
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7550385B2 (en) * 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
JP2009521801A (ja) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7635603B2 (en) * 2005-12-30 2009-12-22 Atomic Energy Council - Institute Of Nuclear Energy Research Method for making red-light emitting diode having silicon quantum dots
US7303983B2 (en) * 2006-01-13 2007-12-04 Freescale Semiconductor, Inc. ALD gate electrode
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8936995B2 (en) 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP2007250982A (ja) 2006-03-17 2007-09-27 Canon Inc 酸化物半導体を用いた薄膜トランジスタ及び表示装置
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8372697B2 (en) * 2006-05-08 2013-02-12 University Of South Carolina Digital oxide deposition of SiO2 layers on wafers
US20070264816A1 (en) * 2006-05-12 2007-11-15 Lavoie Adrien R Copper alloy layer for integrated circuit interconnects
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7552280B1 (en) 2006-06-28 2009-06-23 Emc Corporation Asymmetrically interleaving access to redundant storage devices
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US20080001237A1 (en) * 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
WO2008005892A2 (en) * 2006-06-30 2008-01-10 Applied Materials, Inc. Nanocrystal formation
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
DE112007001813T5 (de) 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
KR100846393B1 (ko) * 2007-03-30 2008-07-15 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
DE102007018013A1 (de) * 2007-04-17 2008-10-23 Qimonda Ag Dielektrische Schicht sowie Verfahren zur Herstellung einer dielektrischen Schicht
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
CN101680086B (zh) * 2007-05-21 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 用于半导体领域的新型金属前体
WO2008142653A2 (en) * 2007-05-21 2008-11-27 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New cobalt precursors for semiconductor applications
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7718525B2 (en) 2007-06-29 2010-05-18 International Business Machines Corporation Metal interconnect forming methods and IC chip including metal interconnect
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7998820B2 (en) 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
US8110490B2 (en) * 2007-08-15 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate oxide leakage reduction
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7741183B2 (en) * 2008-02-28 2010-06-22 Freescale Semiconductor, Inc. Method of forming a gate dielectric
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5306691B2 (ja) * 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2009253195A (ja) * 2008-04-10 2009-10-29 Toshiba Corp 半導体装置の製造方法、及び半導体装置
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US9175390B2 (en) * 2008-04-25 2015-11-03 Asm International N.V. Synthesis and use of precursors for ALD of tellurium and selenium thin films
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
WO2010032640A1 (en) * 2008-09-19 2010-03-25 Semiconductor Energy Laboratory Co., Ltd. Display device
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8227340B2 (en) * 2009-04-30 2012-07-24 Infineon Technologies Ag Method for producing a copper connection between two sides of a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
TWI385270B (zh) * 2009-06-02 2013-02-11 Air Prod & Chem 含矽膜的低溫沉積方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8105956B2 (en) 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
CN102687243B (zh) 2009-10-26 2016-05-11 Asm国际公司 用于含va族元素的薄膜ald的前体的合成和使用
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5722008B2 (ja) 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130277765A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Semiconductor device including graded gate stack, related method and design structure
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
CN104395991B (zh) * 2012-06-29 2017-06-20 株式会社半导体能源研究所 半导体装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
WO2014083240A1 (en) * 2012-11-29 2014-06-05 Beneq Oy A method for fabricating a structure comprising a passivation layer on a surface of a substrate
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171960B2 (en) 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140252619A1 (en) * 2013-03-08 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure that avoids insulating layer damage and methods of making the same
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
JP2015002195A (ja) * 2013-06-13 2015-01-05 株式会社東芝 半導体記憶装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
CN110066984B (zh) 2013-09-27 2021-06-08 应用材料公司 实现无缝钴间隙填充的方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP3050109B1 (en) 2013-09-27 2020-11-25 Intel Corporation Semiconductor device having group iii-v material active region and graded gate dielectric
US9012278B2 (en) 2013-10-03 2015-04-21 Asm Ip Holding B.V. Method of making a wire-based semiconductor device
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
US20150176122A1 (en) * 2013-12-20 2015-06-25 Intermolecular Inc. Low-temperature growth of complex compound films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9978607B2 (en) * 2014-09-05 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure and method
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR20160071947A (ko) * 2014-12-12 2016-06-22 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017150212A1 (ja) * 2016-03-01 2017-09-08 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6548086B2 (ja) * 2016-05-17 2019-07-24 株式会社フィルテック 膜形成方法
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102662612B1 (ko) * 2016-10-02 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018118082A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Graphitic liners for integrated circuit devices
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10294564B2 (en) * 2017-08-28 2019-05-21 Uchicago Argonne, Llc Method of creating boron comprising layer
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR20190042461A (ko) * 2017-10-14 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Beol 인터커넥트를 위한 고온 pvd 구리 증착을 이용한 ald 구리의 집적
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11758716B2 (en) 2018-09-05 2023-09-12 Micron Technology, Inc. Electronic devices including vertical memory cells and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11094598B2 (en) * 2019-07-11 2021-08-17 Globalfoundries U.S. Inc. Multiple threshold voltage devices
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11946139B2 (en) 2020-09-30 2024-04-02 Uchicago Argonne, Llc Atomic layer deposition of lithium boron comprising nanocomposite solid electrolytes
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220085674A (ko) * 2020-12-15 2022-06-22 주식회사 원익아이피에스 박막 형성 방법 및 장치
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114411119B (zh) * 2022-01-07 2022-10-14 大连理工大学 一种渐变彩虹色碳纤维材料及其制备方法
US11967611B2 (en) * 2022-05-30 2024-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multilayer structure, capacitor structure and electronic device

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5158653A (en) 1988-09-26 1992-10-27 Lashmore David S Method for production of predetermined concentration graded alloys
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JPH05313193A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 薄膜トランジスタマトリックス装置とその製造方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH06232128A (ja) * 1993-02-08 1994-08-19 Hitachi Ltd 半導体装置およびその製造方法
US5795495A (en) * 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5449314A (en) * 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3277193B2 (ja) * 1997-03-13 2002-04-22 三菱電機株式会社 半導体装置及び半導体装置の製造方法
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100319571B1 (ko) * 1998-03-12 2002-01-09 루센트 테크놀러지스 인크 도프된 금속 산화물 유전물질들을 가진 전자 소자들과 도프된 금속 산화물 유전물질들을 가진 전자 소자들을 만드는 과정
US6399522B1 (en) * 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6362526B1 (en) 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6331484B1 (en) 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
KR100363084B1 (ko) * 1999-10-19 2002-11-30 삼성전자 주식회사 박막 구조를 위한 다중막을 포함하는 커패시터 및 그 제조 방법
US6329704B1 (en) * 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US7141278B2 (en) 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100768175B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100768176B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6787912B2 (en) 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673396B2 (en) 2006-03-20 2014-03-18 Furukawa Electric Co., Ltd. Method of forming continuous thin film and linear glass substrate with thin film
TWI405864B (zh) * 2007-07-25 2013-08-21 Tokyo Electron Ltd 薄膜形成方法及半導體製程用裝置

Also Published As

Publication number Publication date
US20010041250A1 (en) 2001-11-15
WO2001066832A3 (en) 2002-02-14
JP2003526218A (ja) 2003-09-02
EP1266054A2 (en) 2002-12-18
JP5016767B2 (ja) 2012-09-05
US6703708B2 (en) 2004-03-09
EP1266054B1 (en) 2006-12-20
KR100803770B1 (ko) 2008-02-15
US20030032281A1 (en) 2003-02-13
US6933225B2 (en) 2005-08-23
US20030129826A1 (en) 2003-07-10
WO2001066832A2 (en) 2001-09-13
DE60125338T2 (de) 2007-07-05
US6534395B2 (en) 2003-03-18
AU2001245388A1 (en) 2001-09-17
DE60125338D1 (de) 2007-02-01
KR20020086614A (ko) 2002-11-18

Similar Documents

Publication Publication Date Title
TW490739B (en) Graded thin films
US7981791B2 (en) Thin films
TW522484B (en) Surface preparation prior to deposition
US7867896B2 (en) Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
Leskelä et al. Atomic layer deposition chemistry: recent developments and future challenges
TW202035763A (zh) 包括SiOC層之結構及其形成方法
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
CN110993482A (zh) 选择性钝化和选择性沉积
KR20170138954A (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
KR20170138950A (ko) 금속성 막들의 선택적 퇴적
US9546419B2 (en) Method of reducing tungsten film roughness and resistivity
JPH11238698A (ja) 原子層蒸着工程を用いた金属層形成方法
JP2009509322A (ja) 半導体装置用構造およびその製造方法
JP2020522611A (ja) チタン、ケイ素及び窒素を含む多領域拡散バリア
JP2005310927A (ja) 紫外線照射による高品質シリコン窒化膜の成膜方法
TW202246575A (zh) 用於填充間隙之方法以及相關半導體處理設備
TW202334474A (zh) 選擇性熱沉積方法
KR20220081905A (ko) 실리콘 질화물 증착용 실리콘 전구체
KR20010007527A (ko) 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
TW202311556A (zh) 選擇性鈍化及選擇性沉積
JPH05102080A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent