KR20040048343A - 플라즈마 처리 용기 내부재 - Google Patents

플라즈마 처리 용기 내부재 Download PDF

Info

Publication number
KR20040048343A
KR20040048343A KR1020030085691A KR20030085691A KR20040048343A KR 20040048343 A KR20040048343 A KR 20040048343A KR 1020030085691 A KR1020030085691 A KR 1020030085691A KR 20030085691 A KR20030085691 A KR 20030085691A KR 20040048343 A KR20040048343 A KR 20040048343A
Authority
KR
South Korea
Prior art keywords
ceramic
plasma treatment
treatment vessel
group
vessel inner
Prior art date
Application number
KR1020030085691A
Other languages
English (en)
Other versions
KR100772740B1 (ko
Inventor
미츠하시고지
나카야마히로유키
나가야마노부유키
모리야츠요시
나가이케히로시
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040048343A publication Critical patent/KR20040048343A/ko
Application granted granted Critical
Publication of KR100772740B1 publication Critical patent/KR100772740B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/249969Of silicon-containing material [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/24997Of metal-containing material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • Y10T428/31544Addition polymer is perhalogenated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Abstract

본 발명은 탑 코트층으로서 형성된 용사 피막의 박리를 억제할 수 있는 플라즈마 처리 용기 내부재를 제공하는 것이다.
기재(71)와 용사 피막(72) 사이에, 할로겐 원소를 포함하는 프로세스 가스에 대하여 내(耐)부식성이 우수한 재료로 이루어지는 배리어 코트층(73)을 형성하고, 이 배리어 코트층(73)을 수지 또는 졸겔법을 이용해 봉공(封孔) 처리한다.

Description

플라즈마 처리 용기 내부재{INTERNAL MEMBER OF A PLASMA PROCESSING VESSEL}
본 발명은 플라즈마 처리 용기 내부재에 관한 것으로, 특히 할로겐 원소를포함하는 프로세스 가스의 플라즈마 분위기가 형성된 플라즈마 처리 용기내에서 이용되는, 예컨대 데포 쉴드, 배기 플레이트, 포커스 링, 전극판, 정전 척, 처리 용기 내벽재 등의 플라즈마 처리 용기 내부재에 관한 것이다.
반도체 및 액정 디바이스 등의 제조 프로세스에는 플라즈마를 이용한 플라즈마 처리가 많이 이용되고 있고, 이러한 플라즈마 처리에 있어서, 처리 용기내에서 C4F8와 NF3와 같은 불화물, BCl3와 SnCl4등의 염화물, HBr과 같은 취화물(臭化物)을 비롯한 할로겐 원소를 포함하는 가스를 사용하기 때문에, 처리 용기 내부재가 현저하게 부식 손모(損耗)된다고 하는 문제가 있다. 따라서, 예컨대 데포 쉴드, 배기 플레이트, 포커스 링, 전극판, 정전 척, 처리 용기 내벽 등의 플라즈마 처리 용기 내부재는 내(耐)플라즈마성이 강하게 요구된다.
이에 대하여, 이와 같은 플라즈마 처리 용기 내부재중에, Al, Al 합금, Al 산화물, 석영 등으로 이루어지는 내부재의 기재 표면에 Al2O3와 Y2O3등의 내식성이 높은 용사 피막을 형성하여, 처리 용기 내부재의 내플라즈마 성능을 향상시키는 기술이 제안되어 있다(예컨대 특허 문헌 1 참조). 또한, 기재와 용사 피막 사이에, 양극 산화 피막이 형성되는 경우도 있다. 그리고, 용사 피막의 밀착성을 향상시키기 위해서, 기재 또는 양극 산화 피막의 표면을, 블라스트 처리 등을 이용해 의도적으로 거칠게 하여, 앵커 효과를 기대함으로써, 용사 피막의 박리가 방지되도록 한다.
전술한 플라즈마 에칭 처리 장치의 경우, 부식성이 높은 할로겐 원소를 포함하는 프로세스 가스를 사용하는 것에 부가하여, 처리 용기내에 부착된 반응 생성물을 제거하기 위해서, 순수(純水 ; 순수한 물), 불소계 용제 또는 아세톤 등의 유기 용제 등의 세정액에 의해 클리닝을 정기적으로 실시하기 때문에, 기재와 용사 피막 사이, 또는 기재와 양극 산화 피막 사이에, 프로세스 가스와 클리닝을 위한 세정액이 침입하여, 그 가스와 세정액과 반응하여, 기재 표면에 부식 생성물이 발생하고, 그 결과 용사 피막이 박리된다고 하는 문제가 있었다.
즉, 도 21a에 도시하는 바와 같이 플라즈마 처리 용기 내부재(100)에 있어서, Al 등의 기재(101)상의 용사 피막(탑 코트층)(102)의 표면에는 CF 폴리머 등의 반응 생성물(103)이 퇴적되지만, 이 반응 생성물(103)은 도 21 b에 도시되는 바와 같이 소정의 세정액(104)에 침지(浸漬)하는 등, 정기 또는 부정기적으로 제거되도록 한다. 그렇게 하면, 도 21c에 도시되는 바와 같이, 용사 피막(102)의 관통 기공, 용사 피막(102)과의 경계부, 또는 플라즈마와 가스 등에 의해 손상된 부위로부터 프로세스 가스, 세정액, 또는 반응 생성물과 반응한 액이 침입하여 기재(101)의 표면에 도달한다. 그것에 의해, 기재(101)의 표면에 부식 생성물이 생성되거나, 또는 앵커 효과를 얻기 위해서 기재 표면에 형성되어 있던 요철이 평활화되어, 앵커 효과를 잃는 것에 의해, 도 21d에 도시되는 바와 같이 용사 피막(102) 중에 기재(101)로부터 박리되는 부분(105)이 발생되는 것으로 고려된다.
한편, 전술한 바와 같은 Al2O3와 Y2O3는 공기중의 수분과의 반응성이 높기 때문에, 플라즈마 처리 용기의 내벽재 등으로서 이용되는 경우에는, 처리 용기인 진공 챔버가 대기에 개방되었을 때와 진공 챔버가 습식 클리닝될 때에 수분이 대량으로 취입될 가능성이 있다. 그리고, 이와 같이 수분이 대량으로 취입되면, 프로세스중에 진공 챔버내가 고온이 되거나, 플라즈마 방전함으로써, 진공 챔버 내벽으로부터 수분이 탈리(脫離)되어, 챔버 내벽이나 퇴적물과 화학 반응하여 이물질이 생성되거나, 진공 배기 시간이 길어지거나, 이상 방전이 발생하거나, 성막 특성이 나빠지는 등의 악영향을 미친다고 하는 큰 결점이 있다.
이에 대하여 특허 문헌 2에는, 진공 배기시에, 플라즈마를 생성시켜서, 그 플라즈마를 챔버 내벽면에 접촉시킴으로써, 그 온도를 상승시켜, 부착된 수분자(水分子)를 기화시켜 단시간에 진공 배기하는 방법이 개시되어 있다. 또한, 특허 문헌 3에는, 진공 챔버의 덮개 부재에 히터를 설치하고, 플라즈마 처리시에 히터를 제어하여 진공 챔버의 내벽의 온도를 항상 소정 온도 이상으로 유지하여, 진공 챔버의 내벽에 흡착된 수분과 유기물의 양을 저감시킴과 동시에, 흡착된 수분과 유기물을 조속히 증발시키는 기술이 개시되어 있다. 또한, 특허 문헌 4, 5에는, 진공 챔버의 내벽에 착탈 가능한 쉴드를 설치하고, 부착된 오염물에 흡착된 수분 등의 영향에 의해 진공 도달 시간이 소정값을 넘은 경우에 쉴드 부재의 청소 또는 교환을 지시하는 기술이 개시되어 있다.
그러나, 특허 문헌 2 내지 5의 기술은, 모두 수분이 흡착된 후의 대응이기 때문에, 그 효과는 한정적이고, 근본적인 해결에는 도달하지 못한 것이 현 상태이다.
(특허 문헌 1) 일본국 특허 공개 공보 제 1996-339895호(3페이지, 도 2)
(특허 문헌 2) 일본국 특허 공개 공보 제 1996-181117호
(특허 문헌 3) 일본국 특허 공개 공보 제 1999-54484호
(특허 문헌 4) 일본국 특허 공개 공보 제 1999-54487호
(특허 문헌 5) 일본 특허 공개 공보 제 2002-124503호
본 발명은 이러한 종래 기술이 갖는 과제에 비추어 이루어진 것으로, 그 목적은 탑 코트층으로서 형성한 용사 피막의 박리를 억제할 수 있는 신규하면서 개량된 플라즈마 처리 용기 내부재를 제공하는 것에 있다.
또한, 다른 목적은 플라즈마 처리시에 있어서의 수분의 이탈이 발생하기 어려운 플라즈마 처리 용기 내부재를 제공하는 것에 있다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에서는, 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막을 구성하는 세라믹은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하고, 그 적어도 일부분이 수지에 의해서 봉공 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
본 발명의 제 2 관점에서는, 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 B, Mg, Al,Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 2 세라믹층을 갖고, 상기 제 1 및 제 2 세라믹층의 적어도 한쪽의 적어도 일부분이 수지에 의해서 봉공 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 1 및 제 2 관점에서, 상기 수지로서는 SI, PTFE, PI, PAI, PEI, PBI, 및 PFA로 이루어지는 군으로부터 선택된 것이 바람직하다.
본 발명의 제 3 관점에서는, 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막을 구성하는 세라믹은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하고, 그 적어도 일부분이 졸겔법에 의해서 봉공 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
본 발명의 제 4 관점에서는, 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 2 세라믹층을 갖고, 상기 제 1 및 제 2 세라믹층의 적어도 한쪽의 적어도 일부분이 졸겔법에 의해서 봉공 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 3 및 제 4 관점에서, 상기 봉공 처리는 ???으로부터 선택된 것을 이용하여 실행하는 것이 바람직하다.
상기 본 발명의 제 1로부터 제 4 관점에서, 상기 세라믹으로서는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종을 알맞게 이용할 수 있다.
본 발명의 제 5 관점에서는, 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 세라믹의 용사에 의해서 형성된 주층과, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 원소를 포함하는 세라믹으로 이루어지는 배리어 코트층을 갖는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 5 관점에서, 상기 배리어 코트층으로서, B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹을 알맞게 이용할 수 있다. 또한, 상기 배리어 코트층으로서는 적어도 그 일부가 수지에 의해서 봉공 처리된 용사 피막을 이용하는 수 있고, 상기 수지로서는 SI, PTFE, PI, PAI, PEI, PBI 및 PFA로 이루어지는 군으로부터 선택된 것이 바람직하다. 또는, 상기 배리어 코트층으로서는 적어도 그 일부가 졸겔법에 의해 봉공 처리된 용사 피막을 이용할 수 있고, 상기 봉공 처리는 주기율표 제 3a족에 속하는 원소로부터 선택된 것을 이용하여 실행하는 것이 바람직하다.
본 발명의 제 6의 관점에서는, 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은, 세라믹의 용사에 의해 형성된 주층과, 상기 기재와 상기 주층 사이에 형성된 엔지니어링 플라스틱으로 이루어지는 배리어 코트층을 갖는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 6의 관점에서, 상기 엔지니어링 플라스틱으로서 PTFE, PI, PAI, PEI, PBI, PFA, PPS, POM의 군으로부터 선택되는 플라스틱을 알맞게 이용할 수 있다.
상기 본 발명의 제 5 및 제 6의 관점에서, 상기 주층은 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹을 알맞게 이용할 수 있다.
본 발명의 제 7의 관점에서는, 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지고, 상기 피막의 적어도 일부분이 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
본 발명의 제 8의 관점에서는, 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 주기율표 제 3a족에 속하는 적어도1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 2 세라믹층을 갖고, 상기 제 1 및 제 2 세라믹층의 적어도 한쪽의 적어도 일부분이 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 7 및 제 8의 관점에서, 상기 피막으로서는, 상기 피막은 용사에 의해서 형성된 용사 피막, 또는 박막 형성 기술로 형성된 박막을 이용할 수 있다. 또한, 상기 피막을 구성하는 세라믹으로서는, Y2O3, CeO2, Ce2O3, Nd2O3로부터 선택된 것인 것이 바람직하다.
본 발명의 제 9의 관점에서는, 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, 세라믹의 용사로 형성된 제 2 세라믹층을 갖고, 상기 제 1 세라믹층의 적어도 일부분이 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 9의 관점에서, 상기 제 1 세라믹층으로서는 용사에 의해서 형성된 용사 피막, 또는 박막 형성기술에 의해 형성된 박막을 이용할 수 있다. 또한, 상기 제 1 세라믹층을 구성하는 세라믹으로서는 Y2O3, CeO2, Ce2O3, Nd2O3로부터 선택된 것이 바람직하다. 또한, 상기 제 2 세라믹층을 구성하는 세라믹으로서는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종이 바람직하다.
본 발명의 제 10의 관점에서는, 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서, 상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 수산화물로 이루어지는 수산화물층을 갖는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다.
상기 본 발명의 제 10의 관점에 있어서, 상기 수산화물층으로서는 용사에 의해 형성된 용사 피막, 또는 박막 형성 기술에 의해 형성된 박막을 이용할 수 있다. 또한, 상기 수산화물층을 구성하는 수산화물로서는 Y(OH)3, Ce(OH)3, Nd(OH)3으로부터 선택된 것이 바람직하다. 또한, 상기 수산화물층은 적어도 그 일부가 봉공 처리되어 있어도 무방하다.
상기 본 발명의 제 1 내지 제 10의 관점에 있어서, 상기 기재와 상기 피막 사이에 양극 산화 피막을 갖고 있어도 무방하고, 이 경우에는 상기 양극 산화 피막은 금속염 수용액에 의해 봉공 처리되어 있는 것이 바람직하다.
본 발명의 제 11의 관점에서는, 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹 소결체로 이루어지고, 그 적어도 일부가 증기 또는 고온수에 의해 수화 처리되어 있는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다. 이 경우에, 상기 세라믹 소결체는 Y2O3, CeO2, Ce2O3, Nd2O3로부터 선택된 세라믹을 수화 처리한 것이 바람직하다.
본 발명의 제 12의 관점에서는, 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 수산화물을 포함하는 세라믹 소결체로 이루어지는 것을 특징으로 하는 플라즈마 처리 용기 내부재를 제공한다. 이 경우에, 상기 세라믹 소결체에 포함되는 수산화물은 Y(OH)3, Ce(OH)3, Nd(OH)3으로부터 선택된 것이 바람직하다.
도 1은 본 발명의 실시예에 관한 플라즈마 처리 용기 내부재가 탑재되는 플라즈마 에칭 장치를 도시한 종단면도,
도 2는 본 발명의 제 1 실시예에 관한 플라즈마 처리 용기 내부재의 제 1 예의 층 구성을 도시한 단면도,
도 3은 도 2의 구성에 양극 산화 피막을 부가한 예를 도시한 단면도,
도 4a 내지 4c는 본 발명의 제 1 실시예에 관한 플라즈마 처리 용기 내부재의 제 2 예의 층구성을 도시한 단면도,
도 5는 도 4a 내지 4c의 구성에 양극 산화 피막을 부가한 예를 도시한 단면도,
도 6a, 6b는 본 발명의 제 1 실시예에 관한 플라즈마 처리 용기 내부재의 제 3 예의 층구성을 도시한 단면도,
도 7은 도 6a, 도 6b의 구성에 양극 산화 피막을 부가한 예를 도시한 단면도,
도 8은 본 발명의 제 2 실시예에 관한 플라즈마 처리 용기 내부재의 제 1 예의 층구성을 도시한 단면도,
도 9a, 도 9b는 Y2O3피막에 수화 처리를 실행한 경우와 실행하지 않는 경우로 X선 해석 패턴을 비교하여 도시한 도면,
도 10은 Y2O3피막에 수화 처리를 실행한 경우와 실행하지 않는 경우로 IPA의 흡착을 비교하여 도시한 도면,
도 11a 내지 도 11c는 Y2O3피막에 수화 처리를 실행한 경우와 실행하지 않는 경우로 수지의 침투를 비교하여 도시한 도면,
도 12a, 도 12b는 수화 처리전과 처리후의 층 상태를 비교하여 나타내는 주사형 전자 현미경 사진,
도 13은 도 8의 구성에 양극 산화 피막을 부가한 예를 도시한 단면도,
도 14a, 도 14b는 본 발명의 제 2 실시예에 관한 플라즈마 처리 용기 내부재의 제 2 예의 층구성을 도시한 단면도,
도 15는 도 14a, 도 14b의 구성에 양극 산화 피막을 부가한 예를 도시한 단면도,
도 16은 본 발명의 제 2 실시예에 관한 플라즈마 처리 용기 내부재의 제 3 예의 층구성을 도시한 단면도,
도 17은 본 발명의 제 2 실시예에 관한 플라즈마 처리 용기 내부재의 제 3 예의 층구성을 도시한 단면도,
도 18은 본 발명의 제 2 실시예에 관한 플라즈마 처리 용기 내부재의 제 3예의 층구성을 도시한 단면도,
도 19는 도 16의 구성에 양극 산화 피막을 부가한 예를 도시한 단면도,
도 20은 본 발명의 제 3 실시예에 관한 플라즈마 처리 용기 내부재를 도시한 모식도,
도 21a 내지 21d는 종래의 플라즈마 처리 용기 내부재에 있어서, 용사 피막(톱 코트층)이 박리되는 상태를 모식적으로 도시한 도면.
도면의 주요 부분에 대한 부호의 설명
2 : 진공 챔버2a : 데포 쉴드
3 : 가스 샤워 헤드4 : 탑재대
42 : 정전 척43 : 포커스 링
44 : 배기 플레이트71, 81 : 기재
72, 76, 77, 82, 84, 87 : 피막74 : 배리어 코트층
75, 83 : 양극 산화 피막76a, 78a, 79a : 봉공 처리부
82a, 86a, 88a, 91 : 수화 처리부
이하, 본 발명의 실시예에 대하여 상세히 설명한다.
도 1은 본 발명의 대상이 되는 플라즈마 처리 용기 내부재를 갖는 플라즈마 처리 장치인 플라즈마 에칭 처리 장치의 일례를 도시한 종단면도이다. 참조부호(2)는 처리 용기를 이루는 진공 챔버이고, 알루미늄 등의 도전성 재료에 의해 기밀 구조를 이루도록 형성되어 있고, 진공 챔버(2)는 보안 접지되어 있다. 또한, 진공 챔버(2)의 내면에는 원통형상의 데포 쉴드(2a)가 배치되어, 내면이 플라즈마에 의해 손상되는 것을 방지한다. 그리고, 진공 챔버(2)내에는 상부 전극을 겸용하는 가스 샤워 헤드(3)와, 하부 전극을 겸용하는 탑재대(4)가 대향하여 설치되어 있고, 저면에는 예컨대 터보 분자 펌프와 드라이 펌프 등으로 이루어지는 진공 배기 수단(21)과 연통하는 진공 배기로로서의 배기관(22)이 접속된다. 또한, 진공 챔버(2)의 측벽부에는 피 처리체, 예컨대 반도체 웨이퍼(W)를 반입반출하기 위한 개구부(23)가 형성되며, 게이트 밸브(G)에 의해 개폐 가능하게 되어 있다. 이 측벽부의 외측에는 개구부(23)의 상하 위치에, 예를 들어 각각 링 형상을 이루는 영구 자석(24, 25)이 설치되어 있다.
가스 샤워 헤드(3)는 탑재대(4)상의 피 처리체(W)에 대향하는 위치에 다수의 구멍부(31)가 형성되어, 상부의 가스 공급관(32)으로부터 보내어지는 유량 제어 또는 압력 제어된 프로세스 가스를 해당 구멍부(31)를 거쳐서 피 처리체(W)의 표면에 균일하게 공급하도록 구성되어 있다.
가스 샤워 헤드(3)의 하방에 약 5mm 내지 150mm의 간격으로 이격되어 설치되는 탑재대(4)는, 예컨대 표면이 알루마이트 처리된 알루미늄 등으로 이루어지고 진공 챔버(2)에 대하여 절연 부재(41a)에 의해 절연된 원주형상의 본체부(41)와, 이 본체부(41)의 상면에 설치된 정전 척(42)과, 이 정전 척(42)의 주위를 둘러싸는 환상의 포커스 링(43)과, 이 포커스 링(43)과 본체부(41) 사이에 설치된 환상의 절연부재인 절연 링(43a)을 구비한 구성으로 되어 있다. 또한, 포커스 링(43)은 프로세스에 따라 절연성 또는 도전성의 재료가 선택되고, 반응성 이온을 가두어 두거나 또는 확산시키도록 작용한다.
탑재대(4)의 예컨대 본체부(41)에는 콘덴서(C1) 및 코일(L1)을 거쳐서 고주파 전원(40)이 접속되어, 예컨대 13.56MHz 내지 100MHz의 고주파 전력이 인가된다.
또한, 탑재대(4)의 내부에는, 냉각 자켓 등의 온도 조정 수단(55a)과, 예컨대 He 가스를 피 처리체(W)의 이면에 공급하는 열 전달 가스 공급 수단(55b)이 각각 설치되고, 이들 온도 조정 수단(55a)과 열 전달 가스 공급 수단(55b)을 이용함으로써, 탑재대(4)상에 유지된 피 처리체(W)의 처리면 온도를 소망하는 값으로 설정할 수 있다. 온도 조정 수단(55a)은 냉매를 냉각 자켓을 거쳐서 순환시키기 위한 도입관(56) 및 배출관(57)을 갖고, 적당한 온도로 조정된 냉매가 도입관(56)에 의해서 냉각 자켓내에 공급되어, 열 교환후의 냉매가 배출관(57)에 의해서 외부로 배출된다.
탑재대(4)와 진공 챔버(2) 사이, 탑재대(4) 표면보다도 하측에는, 복수의 배기 구멍이 형성된 링 형상의 배기 플레이트(44)가 탑재대(4)를 둘러싸도록 배치된다. 이 배기 플레이트(44)에 의해, 배기류의 흐름이 조정됨과 동시에, 탑재대(4)와 가스 샤워 헤드(3) 사이에 플라즈마가 최적으로 가두어진다. 또한, 탑재대(4)의 내부에는, 외부의 도시하지 않은 반송 아암과의 사이에서 피 처리체(W)의 교환을 돕기 위한 승강 부재인 승강 핀(51)이 복수개, 예컨대 3개(2개만 도시)가 돌출 및 함몰 가능하게 설치되고, 이 승강 핀(51)은 연결 부재(52)를 거쳐서 구동 장치(53)에 의해 승강할 수 있도록 구성되어 있다. 참조부호(54)는 승강 핀(51)의 관통 구멍과 대기측 사이의 기밀을 유지하는 벨로우즈이다.
이러한 플라즈마 에칭 처리 장치에 있어서는, 우선 게이트 밸브(G) 및 개구부(23)를 거쳐서 피 처리체(W)를 진공 챔버(2)내에 반입하고, 정전 척(42)상에 탑재하여, 게이트 밸브(G)를 닫은 후, 진공 배기 수단(21)에 의해 배기관(22)을 거쳐서 진공 챔버(2)내를 소정의 진공도로 배기한다. 그리고, 진공 챔버(2)내에 프로세스 가스를 공급함과 동시에, 직류 전원(47)으로부터 척 전극(46)에 직류 전압을 인가하여, 피 처리체(W)를 정전 척(42)에 의해 정전 흡착시키며, 이 상태로 고주파 전원(40)으로부터 탑재대(4)의 본체부(41)에 소정 주파수의 고주파 전력을 인가하고, 이에 의해 가스 샤워 헤드(3)와 탑재대(4) 사이에 고주파 전계를 발생시켜, 프로세스 가스를 플라즈마화하여, 정전 척(42)상의 피 처리체(W)에 에칭 처리를 실시한다.
프로세스 가스로서는 C4F8와 NF3와 같은 불화물, BCl3와 SnCl4등의 염화물, HBr과 같은 취화물을 비롯한 할로겐 원소를 포함하는 가스가 사용된다. 이로 인해, 진공 챔버(2)내는 매우 강한 부식 환경이 되기 때문에, 예컨대 데포 쉴드(2a), 배기 플레이트(44), 포커스 링(43), 샤워 헤드(3), 탑재대(4), 정전 척(42), 게다가 진공 챔버(2)의 내벽재 등의 진공 챔버(2)내의 부재, 즉 플라즈마 처리 용기 내부재에는 내플라즈마성이 강하게 요구된다.
이하, 본 발명의 대상인 처리 용기 내부재에 대하여 상세히 설명한다.
(1) 제 1 실시예
이와 같은 처리 용기 내부재로서 기재 위에 용사 피막이 형성된 것이 이용되는 경우, 종래에 용사 피막의 박리가 발생하였는데, 본 발명자들의 검토 결과에 의하면, 이러한 플라즈마 처리 용기 내부재의 용사 피막의 박리는 용사 피막의 관통 기공(미세 구멍), 용사 피막과의 경계부, 또는 플라즈마와 가스 등에 의해 손상된 부위 등으로부터, 프로세스 가스와 세정액이 침입하여 기재에 도달하여, 기재 표면이 부식함으로써 발생된다는 것에 상도(想到)된다.
즉, 불화물을 포함하는 프로세스 가스를 이용하여 플라즈마 처리를 실시한 처리 용기내의 부재를 준비하여, 용사 피막과의 경계면(기재 표면)을 분석하면, 그 부분에 있어서 F(불소)를 확인할 수 있고, 이로부터 이 F가 수분(OH)과 반응하여HF화함으로써, 기재 표면이 부식 변화(부식 생성물이 발생)하여, 용사 피막이 박리되는 것으로 추측된다.
따라서, 용사 피막과의 경계면, 즉 기재 표면이 프로세스 가스 또는 세정액에 노출되지 않는 것이 중요하다.
이와 같은 내용에 근거하여, 제 1 실시예에서는, 도 1에 있어서의 데포 쉴드(2a), 배기 플레이트(44), 포커스 링(43), 샤워 헤드(3), 탑재대(4), 정전 척(42), 또한 진공 챔버(2)의 내벽재 등의 진공 챔버(2)내의 부재, 즉 플라즈마 처리 용기 내부재에 있어서, 용사 피막의 표면과 기재사이의 어느 한 위치에, 프로세스 가스 또는 세정액에 노출되어도 부식되기 어려워, 가스 또는 세정액이 기재 표면에 도달하는 것을 방지할 수 있는, 배리어 기능을 갖는 부분을 형성하도록 했다.
이와 같은 내부식성이 우수한 재료에 의해 배리어 기능을 갖는 부분을 형성함으로써, 용사 피막의 관통 기공(미세 구멍)을 통하여 침입하는 가스 또는 세정액으로 부터, 기재의 표면을 보호하는 것이 가능하다. 또한, 배리어 기능을 갖는 부분이 기재와 접하는 경우, 그 재료로서 높은 밀착성을 갖는 것을 사용함으로써, 배리어 기능을 갖는 부분과 기재의 표면과의 경계면을 통한 프로세스 가스 또는 세정액의 침입으로 부터 기재 표면을 보호하는 것이 가능하다.
이하, 제 1 실시예에 따른 구체적인 구성에 대하여 상세히 기술한다.
우선, 제 1 예에 관한 플라즈마 처리 용기 내부재는 도 2에 도시하는 바와 같이 기본적으로 기재(71)와, 그 표면에 형성된 피막(72)으로 이루어진다. 피막(72)은 용사에 의해 형성된 주층(73)과, 기재(71)와 주층 사이의 프로세스 가스 또는 세정액에 노출되어도 부식되기 어려운 배리어 기능을 갖는 배리어 코트층(74)을 갖고 있다.
상기 피막(72)의 시공 대상인 되는 기재(71)로서는 스테인리스강(SUS)을 포함하는 각종의 강철, Al 및 Al 합금, W 및 W 합금, Ti 및 Ti 합금, Mo 및 Mo 합금, 탄소 및 산화물계, 비산화물계 세라믹 소결체 및 탄소질 재료 등이 알맞게 이용된다.
배리어 코트층(74)의 재질로서는 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군(群)으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹인 것이 바람직하고, 보다 구체적으로는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹이 바람직하다. 예컨대 도카로 주식회사 제품인“CDC-ZAC”, “수퍼 ZAC” 등을 적용할 수 있다. “CDC-ZAC”는 Cr2O3을 주성분으로 하는 복합 세라믹이고, 무기공, 고경도, 고밀착력 등의 성질을 갖고 있다. 한편, “수퍼 ZAC”는 SiO2와 Cr2O3를 주성분으로 하는 복합 세라믹이고, 무기공, 고경도, 고밀착력에 부가하여, 내열성과 내마모성이 우수하다. 이 배리어 코트층(74)은 용사법에 의해 형성하는 것이 바람직하다. 용사법은 연소 가스, 전기 등의 열원에 의해 용융한 원료를 모재(母材)에 분사하여 피막을 형성하는 방법이다. 또한, 배리어층(74)은 PVD법과 CVD법 등의 박막 형성 기술, 침지법 또는 도포법 등의 방법으로 형성할 수도 있다. PVD법이란 이온 도금법에 의해, 각종 세라믹막을 저온에서 코팅하는 방법이며, 한편, CVD법은 열 화학적 증착법에 의해, 고온도에서 단층 또는 다층 코팅하는 방법이다. 또한, 침지법은 각종 재료를 수지 용액에 침지한 후, 열 처리를 실시하는 방법이며, 도포법은 각종 재료에 수지 용액을 도포후, 소정 온도로 열 처리하는 방법이다. 배리어 코트층(74)의 두께는 50 내지 100㎛인 것이 바람직하다.
이 경우에, 배리어 코트층(74)의 적어도 일부분, 예컨대 기재(71)와의 접합면측 또는 전체에 수지를 이용한 봉공 처리를 실시하는 것이 좋다. 그 때의 수지로서는 SI, PTFE, PI, PAI, PEI, PBI, PFA의 군으로부터 선택되는 것이 바람직하다. 즉, 세라믹으로 이루어지는 배리어 코트층(74)을 전술한 용사법 등으로 형성하는 경우, 관통 기공(미세 구멍)을 갖는 다공질로 구성되지만, 그 다공질층의 적어도 일부분의 미세 구멍을 수지로 봉공함으로써, 용사 피막인 주층(73)의 미세 구멍을 통하여 침입하는 가스 또는 세정액을 저지하는 효과가 높아져서, 기재(71)를 효과적으로 보호할 수 있다.
또한, SI는 실리콘, PTFE는 폴리테트라플루오로에틸렌(poly tetrafluoroethylene), PI는 폴리이미드, PAI는 폴리아미드이미드, PEI는 폴리에테르이미드, PBI는 폴리벤조이미다졸, PFA는 퍼플루오로알콕시알칸을 의미한다.
봉공 처리는 졸겔법으로 실행할 수도 있다. 졸겔법에 의한 봉공 처리는 세라믹을 유기 용제에 분산시킨 졸(콜로이드용액)에 의해 봉공한 후, 가열에 의해 겔화시킴으로써 실행한다. 이에 의해, 세라믹에 의한 봉공이 실현되어, 배리어 효과를 향상시킬 수 있다. 이 경우의 봉공 처리는 주기율표 제 3a족에 속하는 원소로부터 선택된 것을 이용하는 것이 바람직하다. 그 중에서도, 내식성의 높은 Y2O3가 바람직하다.
또한, 배리어 코트층(74)의 다른 재질로서는, 엔지니어링 플라스틱을 알맞게 이용할 수 있다. 구체적으로는 PTFE, PI, PAI, PEI, PBI, PFA, PPS, POM의 군으로부터 선택되는 수지인 것이 바람직하고, 예컨대 듀퐁 주식회사 제품인 “테프론(등록 상표)”(PTFE) 등을 적용할 수 있다. 이들의 수지는 밀착성이 우수하고, 또한 내약품성이 우수하여, 클리닝시의 세정액에도 충분히 견딜 수 있다.
또한, PTFE는 폴리테트라플루오로에틸렌, PI는 폴리이미드, PAI는 폴리아미드이미드, PEI는 폴리에테르이미드, PBI는 폴리벤조이미다졸, PFA는 퍼플루오로알콕시알칸, PPS는 폴리페닐렌설파이드, POM은 폴리아세탈(polyacetal)을 의미한다.
또한, 기재(71)와 배리어 코트층(74) 사이에 도 3에 도시하는 바와 같이 양극 산화 피막(75)을 형성하도록 하여도 무방하다. 이 경우에, 옥살산(oxalic acid), 크롬산, 인산, 아세트산, 포름산(Formic acid), 또는 설폰산 등의 유기산에 의한 양극 산화 피막을 형성함으로써, 황산에 의한 양극 산화 처리의 경우에 비해서 내부식성이 우수한 산화 피막을 형성하여, 프로세스 가스와 세정액에 의한 부식을 보다 한층 더 억제할 수 있어 바람직하다. 양극 산화 피막(75)의 막두께는 10 내지 200㎛인 것이 바람직하다.
이와 같이, 기재(71)와 배리어 코트층(74) 사이에 양극 산화 피막(75)을 형성하는 경우, 양극 산화 피막(75)의 미세 구멍을 봉공함으로써, 내식성을 각별히향상시킬 수 있다. 이 경우에, Ni 등의 금속염을 포함하는 열수(熱水)에 재료를 침지하여, 산화 피막의 미세 구멍에 있어서, 금속염 수용액이 가수분해되어, 수산화물이 침전됨으로써 봉공되는 금속염 봉공 등을 적용할 수 있다. 또한, 배리어 코트층(74)의 봉공 처리에 이용한 수지(SI, PTFE, PI, PAI, PEI, PBI, PFA의 군으로부터 선택됨)를 이용하여, 양극 산화 피막(75)의 미세 구멍을 봉공 처리를 실행하여도 동일한 효과를 기대할 수 있다.
또한, 기재(71)의 표면에 형성하는 양극 산화 피막(75)으로서 다공질 세라믹층을 갖는 양극 산화 피막(KEPLA-COAT : 등록 상표)을 이용하여도 무방하다.
또한, 이 양극 산화 피막(KEPLA-COAT)은 양극으로서 기재를 알칼리계 유기전해액에 침지하여, 산소 플라즈마를 이 알칼리계 유기전해액 안에서 방전함으로써 형성하는 것이다.
용사 피막인 주층(73)은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 것이 바람직하고, 구체적으로는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3로부터 선택된 적어도 1종의 세라믹이 바람직하다. 이 경우에, 주층(73)의 막두께는 10㎛ 내지 500㎛인 것이 바람직하다.
이와 같은 구조의 플라즈마 용기 내부재를 제조할 때에는, 우선 기재(71)의 표면에 Al2O3, SiC 또는 모래 등의 입자를 분사하는 블라스트 처리를 실시하여, 미시적으로 표면이 요철형상으로 되도록 하여, 그 위에 형성되는 배리어 코트층(74)과 양극 산화 피막(75)의 밀착성을 높이는 것이 좋다. 또한, 표면을 요철로 하는 방법으로서, 상기의 블라스트 처리에 한정되지 않고, 예컨대 소정의 약액에 담그는 것에 의해 표면을 에칭하도록 하여도 무방하다.
다음에, 기재(71)에 직접 또는 양극 산화 피막(75)을 거쳐서, 전술한 배리어 코트층(74)을 용사법 등 상기 적절한 방법으로 형성한다. 필요에 따라서 전술한 바와 같은 봉공 처리를 실행한다. 봉공 처리할 때에는, 상기의 수지와 세라믹의 졸을 배리어 코트층(74)의 표면에 도포하거나, 또는 배리어 코트층(74)을 동반한 기재(71)를 수지 봉공제 또는 세라믹의 졸 안에 침지시킨다. 세라믹의 졸에 의해 봉공한 경우에는, 그 후에 가열하여 겔화시킨다.
배리어 코트층(74)을 형성한 후, 계속해서 그 위에 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹으로 이루어지는 용사 피막인 주층(73)을 형성한다. 또한, 배리어 코트층(74)은 밀착성이 우수한 것이 선택되지만, 주층(73)과의 밀착성을 보다 한층 양호하게 하기 위해서, 배리어 코트층(74)의 표면에 블라스트 처리 등을 실시하여도 무방하다.
이상과 같이, 이 예에서는 할로겐 원소를 포함하는 프로세스 가스 또는 세정액에 대하여 내부식성이 우수한 재료로 이루어지는 배리어 코트층(74)을 용사 피막인 주층(73)과 기재(71) 사이에 형성하고, 기재(71)의 표면이 프로세스 가스(할로겐 원소) 또는 세정액에 노출되지 않도록 구성했기 때문에, 기재(71)의 표면에 부식 생성물이 발생함으로써, 기재(71)상의 용사 피막(72)이 박리된다고 하는 문제를 해소할 수 있다.
다음에, 제 2 예에 대하여 설명한다.
제 2 예에서는, 도 4a, 도 4b, 도 4c에 도시하는 바와 같이 기재(71)의 표면에 세라믹의 용사에 의해 피막(76)을 형성하여, 피막(76)의 적어도 일부분에 봉공 처리부(76a)를 형성하도록 한다. 도 4a의 예에서는, 피막(76)의 기재(71)측에 봉공 처리부(76a)를 형성하고 있고, 도 4b의 예에서는, 피막(76)의 표면측에 봉공 처리부(76a)를 형성하고 있으며, 도 4c의 예에서는, 피막(76)의 전체를 봉공 처리부(76a)로 하고 있다.
피막(76)은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 것이고, 구체적으로는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹이 바람직하다. 이 경우에, 피막(76)의 막두께는 50 내지 300㎛인 것이 바람직하다. 또한, 기재(71)로서는 제 1 예와 완전히 동일한 것을 사용할 수 있다.
봉공 처리부(76a)는 전술한 제 1 예의 배리어층(74)에 실시한 것과 완전히 동일한 수지 봉공 또는 졸겔법에 의한 봉공에 의해 형성될 수 있다. 이와 같이, 봉공 처리부(76a)를 설치함으로써, 용사 피막인 피막(76)의 미세 구멍을 통하여 침입하는 가스 또는 세정액을 유효하게 저지할 수 있어, 기재(71)를 충분히 보호할수 있다. 이 봉공 처리부(76a)는 이와 같이 기재(71)에의 가스 또는 세정액의 도달을 저지하기 위한 것이므로, 상기 도 4a 내지 도 4c의 어느 것으로도 그 효과를 발휘할 수 있다. 단지, 도 4a에 도시하는 바와 같이 피막(76)의 기재(71)측에 봉공 처리부(76a)를 형성하는 것이 바람직하다. 즉, 용사 피막에 봉공 처리를 실시한 처리 용기 내부재를, 고진공 영역(예컨대, 13.3Pa)에서 고주파 전력을 인가하여 이루어지는 플라즈마 분위기에서 이용되면, 봉공제 중의 희석 유기용매(예컨대, 초산에틸)가 증발하거나, 플라즈마와 프로세스 가스 등에 의해서 봉공제가 부식하는 등, 용사 피막중에 다시 기공(미세 구멍)이 형성되는 경우가 있다. 이 기공에 의해, 처리 용기 내부제의 표면 상태(온도와 생성물의 부착 상태 등)가 시간이 경과함에 따라 변화하여, 처리 용기내의 프로세스에 악영향을 미치게 할 가능성이 있다. 따라서, 도 4a와 같이, 피막(76)의 표면측에 봉공 처리를 실시하지 않도록 하면, 피막(76)의 표면 개질(改質)을 억제하여 프로세스를 안정적으로 실시할 수 있다. 또한, 봉공 처리부(76a)는 상기 도 4a 내지 도 4c에 도시한 위치에 한정하지 않고, 예컨대 피막(76)의 중간 위치에 형성하여도 무방하다. 봉공 처리부(76a)의 두께는 50 내지 100㎛인 것이 바람직하다.
이 예에 있어서도, 도 5에 도시하는 바와 같이 기재(71)와 피막(76) 사이에 전술한 제 1 예와 완전히 동일한 양극 산화 피막(75)을 형성하도록 하여도 무방하다. 또한, 이 경우에도, 이 양극 산화 피막(75)을 봉공 처리하는 것이 바람직하고, 이 봉공 처리로서는 전술한 것과 동일한 금속염 봉공 등을 적용할 수 있다.
다음에, 제 3 예에 대하여 설명한다.
제 3 예에서는, 도 6a, 도 6b에 도시하는 바와 같이 기재(71)의 표면에 세라믹의 용사에 의해 피막(77)을 형성하여, 피막(77)을 제 1 세라믹층(78)과 제 2 세라믹층(79)의 2층 구조로 하고, 그 적어도 한쪽의 적어도 일부분에 봉공 처리부를 형성하도록 한다. 도 6a의 예에서는, 표면측의 제 1 세라믹층(78)에 봉공 처리부(78a)를 형성하고 있고, 도 6b에서는, 기재(71)측의 제 2 세라믹층(79)에 봉공 처리부(79a)를 형성한다.
피막(77)을 구성하는 제 1 세라믹층(78) 및 제 2 세라믹층(79)은 모두 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 것이고, 구체적으로는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹이 바람직하다. 이 경우에, 피막(77)의 막두께는 50 내지 300㎛인 것이 바람직하다. 또한, 기재(71)로서는 제 1 예와 완전히 동일한 것을 사용할 수 있다.
봉공 처리부(78a, 79a)는 전술한 제 1 예의 배리어층(74)에 실시한 것과 완전히 동일한 수지 봉공 또는 졸겔법에 의한 봉공에 의해 형성할 수 있다. 이와 같이, 봉공 처리부(78a, 79a)를 설치함으로써, 용사 피막인 제 1 및 제 2 세라믹층(78, 79)의 미세 구멍을 통하여 침입하는 가스 또는 세정액을 유효하게 저지할 수 있어, 기재(71)를 충분히 보호할 수 있다. 이 봉공 처리부(78a, 79a)는 이와 같이 기재(71)에의 가스 또는 세정액의 도달을 저지하기 위한 것이기 때문에,그 기능을 발휘할 수 있는 한, 이들 봉공 처리부(78a, 79a)의 위치는 한정되지 않고, 또한 층 전체를 봉공 처리부로 하여도 무방하다. 또한, 제 1 및 제 2 세라믹층(78, 79)의 양쪽에 봉공 처리부를 형성하여도 무방하다. 봉공 처리부(78a, 79a)의 두께는 50 내지 100㎛인 것이 바람직하다.
이와 같이, 기재(71)상에 형성하는 피막(77)을 2층 구조로 함으로써, 요구되는 내식성 및 배리어성(barrier property)에 따라, 이들 2층의 재료를 적절히 설정할 수 있어, 소망하는 위치에 봉공 처리를 함으로써, 매우 자유도가 높은 적용이 가능해진다. 예컨대, 표면측의 제 1 세라믹층(78)으로서 Y2O3을 이용하고, 기재(71)측의 제 2 세라믹층(79)으로서 YF3또는 Al2O3를 이용하며, 제 2 세라믹층(79)의 적어도 일부에 봉공 처리를 실시하면, 내식성 및 장벽을 매우 높은 것으로 할 수 있다.
이 예에 있어서도, 도 7에 도시하는 바와 같이 기재(71)와 피막(77) 사이에 전술한 제 1 예와 완전히 동일한 양극 산화 피막(75)을 형성하도록 하여도 무방하다. 또한, 이 경우에도, 이 양극 산화 피막(75)을 봉공 처리하는 것이 바람직하고, 이 봉공 처리로서는 전술한 것과 동일한 금속염 봉공 등을 적용할 수 있다.
이상의 것을 확인하기 위해서, Al 합금의 기재상에 Y2O3의 용사 피막을 형성한 시료 ①과, Al 합금의 기재상에 수지(PTFE)의 배리어 코트층을 거쳐서 Y2O3의 용사 피막을 형성한 시료 ②와, Al 합금의 기재상에 Y2O3의 용사 피막을 형성하여 그일부분을 수지에 의해 봉공 처리한 시료 ③을 각각 준비하여, 각 시료 ① 내지 ③의 표면에 불산(HF) 용액을 적하(滴下)시켜, 플라즈마 환경하에 두었을 때 용사 피막의 표면 상태를 비교했다. 보다 구체적으로 설명하면, 각 시료 표면에 38% 농도의 불산 용액을 10μL 적하하여, 50℃로 3시간 가열한 후, 시료를 CF계 가스의 플라즈마 분위기에 3분간 방치했다. 그 결과, 용사 피막의 박리 대책이 실시되지 않은 시료 ①은, 표면 전체에 크랙이 발생한 것에 반하여, 기재와 용사 피막 사이에 배리어 코트층을 형성한 시료 ②와, 용사 피막의 일부분을 수지에 의해 봉공 처리를 실시한 시료 ③은 크랙도 없고, 프로세스 가스와 세정액의 침입을 방지하여, 기재 표면이 보호되는 것을 알았다.
(2) 제 2 실시예
플라즈마 처리 용기의 벽재와 다른 플라즈마 처리 용기 내부재에 Al2O3와 Y2O3을 이용하는 경우에는, 공기중의 수분과의 반응성이 높기 때문에, 처리 용기인 진공 챔버를 대기 개방했을 때와 진공 챔버를 습식 클리닝할 때에 수분이 대량으로 취입되어, 여러 문제를 발생하지만, 본 발명자들의 검토 결과에 의하면, Y2O3등의 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹에 수화(水化) 처리를 실시함으로써, 또는 이들 원소를 포함하는 수산화물을 형성함으로써, 이와 같은 문제가 해소되는 것을 알수 있다.
이러한 내용에 근거하여, 제 2 실시예에서는 도 1에 있어서의 데포쉴드(2a), 배기 플레이트(44), 포커스 링(43), 샤워 헤드(3), 탑재대(4), 정전 척(42), 또한 진공 챔버(2)의 내벽재 등의 진공 챔버(2)내의 부재, 즉 플라즈마 처리 용기 내부재에 있어서, 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹에 수화 처리를 실시한 부분을 형성하는, 또는 적어도 그 일부분을 그 원소를 포함하는 수산화물로 하도록 했다.
이와 같이 함으로써, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 할 수 있기 때문에, 플라즈마 처리시에 있어서의 수분의 이탈이 발생하기 어려운 플라즈마 처리 용기 내부재를 얻을 수 있다.
우선, 제 1 예에서는, 도 8에 도시하는 바와 같이 기재(81) 위에 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹으로 이루어지는 피막(82)을 형성하여, 예컨대 적어도 그 표면 부분에 수화 처리부(82a)를 형성한다.
기재(81)로서는, 상기 기재(71)와 마찬가지로, 스테인리스강(SUS)을 포함하는 각종의 강철, Al 및 Al 합금, W 및 W 합금, Ti 및 Ti 합금, Mo 및 Mo 합금, 탄소 및 산화물계, 비산화물계 세라믹 소결체 및 탄소질 재료 등이 알맞게 이용된다.
피막(82)은 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹으로 구성되어 있으면 무방하지만, 주기율표 제 3a족에 속하는 원소를 포함하는 산화물인 것이 바람직하다. 또한, 이들 중에서는 Y2O3, CeO2, Ce2O3, Nd2O3가 바람직하고, 그중에서도 종래부터 많이 사용되고, 높은 내식성을 갖는 점으로부터, Y2O3가 특히 바람직하다.
이 피막(82)은 용사법, PVD법과 CVD법 등의 박막 형성 기술에 의해서 바람직하게 형성할 수 있다. 또한, 기타, 침지법 또는 도포법 등의 방법으로 형성할 수도 있다.
수화 처리부(82a)는, 예컨대 피막(82)을 수증기 또는 고온의 물과 반응시킴으로써 수화 반응을 발생시킴으로써 형성될 수 있다. 세라믹으로서 Y2O3를 이용한 경우에는 이하의 (1)식과 같은 반응이 발생한다.
Y2O3+H2O→Y2O3·(H2O)n→2(YOOH)→Y(OH)3…(1)
단지, 상기 (1)식은 원자가를 고려하지 않는다.
이 (1)식에 나타내는 바와 같이, 수화 처리에 의해, 최종적으로 Y의 수산화물이 형성된다. 다른 주기율표 제 3a족에 속하는 원소의 경우에도, 거의 동일한 반응에 의해서 이와 같은 수산화물을 형성한다. 이와 같은 수산화물로서는 Y(OH)3, Ce(OH)3, Nd(OH)3가 바람직하다.
이것을 확인하기 위해서, 기재상에 Y2O3의 용사 피막을 형성한 시료를 준비하여, 80℃의 고온수에 150시간 침지하여 수화 처리를 한 후, 실온에서 건조한 것과, 이러한 처리를 실행하지 않은 것에 대하여 X선 회절 측정을 실행했다. 그 결과, 도 9a, 도 9b에 도시하는 바와 같이 수화 처리를 실행한 시료에만 Y(OH)3가 인정되어, 수화 처리에 의해 수산화물이 형성되는 것이 확인되었다.
주기율표 제 3a족에 속하는 원소의 수산화물는 매우 안정적이고, 화학적으로흡착한 물이 탈리하기 어렵고, 또한 물을 흡착하기 어렵다고 하는 특성을 갖고 있으며, 수화 처리에 의해 이와 같은 수산화물을 형성함으로써, 프로세스중에 있어서의 수분에 의한 문제를 회피할 수 있다.
이와 같은 수화 처리에 의한 효과를 확인하기 위해서, 기재 위에 Y2O3용사 피막을 200㎛ 정도 형성하고, 비등수(沸騰水)로 3시간 처리한 시료와 처리하지 않은 시료를 준비하여, 양자에 IPA를 분사했다. 또한, IPA는 물보다도 흡착성이 높고, 따라서 IPA 분사는 가속 시험이 된다. 이 시험 결과, 도 10에 도시하는 바와 같이 수화 처리하지 않은 것은 IPA가 흡착되었지만, 수화 처리한 것은 전혀 흡착되지 않았다. 이로부터 수화 처리에 의해 흡수가 매우 발생하기 어렵게 되는 것이 확인되었다.
다음에, 위와 마찬가지로 기재 위에 Y2O3용사 피막을 200㎛ 정도 형성하여, 비등수로 3시간 처리한 시료와 처리하지 않은 시료를 준비하여, 이것들 위에 수지를 도포한 후, 절단하여 단면을 확인했다. 그 결과, 도 11a, 도 11b에 도시하는 바와 같이 표면 상태는 양자에 차가 없음에도 불구하고, “처리 무(無)”의 경우에는 피막이 전체적으로 투명하여 전체에 수지가 침투했던 것이 인정되는 것에 반하여, “처리 유(有)”의 경우에는 표층 약간의 부분만이 투명하고, 내부는 하얗게 되어 있어, 수지가 거의 침투하지 않은 것이 확인되었다. 즉, 수화 처리를 실행함으로써, 소수성(疏水性)을 갖게 되는 것이 판명되었다. 또한, 도 11c에 도시하는 바와 같이 수화 처리후 20㎛정도 제거하면 그 부분은 투명으로 되어 있고, 수화 처리를 실행한 표층의 20㎛ 정도를 제거함으로써, 소수성이 저하하는 것이 확인되었다.
또한, H2O가 Y2O3표면에 미치는 영향에 대해서는, Langmuir, Vol. 16, No. 17,2000의 6937 내지 6947 페이지에 기재된 구로다 등의 “Specific Adsorption Behavior of Water on a Y2O3Surface”라고 하는 논문에 상세히 기술 되어 있다.
이하, 수화 처리에 대하여 구체적으로 설명한다.
수화 처리는 수증기가 풍부한 환경에서 열 처리를 하거나, 비등한 수중에서 처리함으로써 실행할 수 있다. 이에 의해, 예컨대 산화이트륨(Y2O3) 분자의 주위에 수개의 수분자가 끌어당겨져 결합되어, 안정된 하나의 분자 집단이 될 수 있다. 이 때, 수증기의 분압, 열 처리 온도, 열 처리 시간 등이 파라미터로 된다. 예컨대, 상대 습도가 90% 이상인 환경에서 100 내지 300℃ 정도의 노 안에서, 24시간 정도, 가열 처리를 함으로써 안정된 수산화물을 형성할 수 있다. 만약 상대 습도와 열 처리 온도가 낮은 경우에는, 처리 시간을 길게 하면 좋다. 수화 처리를 효율적으로 하기 위해서는, 고온·고압으로 처리하는 것이 바람직하다. 산화이트륨 표면에서의 수화 반응은, 기본적으로 실온 정도에서도 장시간 실행하면 충분히 진행되기 때문에, 상기 조건 이외에서도, 동일한 최종 상태를 얻을 수 있다. 또한, 수화 처리할 때, 순수한 물을 이용하여 수화 처리하는 것보다도, 이온을 포함하는 물(pH7보다 큰 알칼리수)을 이용하여 수화 처리를 실시하는 것이 소수성을 보다 우수하게 한다.
또한, 수화 처리에 한정하지 않고, 예컨대 원료로서 수산화물을 이용하는 등, 최종적으로 수산화물이 형성되면, 다른 방법을 채용하여도 무방하다. 피막을 용사법에 의해 제조하는 경우에는, 원료가 고온에 노출되기 때문에, 원료 단계에서 수산화물로 하면 수산화물이 산화물로 변화하는 것이 우려되지만, 이 경우에도, 고습도 환경하에서 용사함으로써 수산화물막을 형성할 수 있다. 이와 같이, 수화 처리부를 형성하는 대신에, 다른 방법에 의해 직접 수산화물을 형성하여도 무방하다.
이러한 수화 처리부 내지는 수산화물층은, 피막(82)을, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 하기 위해서는, 피막(82)의 표면 부분에 형성해야 한다. 이 경우의 수화 처리부 내지는 수산화물막의 두께는 100㎛ 이상이 바람직하고, 사용하는 장소에 따라 최적의 두께로 설정하는 것이 좋다.
주기율표 제 3a족에 속하는 원소를 포함하는 세라믹을 수화 처리함으로써 치밀(緻密)화도 촉진된다. 예컨대, 용사에 의해 형성된 Y2O3막은, 수화 처리전에 도 12a에 도시된 다공질(porous) 상태였던 것이, 수화 처리됨으로써, 도 12b에 도시하는 바와 같이 치밀화된다. 이와 같이 치밀화됨으로써, 상기 효과 이외에 제 1 실시예와 같은 배리어 효과도 얻어진다.
배리어 효과만을 얻는 관점에서는, 수화 처리에 의해 수산화물로 된 수화 처리부(82a)는 반드시 표면에 있을 필요는 없고, 피막(82)의 임의의 위치에 형성되어 있으면 무방하다. 다른 방법으로 수산화물로 된 수산화물층을 형성하는 경우에는, 전술한 바와 같은 수지와 졸겔법에 의한 봉공 처리를 하는 것이 바람직하다. 이예에 있어서는, 도 13에 도시하는 바와 같이 제 1 실시예와 마찬가지로, 기재(81)와 피막(82) 사이에, 제 1 실시예와 완전히 동일한 양극 산화 피막(83)을 형성하도록 하여도 무방하다. 또한, 제 1 실시예와 마찬가지로, 이 양극 산화 피막(83)을 봉공 처리하는 것이 바람직하고, 이 봉공 처리로서는 전술한 것과 동일한 금속염 봉공 등을 적용할 수 있다.
다음에, 제 2 예에 대하여 설명한다.
제 2 예에서는, 도 14a, 14b에 도시하는 바와 같이, 기재(81)의 표면에 피막(84)을 형성하여, 피막(84)을 제 1 세라믹층(85)과 제 2 세라믹층(86)의 2층 구조로 하고, 그 적어도 한쪽의 적어도 일부분에 수화 처리부를 형성하도록 한다. 도 14a의 예에서는, 표면측의 제 1 세라믹층(85)에 수화 처리부(85a)를 형성하고, 도 14b에서는, 기재(81)측의 제 2 세라믹층(86)에 수화 처리부(86a)를 형성한다.
피막(84)을 구성하는 제 1 세라믹층(85) 및 제 2 세라믹층은 모두 제 1 예와 마찬가지로 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹으로 구성되어 있고, 주기율표 제 3a족에 속하는 원소를 포함하는 산화물인 것이 바람직하고, 이들 중에서는 Y2O3, CeO2, Ce2O3, Nd2O3가 바람직하고, 특히 Y2O3가 바람직하다. 또한, 기재(81)로서는 제 1 예와 완전히 동일한 것을 이용할 수 있다.
이들 제 1 및 제 2 세라믹층(85, 86)은 제 1 예에 있어서의 피막(82)과 마찬기지로 용사법, PVD법과 CVD법 등의 박막 형성 기술에 의해서 바람직하게 형성될 수 있다. 또한, 기타, 침지법, 또는 도포법 등의 방법에 의해 형성될 수도 있다.
수화 처리부(85a, 86a)는 제 1 예에 있어서의 수화 처리부(82a)와 완전히 동일하게 형성할 수 있다. 도 14a에 도시하는 바와 같이, 피막(84)의 표면에 수화 처리부가 있는 경우에는, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 할 수 있고, 도 14b에 도시하는 바와 같이 피막(84)의 내부에 수화 처리부가 있는 경우에는, 배리어 효과를 유효하게 발휘시킬 수 있다. 피막(84) 내부의 수화 처리부(86a)를 형성하기 위해서는, 기재(81)상에 제 2 세라믹층(86)을 제조한 후, 수화 처리를 실행하고, 또한 제 1 세라믹층(85)을 형성하면 무방하다. 수화 처리부(85a, 86a)의 두께는 100㎛ 이상으로 하는 것이 바람직하다.
이와 같이, 기재(81)상에 형성하는 피막(84)을 2층 구조로 함으로써, 요구되는 특성에 따라, 이들 2층의 재료 및 수화 처리의 위치를 적절하게 설정할 수 있어, 매우 높은 자유도 적용이 가능해진다.
이 예에 있어서도, 도 15에 도시하는 바와 같이 기재(81)와 피막(84) 사이에, 제 1 예와 완전히 동일한 양극 산화 피막(83)을 형성하도록 하여도 무방하다.
다음에, 제 3 예에 대하여 설명한다.
제 3예에서는, 도 16에 도시하는 바와 같이 기재(81)의 표면에, 형성된 피막(87)은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층(88)과, 세라믹의 용사에 의해 형성된 제 2 세라믹층(89)을 갖고, 제 1 세라믹층(88)의 표면 부분에 수화 처리부(88a)가 형성되어 있다.
제 1 세라믹층(88)의 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹으로서는, 주기율표 제 3a족에 속하는 원소를 포함하는 산화물인 것이 바람직하고,이들 중에서는 Y2O3, CeO2, Ce2O3, Nd2O3가 바람직하고, 특히 Y2O3가 바람직하다. 제 1 세라믹 층(88)의 막두께는 100 내지 300㎛인 것이 바람직하다. 제 1 세라믹층(88)은 제 1 예에 있어서의 피막(82)과 마찬가지로, 용사법, PVD법과 CVD법 등의 박막 형성 기술에 의해서 바람직하게 형성할 수 있다. 또한, 기타, 침지법 또는 도포법 등의 방법에 의해 형성될 수도 있다.
제 2 세라믹층(89)으로서는, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd의 군으로부터 선택된 적어도 1종의 원소를 포함하는 것이 바람직하고, 구체적으로는 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹이 바람직하다. 제 2 세라믹층(89)의 막두께는 50 내지 300㎛인 것이 바람직하다. 또한, 기재(81)로서는 제 1 예와 완전히 동일한 것을 사용할 수 있다.
수화 처리부(88a)는 제 1 예에 있어서의 수화 처리부(82a)와 완전히 동일하게 형성할 수 있다. 이와 같이, 피막(87)의 표면에 수화 처리부가 형성되어 있기 때문에, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 할 수 있다. 또한, 수화 처리부(88a)를 제 1 세라믹층(88)의 내부에 형성하여 배리어 효과를 발휘시킬 수도 있다. 수화 처리부(88a)의 두께는 100㎛ 이상인 것이 바람직하다.
도 17에 도시하는 바와 같이, 제 2 세라믹층(89)에 봉공 처리부(89a)를 형성하는 것이 바람직하다. 봉공 처리부(89a)는 전술한 제 1 실시예에 있어서 설명한 것과 완전히 동일한 수지 봉공 또는 졸겔법에 의한 봉공에 의해 형성할 수 있다.이와 같이, 봉공 처리부(89a)를 설치함으로써, 용사 피막인 제 2 세라믹층(89)의 미세 구멍을 통하여 침입하는 가스 또는 세정액을 유효하게 저지할 수 있어, 기재(81)를 충분히 보호할 수 있다. 또한, 봉공 처리부(89a)는 제 2 세라믹층(89)의 임의의 위치에 형성될 수 있다.
도 16, 도 17에 나타내는 바와 같은 구조로 함으로써, 내식성이 우수함과 동시에, 제 1 세라믹층(88)의 수화 처리부(88a)에 의해, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 할 수 있고, 게다가 제 2 세라믹층(89)의 배리어 효과에 의해, 기재(81)를 유효하게 보호할 수 있다. 특히, 도 17의 구조에서는, 봉공 처리부(89a)의 존재에 의해, 배리어 효과를 한층 더 높일 수 있다.
또한, 도 18에 도시하는 바와 같이 제 1 세라믹층(88)과 제 2 세라믹층(89)을 역으로 하여도 무방하다. 이 경우에는, 기재(81)측의 제 1 세라믹층(88)의 수화 처리부(88a)에서 배리어 효과가 유효하게 발휘되어 기재(81)의 보호 효과를 높일 수 있다.
이 예에 있어서도, 도 19에 도시하는 바와 같이 기재(81)와 피막(87) 사이에 제 1 예와 완전히 동일한 양극 산화 피막(83)을 형성하도록 하여도 무방하다.
다음에, 제 3 실시예에 대하여 설명한다.
이 실시예에 관한 플라즈마 처리 용기 내부재는 도 20에 도시하는 바와 같이 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹 소결체(90)의 표면에 수화 처리부(91)가 형성되어 있다. 수화 처리부(91)는 제 2 실시예와 완전히 동일하게 형성할 수 있고, 수화 처리에 의해서 주기율표 제 3a족에 속하는 원소를 포함하는 수산화물이 형성된다.
이와 같이 수화 처리부(91)가 표면에 형성됨으로써, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 될 수 있다. 이 경우의 수화 처리부(91) 내지는 수산화물막의 두께는 100㎛ 이상이 바람직하다.
본 실시예에 있어서도, 제 2 실시예와 마찬가지로 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹, 주기율표 제 3a족에 속하는 원소를 포함하는 산화물인 것이 바람직하다. 이들 중에서는 Y2O3, CeO2, Ce2O3, Nd2O3가 바람직하고, 특히 Y2O3가 바람직하다.
또한, 본 발명은 상기 실시예에 한정되지 않고, 여러 가지 변형 가능하다. 예컨대, 상기 실시예에서는 도 1에 도시한 영구 자석을 이용한 마그네트론 타입의 평행 평판형의 플라즈마 에칭 장치의 플라즈마 처리 용기 내부재인 데포 쉴드(2a), 배기 플레이트(44), 포커스 링(43), 샤워 헤드(3), 탑재대(4), 정전 척(42), 또한 진공 챔버(2)의 내벽재에 본 발명을 적용한 경우를 예로 들어 설명했지만, 본 발명은 이러한 구성의 장치에 한정되지 않고, 마그네트론을 이용하지 않는 평행 평판형의 플라즈마 에칭 장치와, 유도 결합형 등 다른 플라즈마 에칭 처리 장치 및 에칭 장치뿐만 아니라, 애싱 처리와 성막 처리 등의 에칭 이외의 각종 플라즈마 처리를 실행하는 장치, 또한 반도체 웨이퍼뿐만 아니라 LCD용 유리 기판에 처리를 실시하는 플라즈마 처리 장치에 이용하는 플라즈마 처리 용기 내부재 모두에 적용 가능하다.
본 발명에 관한 플라즈마 용기 내부재는 특히 기재상에 형성하는 피막을 내식성이 높은 세라믹으로 구성하고, 배리어로서 기능하는 부분을 설치하였기 때문에, 부식성이 높은 분위기에 의한 플라즈마에 의한 처리에 바람직하다. 또한, 주기율표 제 3a족에 속하는 원소를 포함하는 세라믹에 수화 처리를 실시하여 물에 대하여 안정된 구조로 하기 때문에, 수분이 문제가 되는 플라즈마 처리 용기 내부재로서 바람직하다.
본 발명에 따르면, 기재와, 용사에 의해 형성된 피막을 갖는 구조의 플라즈마 처리 용기 내부재에 있어서, 배리어로서 기능하는 여러 층을 마련했기 때문에, 기재 표면이 프로세스 가스 또는 세정액에 노출되지 않도록 할 수 있어, 용사에 의해서 형성한 피막의 박리를 억제할 수 있다.
또한, 본 발명에 따르면, 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹을 수화 처리함으로써, 또는 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 수산화물을 포함하는 층 또는 소결체를 형성함으로써, 수분을 흡착하기 어렵고, 탈리하기 어려운 구조로 할 수 있기 때문에, 플라즈마 처리시에 있어서의 수분의 이탈이 발생하기 어려운 플라즈마 처리 용기 내부재를 얻을 수 있다.

Claims (34)

  1. 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막을 구성하는 세라믹은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하고, 그 적어도 일부분이 수지에 의해서 봉공 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  2. 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 2 세라믹층을 갖고, 상기 제 1 및 제 2 세라믹층의 적어도 한쪽의 적어도 일부분이 수지에 의해서 봉공 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  3. 제 1 항에 있어서,
    상기 수지는 SI, PTFE, PI, PAI, PEI, PBI 및 PFA로 이루어지는 군으로부터 선택된 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  4. 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막을 구성하는 세라믹은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하고, 그 적어도 일부분이 졸겔법에 의해서 봉공 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  5. 기재와, 그 표면에 세라믹의 용사에 의해서 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 2 세라믹층을 갖고, 상기 제 1 및 제 2 세라믹층의 적어도 한쪽의 적어도 일부분이 졸겔법에 의해서 봉공 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  6. 제 4 항에 있어서,
    상기 봉공 처리는 주기율표 제 3a족에 속하는 원소로부터 선택된 것을 이용하여 실행하는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 세라믹은 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  8. 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 세라믹의 용사에 의해서 형성된 주층과, B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce 및 Nd로 이루어지는 군으로부터 선택된 원소를 포함하는 세라믹으로 이루어지는 배리어 코트층을 갖는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  9. 제 8 항에 있어서,
    상기 배리어 코트층은 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹으로 구성되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  10. 제 8 항에 있어서,
    상기 배리어 코트층은 적어도 그 일부가 수지에 의해서 봉공 처리된 용사 피막인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  11. 제 10 항에 있어서,
    상기 수지는 SI, PTFE, PI, PAI, PEI, PBI 및 PFA로 이루어지는 군으로부터 선택된 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  12. 제 8 항에 있어서,
    상기 배리어 코트층은 적어도 그 일부가 졸겔법에 의해서 봉공 처리된 용사 피막인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  13. 제 12 항에 있어서,
    상기 봉공 처리는 주기율표 제 3a족에 속하는 원소로부터 선택된 것을 이용하여 실행하는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  14. 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 세라믹의 용사에 의해서 형성된 주층과, 상기 기재와 상기 주층과의 사이에 형성된 엔지니어링 플라스틱으로 이루어지는 배리어 코트층을 갖는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  15. 제 14 항에 있어서,
    상기 엔지니어링 플라스틱은 PTFE, PI, PAI, PEI, PBI, PFA, PPS, POM의 군으로부터 선택되는 플라스틱인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  16. 제 8 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 주층은 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹으로 구성되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  17. 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지고, 상기 피막의 적어도 일부분이 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  18. 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 2 세라믹층을 갖고, 상기 제 1 및 제 2 세라믹층의 적어도 한쪽의 적어도 일부분이 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  19. 제 17 항에 있어서,
    상기 피막은 용사에 의해서 형성된 용사 피막, 또는 박막 형성 기술로 형성된 박막인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  20. 제 17 항에 있어서,
    상기 피막을 구성하는 세라믹은 Y2O3, CeO2, Ce2O3, Nd2O3로부터 선택된 것인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  21. 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹으로 이루어지는 제 1 세라믹층과, 세라믹의 용사로 형성된 제 2 세라믹층을 갖고, 상기 제 1 세라믹층의 적어도 일부분이 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  22. 제 21 항에 있어서,
    상기 제 1 세라믹층은 용사에 의해서 형성된 용사 피막, 또는 박막 형성 기술로 형성된 박막인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  23. 제 21 항에 있어서,
    상기 제 1 세라믹층을 구성하는 세라믹은 Y2O3, CeO2, Ce2O3, Nd2O3로부터 선택된 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  24. 제 21 항에 있어서,
    상기 제 2 세라믹층은 B4C, MgO, Al2O3, SiC, Si3N4, SiO2, CaF2, Cr2O3, Y2O3, YF3, ZrO2, TaO2, CeO2, Ce2O3, CeF3및 Nd2O3으로 이루어지는 군으로부터 선택된 적어도 1종의 세라믹으로 구성되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  25. 기재와, 그 표면에 형성된 피막을 갖는 플라즈마 처리 용기 내부재에 있어서,
    상기 피막은 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 수산화물로 이루어지는 수산화물층을 갖는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  26. 제 25 항에 있어서,
    상기 수산물층은 용사에 의해서 형성된 용사 피막, 또는 박막 형성 기술로 형성된 박막인 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  27. 제 25 항에 있어서,
    상기 수산화물층을 구성하는 수산화물은 Y(OH)3, Ce(OH)3, Nd(OH)3으로부터 선택된 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  28. 제 25 항에 있어서,
    상기 수산화물층은 적어도 그 일부가 봉공 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  29. 제 1, 4, 8, 14, 17, 21, 25항 중 어느 한 항에 있어서,
    상기 기재와 상기 피막과의 사이에 양극 산화 피막을 갖는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  30. 제 29 항에 있어서,
    상기 양극 산화 피막은 금속염 수용액에 의해서 봉공 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  31. 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 세라믹 소결체로 이루어지고, 그 적어도 일부가 증기 또는 고온수에 의해서 수화 처리되어 있는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  32. 제 31 항에 있어서,
    상기 세라믹 소결체는 Y2O3, CeO2, Ce2O3, Nd2O3로부터 선택된 세라믹을 수화 처리한 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  33. 주기율표 제 3a족에 속하는 적어도 1종의 원소를 포함하는 수산화물을 포함하는 세라믹 소결체로 이루어지는 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
  34. 제 33 항에 있어서,
    상기 세라믹 소결체에 포함되는 수산화물은 Y(OH)3, Ce(OH)3, Nd(OH)3으로부터 선택된 것을 특징으로 하는
    플라즈마 처리 용기 내부재.
KR1020030085691A 2002-11-28 2003-11-28 플라즈마 처리 용기 내부재 KR100772740B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2002-00345855 2002-11-28
JP2002345855 2002-11-28

Publications (2)

Publication Number Publication Date
KR20040048343A true KR20040048343A (ko) 2004-06-09
KR100772740B1 KR100772740B1 (ko) 2007-11-01

Family

ID=33307843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030085691A KR100772740B1 (ko) 2002-11-28 2003-11-28 플라즈마 처리 용기 내부재

Country Status (5)

Country Link
US (3) US7780786B2 (ko)
JP (1) JP4987911B2 (ko)
KR (1) KR100772740B1 (ko)
CN (1) CN1249789C (ko)
TW (1) TW200423195A (ko)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100819530B1 (ko) * 2006-03-03 2008-04-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치 및 플라즈마 처리실 내 부재의 형성방법
KR100912479B1 (ko) * 2007-03-30 2009-08-17 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치
KR100917292B1 (ko) * 2007-04-27 2009-09-11 어플라이드 머티어리얼스, 인코포레이티드 할로겐-함유 플라즈마에 노출된 표면의 부식 속도를감소시키는 장치 및 방법
KR100924852B1 (ko) * 2006-09-28 2009-11-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치용 부품 및 피막 형성 방법
US8623527B2 (en) 2007-04-27 2014-01-07 Applied Materials, Inc. Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
KR101419707B1 (ko) * 2012-10-26 2014-07-16 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 세라믹층 형성방법 및 이를 이용한 리프트 핀의 제조방법
KR20180036849A (ko) * 2016-09-30 2018-04-10 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10643825B2 (en) * 2017-10-06 2020-05-05 Tokyo Electron Limited Particle generation preventing method and vacuum apparatus
KR20210021065A (ko) * 2018-07-18 2021-02-24 닛폰 하츠죠 가부시키가이샤 플라즈마 처리 장치용 부재
KR20220066680A (ko) * 2020-11-16 2022-05-24 한국세라믹기술원 PCS 단섬유의 불융화 디바이스 및 이를 이용한 SiC 단섬유의 제조 방법

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US20060156981A1 (en) * 2005-01-18 2006-07-20 Kyle Fondurulia Wafer support pin assembly
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20080028498A (ko) * 2005-08-22 2008-03-31 도카로 가부시키가이샤 열방사 특성 등이 우수한 용사 피막 피복 부재 및 그 제조방법
JP4555865B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7595271B2 (en) * 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
JP5014656B2 (ja) * 2006-03-27 2012-08-29 国立大学法人東北大学 プラズマ処理装置用部材およびその製造方法
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
CN101522946B (zh) * 2006-10-06 2012-06-13 迦南精机株式会社 耐腐蚀性构件及其制备方法
US7571893B2 (en) 2006-11-16 2009-08-11 Asm America, Inc. Valve with high temperature rating
US7906170B2 (en) * 2007-03-27 2011-03-15 Intel Corporation Apparatus, method, and system capable of producing a moveable magnetic field
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
KR20100101641A (ko) * 2007-12-20 2010-09-17 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 정전 척 및 형성 방법
KR100872328B1 (ko) 2008-02-11 2008-12-05 주식회사 코미코 플라즈마 처리 장치 내부재 및 그 제조 방법
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
CN102473641B (zh) * 2009-08-04 2015-04-22 佳能安内华股份有限公司 热处理设备以及半导体装置制造方法
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8860424B1 (en) * 2011-03-10 2014-10-14 Solar Junction Corporation Apparatus and method for highly accelerated life testing of solar cells
JP5741921B2 (ja) * 2011-04-08 2015-07-01 株式会社日立国際電気 基板処理装置、基板処理装置に用いられる反応管の表面へのコーティング膜の形成方法、および、太陽電池の製造方法
US9129795B2 (en) * 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
WO2014076829A1 (ja) * 2012-11-19 2014-05-22 株式会社 日立製作所 遮熱コーティング膜を有するガスタービン部材
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
WO2014189622A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. A coated liner assembly for a semiconductor processing chamber
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9433070B2 (en) 2013-12-13 2016-08-30 Kla-Tencor Corporation Plasma cell with floating flange
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
KR101587793B1 (ko) * 2013-12-30 2016-01-22 주식회사 테스 히터 보호용 프로세스 키트 및 이를 이용한 챔버 세정방법
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
CN105295455B (zh) * 2014-06-30 2018-03-27 惠州市华俣实业有限公司 一种具有防水、防划伤和抗指纹特性的纳米水性涂料及其制备方法和用途
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
KR101775135B1 (ko) * 2016-06-01 2017-09-26 (주)브이앤아이솔루션 정전척의 제조방법
KR101797927B1 (ko) * 2016-06-01 2017-11-15 (주)브이앤아이솔루션 정전척
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR101694754B1 (ko) * 2016-09-08 2017-01-11 (주)브이앤아이솔루션 정전척 및 그 제조방법
TWM563652U (zh) * 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
JP6863107B2 (ja) 2017-06-13 2021-04-21 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体
KR102658544B1 (ko) * 2017-07-14 2024-04-17 인피콘 게엠베하 구성 요소의 표면으로부터 보호층의 제어된 제거방법
WO2019074482A1 (en) * 2017-10-09 2019-04-18 GKN Aerospace Transparency Systems, Inc. HYDROPHOBIC COATINGS FOR METALS INCORPORATING ANODIC OXIDES AND RARE EARTH OXIDES AND METHODS OF APPLICATION THEREOF
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
JP7087830B2 (ja) * 2018-03-22 2022-06-21 日立金属株式会社 R-t-b系焼結磁石の製造方法
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7097758B2 (ja) * 2018-06-21 2022-07-08 東京エレクトロン株式会社 シャワーヘッドおよびプラズマ処理装置
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN109440052A (zh) * 2018-11-29 2019-03-08 沈阳富创精密设备有限公司 一种大气等离子体喷涂氧化钇涂层的复合涂层制备方法
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP6787438B2 (ja) * 2019-04-25 2020-11-18 栗田工業株式会社 アルミニウム又はアルミニウム合金の陽極酸化処理面の封孔処理方法
CN109944943A (zh) * 2019-04-28 2019-06-28 中微半导体设备(上海)股份有限公司 用于真空处理设备的密封装置和真空处理设备
CN112635281B (zh) * 2019-09-24 2024-04-05 中微半导体设备(上海)股份有限公司 零部件及其封孔方法、等离子体处理装置及其工作方法
WO2021072040A1 (en) * 2019-10-10 2021-04-15 Lam Research Corporation Inorganic coating of plasma chamber component
CN112713072B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
JP2023503093A (ja) * 2019-11-21 2023-01-26 カリダス プロセス ソリューションズ ピーティワイ リミテッド 金属部品用の二重層保護コーティング
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
CN110951458A (zh) * 2019-12-25 2020-04-03 连云港高品再生资源有限公司 一种纳米稀土研磨剂制备装置及其制备方法
CN113549863B (zh) * 2020-04-26 2022-10-11 中国兵器工业第五九研究所 耐磨超疏水基体防护涂层及其制备方法
CN113808898B (zh) * 2020-06-16 2023-12-29 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀零部件和反应装置及复合涂层形成方法
CN111763901A (zh) * 2020-07-03 2020-10-13 山东昌丰轮胎有限公司 一种带有防粘涂层的轮胎模具
TW202217957A (zh) * 2020-09-09 2022-05-01 日商三菱綜合材料股份有限公司 耐電漿塗覆膜、形成該膜用之溶膠凝膠液、耐電漿塗覆膜之形成方法及附耐電漿塗覆膜之基材
CN114981949A (zh) * 2020-12-24 2022-08-30 东华隆股份有限公司 静电吸盘及处理装置
KR20230005107A (ko) * 2021-06-28 2023-01-09 주식회사 히타치하이테크 내벽 부재의 재생 방법
CN114015967A (zh) * 2021-11-09 2022-02-08 重庆臻宝实业有限公司 一种低孔隙率氧化钇涂层的制备方法
JP2024054628A (ja) * 2022-10-05 2024-04-17 日本発條株式会社 積層構造体および積層構造体の製造方法
US20240141488A1 (en) * 2022-10-27 2024-05-02 Applied Materials, Inc. Coated substrate support assembly for substrate processing in processing chambers
CN117265452B (zh) * 2023-11-22 2024-02-06 北京理工大学 一种水冷铜坩埚热屏蔽复合涂层及其制备方法

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
JPS63450Y2 (ko) 1980-03-26 1988-01-07
US4357387A (en) * 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59159510A (ja) 1983-03-01 1984-09-10 Canon Inc 磁気光学記録媒体
US4485151A (en) * 1982-05-06 1984-11-27 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Thermal barrier coating system
JPS59186325U (ja) 1983-05-30 1984-12-11 松下電工株式会社 採光窓
JPS60141551A (ja) 1983-12-29 1985-07-26 ダイセル化学工業株式会社 高吸収性シ−ト
JPS618018A (ja) * 1984-06-21 1986-01-14 早川 哲夫 長波長赤外線によるフライヤ−
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
JPS61207566A (ja) 1985-03-12 1986-09-13 Showa Denko Kk セラミツク溶射皮膜形成方法
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS6267161U (ko) 1985-10-15 1987-04-25
JPH0611346Y2 (ja) 1986-06-30 1994-03-23 不二サッシ株式会社 内開き内倒し窓における内外障子の開閉装置
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
JPH0423551Y2 (ko) 1987-09-04 1992-06-02
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
JPH01120328U (ko) 1988-02-08 1989-08-15
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
JPH0254780A (ja) * 1988-08-18 1990-02-23 Nkk Corp セラミックス被覆鋼板
JPH0657396B2 (ja) 1989-02-17 1994-08-03 レンゴー株式会社 ロータリシャーの制御方法及び装置
JPH02267967A (ja) 1989-04-07 1990-11-01 Fuji Electric Co Ltd 半導体素子の製造方法
DE69015715T2 (de) 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04238882A (ja) 1991-01-10 1992-08-26 Denki Kagaku Kogyo Kk 高温絶縁物品
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
JPH05117064A (ja) 1991-04-09 1993-05-14 Tokyo Electric Power Co Inc:The ガスタービン用翼およびその製造方法
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
JPH05121360A (ja) 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk 半導体処理装置
JPH0570922A (ja) * 1991-08-09 1993-03-23 Koichi Moriya 複合材の無機化合物による封孔処理法
JPH05198532A (ja) 1992-01-22 1993-08-06 Hitachi Chem Co Ltd プラズマエッチング装置用電極板
JPH05238855A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材の製造方法
JPH05238859A (ja) 1992-02-28 1993-09-17 Tokyo Electric Power Co Inc:The セラミックコーティング部材
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
EP0573057A1 (en) 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
JPH06136505A (ja) 1992-10-26 1994-05-17 Sumitomo Metal Ind Ltd 溶射被覆構造
JPH06142822A (ja) 1992-11-09 1994-05-24 Kawasaki Steel Corp 高融点活性金属鋳造用鋳型の製造方法
JPH06196548A (ja) * 1992-12-24 1994-07-15 Sumitomo Metal Ind Ltd 静電チャック
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06256926A (ja) 1993-03-08 1994-09-13 Mitsubishi Heavy Ind Ltd 遮熱コーティング膜
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3236398B2 (ja) 1993-04-02 2001-12-10 株式会社フジクラ 溶射装置
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
JPH0758013A (ja) 1993-08-10 1995-03-03 Hitachi Ltd 半導体成膜装置
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07126827A (ja) 1993-10-28 1995-05-16 Nippon Alum Co Ltd 金属表面の複合皮膜及びその形成方法
JP3228644B2 (ja) 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JPH07226378A (ja) 1994-02-10 1995-08-22 Sony Corp 成膜方法およびこれに用いるプラズマ装置
JP3061346B2 (ja) 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
KR100331053B1 (ko) 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
JPH0841309A (ja) 1994-07-28 1996-02-13 Hoechst Japan Ltd ドライエッチング装置用ポリベンゾイミダゾール系樹脂製物品
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3473121B2 (ja) 1994-09-14 2003-12-02 ソニー株式会社 プラズマcvd装置およびプラズマcvd方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
JP3420377B2 (ja) 1995-03-29 2003-06-23 京セラ株式会社 イットリウム−アルミニウム−ガーネット焼結体の製造方法
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JPH08339895A (ja) 1995-06-12 1996-12-24 Tokyo Electron Ltd プラズマ処理装置
TW323387B (ko) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) * 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
JP2971369B2 (ja) 1995-08-31 1999-11-02 トーカロ株式会社 静電チャック部材およびその製造方法
JPH0975832A (ja) 1995-09-11 1997-03-25 Nittetsu Hard Kk 耐食耐摩耗性表面溶射層を有するボイラーチューブ
JPH09129634A (ja) * 1995-09-15 1997-05-16 Sharp Corp 半導体装置及び酸化イットリウムの堆積方法
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JP4226669B2 (ja) 1996-02-05 2009-02-18 株式会社東芝 耐熱部材
JP3035209B2 (ja) 1996-02-27 2000-04-24 三菱重工業株式会社 耐食性材料及びその製造方法
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
JPH09298190A (ja) 1996-05-02 1997-11-18 Iwaki Coating Kogyo:Kk ドライエッチング装置用電極の製造方法
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3050124B2 (ja) 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JP3261044B2 (ja) 1996-07-31 2002-02-25 京セラ株式会社 プラズマプロセス装置用部材
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10130884A (ja) * 1996-10-25 1998-05-19 Nagayama Kogyosho:Kk 耐熱性陽極酸化皮膜の処理方法
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
JPH10214819A (ja) 1997-01-28 1998-08-11 Sumitomo Metal Ind Ltd プラズマエッチング用電極板
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JPH10226869A (ja) 1997-02-17 1998-08-25 Mitsui Eng & Shipbuild Co Ltd プラズマ溶射法
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
JPH10277707A (ja) 1997-04-03 1998-10-20 Mishima Kosan Co Ltd 連続鋳造用鋳型に使用する鋳型片及びその製造方法
JPH111757A (ja) 1997-04-14 1999-01-06 Toshiba Ceramics Co Ltd 非酸化性雰囲気焼成用治具
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3705898B2 (ja) * 1997-06-27 2005-10-12 三菱アルミニウム株式会社 真空機器の表面処理アルミニウム構成部品及びその製造方法
JP3707229B2 (ja) * 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
KR19990008937U (ko) 1997-08-13 1999-03-05 이문세 방음벽 조립체
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JPH11207161A (ja) 1998-01-22 1999-08-03 Konica Corp 固体処理剤溶解装置
JP3350433B2 (ja) 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
DE69934000T2 (de) 1998-03-31 2007-09-20 Lam Research Corp., Fremont Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP3555442B2 (ja) 1998-04-24 2004-08-18 住友金属工業株式会社 プラズマ耐食性に優れたアルミナセラミックス材料およびその製造方法
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
JP2000124197A (ja) 1998-10-16 2000-04-28 Hitachi Ltd プラズマ処理装置
JP4136137B2 (ja) 1998-11-26 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6294261B1 (en) * 1999-10-01 2001-09-25 General Electric Company Method for smoothing the surface of a protective coating
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (ja) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd 耐食性を有し、長期間使用に耐える複合皮膜の形成方法およびその複合皮膜を有する部材
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
ES2204853T3 (es) 2000-03-15 2004-05-01 Paul-Eric Preising Procedimiento y dispositivo de limpieza para piezas de instalaciones conductoras de alta tension.
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP2002029742A (ja) * 2000-07-21 2002-01-29 Daiichi Kigensokagaku Kogyo Co Ltd 希土類金属酸化物粉末及びその製造方法
JP2002088462A (ja) 2000-09-14 2002-03-27 Nippon Steel Corp 封孔処理方法及び封孔処理された溶射皮膜とその皮膜を施したファンまたはブロワー
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (ja) 2000-10-25 2002-05-10 Taiheiyo Cement Corp 真空処理装置用部材
JP2002151473A (ja) 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
KR100945315B1 (ko) 2000-12-12 2010-03-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 용기의 재생 방법, 플라즈마 처리 용기내부 부재, 플라즈마 처리 용기 내부 부재의 제조 방법,및 플라즈마 처리 장치
EP2233605B1 (en) * 2000-12-12 2012-09-26 Konica Corporation Optical film comprising an anti-reflection layer
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
JP2002228803A (ja) 2001-01-30 2002-08-14 Konica Corp 低反射積層体の製造方法及び低反射積層体
DE60228198D1 (de) 2001-02-07 2008-09-25 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
JP2002252209A (ja) * 2001-02-22 2002-09-06 Tokyo Electron Ltd プラズマエッチング装置
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) * 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
EP1296384B1 (en) * 2001-09-21 2008-09-03 FUJIFILM Corporation Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP2003321760A (ja) * 2003-05-19 2003-11-14 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法
US6853594B1 (en) * 2003-07-22 2005-02-08 Sun Microsystems, Inc. Double data rate (DDR) data strobe receiver
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
JP4238882B2 (ja) 2006-06-09 2009-03-18 トヨタ自動車株式会社 車両用エゼクタシステム

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100819530B1 (ko) * 2006-03-03 2008-04-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치 및 플라즈마 처리실 내 부재의 형성방법
KR100924852B1 (ko) * 2006-09-28 2009-11-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치용 부품 및 피막 형성 방법
KR100912479B1 (ko) * 2007-03-30 2009-08-17 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치
KR101491568B1 (ko) * 2007-04-27 2015-02-09 어플라이드 머티어리얼스, 인코포레이티드 할로겐 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 동시에 개선된 기계적 특성들을 나타내는 소결된 고용체 코팅
KR100917292B1 (ko) * 2007-04-27 2009-09-11 어플라이드 머티어리얼스, 인코포레이티드 할로겐-함유 플라즈마에 노출된 표면의 부식 속도를감소시키는 장치 및 방법
KR101365139B1 (ko) * 2007-04-27 2014-02-20 어플라이드 머티어리얼스, 인코포레이티드 할로겐-함유 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 장치 및 방법
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
KR101428646B1 (ko) * 2007-04-27 2014-08-13 어플라이드 머티어리얼스, 인코포레이티드 할로겐-함유 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 장치 및 방법
KR101441865B1 (ko) * 2007-04-27 2014-09-22 어플라이드 머티어리얼스, 인코포레이티드 할로겐-함유 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 장치 및 방법
KR101456539B1 (ko) * 2007-04-27 2014-10-31 어플라이드 머티어리얼스, 인코포레이티드 할로겐 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 동시에 개선된 기계적 특성들을 나타내는 소결된 고용체 코팅
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US9051219B2 (en) 2007-04-27 2015-06-09 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US8623527B2 (en) 2007-04-27 2014-01-07 Applied Materials, Inc. Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
KR101419707B1 (ko) * 2012-10-26 2014-07-16 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 세라믹층 형성방법 및 이를 이용한 리프트 핀의 제조방법
KR20180036849A (ko) * 2016-09-30 2018-04-10 세메스 주식회사 기판 처리 장치 및 이의 표면을 안정화시키는 방법
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
US10643825B2 (en) * 2017-10-06 2020-05-05 Tokyo Electron Limited Particle generation preventing method and vacuum apparatus
KR20210021065A (ko) * 2018-07-18 2021-02-24 닛폰 하츠죠 가부시키가이샤 플라즈마 처리 장치용 부재
KR20220066680A (ko) * 2020-11-16 2022-05-24 한국세라믹기술원 PCS 단섬유의 불융화 디바이스 및 이를 이용한 SiC 단섬유의 제조 방법

Also Published As

Publication number Publication date
US20040216667A1 (en) 2004-11-04
US8877002B2 (en) 2014-11-04
US8449715B2 (en) 2013-05-28
TW200423195A (en) 2004-11-01
US7780786B2 (en) 2010-08-24
KR100772740B1 (ko) 2007-11-01
JP4987911B2 (ja) 2012-08-01
US20100307687A1 (en) 2010-12-09
US20130255881A1 (en) 2013-10-03
CN1249789C (zh) 2006-04-05
CN1516535A (zh) 2004-07-28
JP2009185391A (ja) 2009-08-20
TWI335609B (ko) 2011-01-01

Similar Documents

Publication Publication Date Title
KR100772740B1 (ko) 플라즈마 처리 용기 내부재
JP4503270B2 (ja) プラズマ処理容器内部材
KR100540051B1 (ko) 플라즈마 처리 장치, 링부재 및 플라즈마 처리 방법
JP4486372B2 (ja) プラズマ処理装置
US10190701B2 (en) Corrosion control for chamber components
TWI665754B (zh) 用於高溫處理的耐電漿腐蝕加熱器
TW201726975A (zh) 耐電漿塗膜及其形成方法
TW200425318A (en) A barrier layer for a processing element and a method of forming the same
WO2014018835A1 (en) Innovative top-coat approach for advanced device on-wafer particle performance
TW202206275A (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
CN104241069B (zh) 等离子体装置内具有氧化钇包覆层的部件及其制造方法
SG177952A1 (en) Extending lifetime of yttrium oxide as a plasma chamber material
KR20210146421A (ko) 플루오로-어닐링된 필름으로 코팅된 물품
CN116092909A (zh) 一种等离子体刻蚀反应器
US20230092570A1 (en) Method for conditioning semiconductor processing chamber components
US10269544B2 (en) Gas ring for plasma system and method of manufacturing the same
KR20150000384U (ko) 내부식성 코팅된 반도체 제조 부품
TW202322178A (zh) 用於半導體處理腔室組件的釔鋁鈣鈦礦(yap)基塗層
JP2023533730A (ja) 水素およびnh3プラズマ用途のための保護セラミックコーティングを有するプロセスキット
US8388815B2 (en) Coated article and method of making the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131024

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 13