KR100853008B1 - 연속 화학 증착 - Google Patents

연속 화학 증착 Download PDF

Info

Publication number
KR100853008B1
KR100853008B1 KR1020077024853A KR20077024853A KR100853008B1 KR 100853008 B1 KR100853008 B1 KR 100853008B1 KR 1020077024853 A KR1020077024853 A KR 1020077024853A KR 20077024853 A KR20077024853 A KR 20077024853A KR 100853008 B1 KR100853008 B1 KR 100853008B1
Authority
KR
South Korea
Prior art keywords
reactant
chemical vapor
vapor deposition
delete delete
film
Prior art date
Application number
KR1020077024853A
Other languages
English (en)
Other versions
KR20070110451A (ko
Inventor
어셔 셔만
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23121926&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100853008(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20070110451A publication Critical patent/KR20070110451A/ko
Application granted granted Critical
Publication of KR100853008B1 publication Critical patent/KR100853008B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Abstract

본 발명은 저압에서 작동되는 반응 챔버(2 또는 3), 펌프(38) 및 밸브(20, 22)를 통해 반응 챔버(2 또는 3) 내로 가스를 유입시키는 라인(18)에 의한 연속 화학 증착을 제공한다. 제1반응체(28, 29)는 파아트 상에 코팅될 단일층을 형성하는 반면, 제2반응체는 라디칼 제너레이터(14, 16 또는 44)를 통과하며, 라디칼 제너레이터는 제2반응체를 단일층에 닿기 전에 가스상의 라디칼로 부분적으로 분해하거나 활성화시킨다. 제2반응체는 단일층 형성에 필수적이지는 않지만 단일층과 반응하는데 사용된다. 여분의 제2반응체와 반응 생성물은 프로세스 사이클의 완성에 의해 반응 챔버(2 또는 3)내에서 배출되거나 제거된다. 예를 들어, 제거는 단순히 라디칼 제너레이터의 파워를 중단시킴으로서 수행되며 챔버로의 제2반응체의 유동은 계속된다. 이 프로세스 사이클은 원하는 막의 두께로 성장될 때까지 반복될 수 있다.

Description

연속 화학 증착{Seqeuntial Chemical Vapor Deposition}
본 출원은 여기에 참조문헌으로 병합된 1996년 8월 16일 U.S 특허번호 5,916,365의 일부계속출원이다. 본 발명은 코팅할 물체를 화학적으로 반응성이 있는 가스류에 계속적으로 노출시키는 기술에 의해 한 개 이상 원소들의 고형 박막을 저온에서 증착하기에 적합한 방법과 장치에 관한 것이다. 또한, 이러한 방법에 의해 형성된 막의 여러 응용들에 대하여 개시한다.
CVD 반응기 기술
화학 증착(Chemical Vapor Deposition, CVD) 반응기는 고형 박막의 증착을 위해 수십년간 사용되어 왔으며, 대표적인 응용 예를 들자면, 코팅툴들, 집적회로의 제조 및 보석코팅 등이 있다(A Sherman이 Noyes Publication, New Jersey, 1987에서 발표한 마이크로 일렉트로니스를 위한 화학증착). 1960년대에 이르기까지 수 많은 CVD 반응기는 가열된 물체나 기판을 대기압이나 감소된 압력하에서 화학적으로 반응성이 있는 가스 또는 가스들의 정상류(steady flow)에 노출시키는 작업을 하였다. 일반적으로, 막의 증착은 실제로 저온에서뿐만 아니라 가급적 빠른 속도에서 이루어지는 것이 바람직하기 때문에, 막의 형성을 위해 사용되는 가스들은 반 응성이 크다(예를 들어, 이산화 규소의 증착을 위해 실란에 산소를 혼합함). 그리고, 가스들이 기판에 충돌하기 전 일정기간 너무 오랜 시간 동안 혼합하도록 허용하면, 기상 반응이 일어나고, 극한의 경우에는 막에 연속 막들이 증착되기보다는 기상 핵 형성 및 입자들이 형성될 수 있다. 그와 동시에, 고속의 증착과 사용되는 반응성 가스로는 넓은 영역의 기판들을 균일하게 코팅하는 것이 매우 어렵다. 이에 의해 상업적 CVD 반응기가 매우 복작하고 고가일 수밖에 없다. 이 방법에 있어 더 복잡한 것은 일부 경우에 있어서 증착된 막들이 비균일 표면을 등각적(conformal)으로 코팅하지 못한다는 것이다. 이것은 집적회로의 제조에 있어서 심각한 피해를 줄 수 있다.
1960년대에는 반응성 가스의 혼합으로 저압 글로 방전을 생성함으로써 허용 가능한 속도로 박막을 증착하는데 필요한 온도를 보다 낮추는 것을 실현할 수 있었다. 글로 방전은 반응성 가스들을 부분적으로 분해 시켜주는 고에너지 전자들을 다량 생성하는데, 이러한 가스의 분체(라디칼)들은 온화한 온도에서 평탄한 표면에 닿게 되면 매우 반응적이다. 비록 글로 방전의 사용이 보다 낮은 온도에서의 작업을 허용한다 할지라도, 상업적 반응기는 매우 복잡하고 고가이다. 왜냐하면 넓은 영역의 기판을 균일하게 증착하는 것이 글로 방전 고유의 비균일성으로 인하여 그리고 복잡한 고주파 전원공급을 위한 추가 비용으로 인하여 더욱 어려워지기 때문이다. 또한, 이러한 기술은 라디칼의 고반응성으로 인하여 막 등각성을 저하시키기도 한다.
1970년대의 원자층 에피탁시(atomic layer epitaxy:ALE)는 핀란드의 Suntola 에 의해 개발되었고, J.Anston의 미국특허 No.4,058,430은 가열된 물체 위에 고형 박막이 어떻게 성장하는지에 대해 설명하고 있다. 이러한 프로세스는 가열된 표면을 제1증기 가스의 원소에 노출시켜, 그 원소의 단일층이 표면에 형성되게 한 다음, 진공펌프로 챔버를 배기시킴으로서 그 과잉의 여분을 제거한다. 한 원자 또는분자 두께의 원자들 또는 분자들로 된 층이 표면의 전부 또는 일부에 얇게 피복될 때 이를 단일층(monolayer)이라 한다. 다음으로, 제2증기 가스의 원소가 반응기 챔버 내로 도입된다. 제1및 제2원소는 혼합되어 고형의 얇은 화합물 단일막을 형성한다. 일단 화합물 막이 형성되면, 제2원소의 여분은 다시 진공 펌프에 의해 챔버 내에서 제거된다. 바람직한 막의 두께는 이러한 프로세스를 복수 회, 예를 들어, 천번 정도 반복을 해야 얻어진다.
이러한 기술의 개선점은 다음의 1983년에 T. Suntola, A. Paakala 및 S. Lindfors에게 허여된 특허 No.4,389,973에 개시되어 있다. 이들 막은 CVD에 더 근사한 프로세스로 인해 증발된 원소들보다는 가스상의 화합물에 의해 성장된다. 이것은 원하는 막의 한 성분이 낮은 증기압을 갖는 금속일 경우에 특히 유리한 것으로 인정되었는데, 금속의 증발은 조절하기 어려운 프로세스이기 때문이다. 이러한 접근방법으로, 막은 전통적인 CVD 반응기와 유사한 유동 반응기에 의해 증착되며, 각각의 여분 가스는 각 노출 사이클 사이에서 반응기를 통해 순수 가스를 유동시켜 제거한다. 이러한 접근방법은 이용가능한 가스상의 전구체에 의존하는 일부 막에만 한정되고, 이런 막의 모두가 원하는 데로 오염이 없을 수는 없다. 본 발명은 연속 화학 증착으로서 이러한 프로세스를 언급할 것이다.
연속 화학 증착 반응기를 실행하기 위한 다른 접근방법은 각 시퀀스에서 여분의 가스상의 화합물을 오리지널 선톨라(Suntola) 1977 프로세스(H. Kumagai, K. Tiyoda, M. Matsumoto 및 M. Obara가 Jpn. Appl. Phys. Vol. 32, 6137(1993)에서 발표한, Al(CH3)3/N2O와 Al(CH3)3/H2O2의 증기 화합물에 의해 성장된 Al2O3 광학 크리스탈 박막의 비교연구)와 비슷한 방식으로 진공 펌프에 의해서 제거하는 비유동(non-flow) 진공 시스템을 실행하는 것이다.
연속 화학 증착의 초기 응용은 전기크롬 플레이트 패널 디스플레이용 다결정 ZnS박막의 증착을 위한 것이었다(M. Leskela가 Acta Polytechnica Scandinvica, Chapter 195, 1990에서 발표한, 다결정 및 비결정 막의 성장에 있어서의 원자층 에피탁시). 이외의 연구들은 비결정과 다결정과 같이 다른 화합물의 그 밖의 상업적으로 중요한 고형막이 이 기술에 의해 넓은 영역의 유리 기판상에 증착될 수 있다는 것을 보여준다. 이들 다른 막들 사이에는 황화물(스트론튬 황화물, 칼슘 황화물), 전이금속 질화물(티타늄 질화물) 및 산화물(인듐 주석 산화물, 티타늄 이산화물)이 있다. 다른 경우에, 이 기술은 매우 고가인 분자 빔 에피탁시 프로세스의 대안으로서, Ⅲ-Ⅴ족(갈륨 인듐 인화물)과 Ⅱ-Ⅵ족(아연 셀렌)의 에피탁시층의 증착 수단으로 개발되었다.
출원인이 알고 있는 것은 원소 막들의 연속 화학 증착 논의에 대하여 논술한 문헌이 단지 실리콘 및 게르마늄과 같은 ⅣB족 내의 원소적인 반도체를 증착하는 것들뿐이라는 것이다. 이런 연구의 하나로, J. Vac가 Sci. Technol. B 12(1),179(1994)에서 발표한 원자층 에티팍시 증착 프로세스는 가열된 텅스텐 필라멘트에 의해 생성되는 원자 수소와 디클로로실란으로부터 실리콘의 증착을 설명하고 있다. 650℃에서 에피탁시 막의 증착 공정을 설명하고 있다. 연속 화학 증착과 비슷한 연속 공정 방법과 연관된 추출/교환 방법에 의해 실리콘 및 게르바늄 이외에, 다이아몬드, 주석 및 납 막들을 증착하는 것에 대해서는 M. Yoder에게 허여된, 미국특허 No.5,225,366에 의해 보고되었다. 또한, 보고된 일부 연구들은 온화한 온도에서 유용할 수 있는 프로세스들을 발표하였지만, 대부분은 양질의 막을 성장시키기 위한 연속 화학 증착의 달성을 위해서 바람직하지않는 기판 온도(300-600℃)를 필요로 한다.
저온에서 증착되는 집적회로 제조용 등각 막들
집적회로의 상업적 제조에서 지속적인 문제는 절연성(예, 이산화 규소, 실리콘 질화물) 또는 도전성(예. 알루미늄, 티타늄 질화물)의 고형 박막을 대 영역 웨이퍼(예, 직경 12인치(30.48cm))상에 등각적으로 증착을 달성하는 것이다. 막은 증착된 표면의 모양이 정확하게 복제되었을 때 등각이 된다.
D.J. Enrlich 와 J. Melngailis가, Appl. Phys. Lett. 58, 2675(1991)에서 발표한 한 논문, 분자-층 도싱(dosing)에 의한 SiO2의 상온 고속 성장에서 실리콘 테트라클로라이드와 물로부터 실리콘 이산화물의 층 증착에 의해 형성되는 층에 대한 시도가 보고되었다. 비록 그 막들은 상당히 등각적인 것으로 나타나지만, 여기에는 막의 품질이나 밀도에 대한 논의는 없으며, 이런 막들이 박막 응용에 부적절 한 다공성일 가능성이 있다. 이러한 결론을 지지하기 위해서, J.F. Fan, K.Sugioka 및 K.Toyoda가, Mat. Res. Soc. Symp. Proc. 222, 327(1991)에서 발표한 트리메틸알루미늄과 수소과산화물에 의한 박막 Al2O3의 저온 성장에 대한 연구를 인용할 수 있다. 여기서는, 150℃에서 증착된 알루미늄 산화물을 상온에서 증착된 것과 비교하였다. 이 경우에, 상온 막 두께는 2270Å(227nm)에서 1200Å(120nm)으로 감소되었고, 150℃에서 15분간 담금질하는 동안 상온에서 증착된 막의 고다공성인 것으로 입증되었다. 연속 화학 증착에 의한 실리콘 이산화물을 증착하는 다른 시도에서는, M. Nakano, H.Sakaue, H. Kawamoto, A. Nagata 및 M. Hirose가, Appl. Phys. Lett. 57, 1096(1990)에서 발표한 SiO2의 디지털 화학 증착에 의하면, 실란과 산소를 사용하였다. 300℃에서 증착된 막은 비록 더 좋은 질을 나타낼지라도, 그들은 등각이 완전하지 않으며, 단지 종횡비가 3:1에 이르는 구멍만을 채울 수 있었다. 현대의 집적회로 기술은 3:1을 훨씬 초과하는 종횡비를 가진 구멍이나 트렌치를 코팅할 수 있는 능력을 요구한다.
등각적으로 넓은 영역의 웨이퍼를 저온에서 고순도로 증착하는 것을 필요로 하는 또 다른 기술적으로 중요한 고형 박막은 티타늄 및/또는 티타늄 질화물과 티타늄 규화물의 복수 층이다. 여기서, 티타늄 및/또는 티타늄 규화물층을 위해 티타늄 질화물(3-400Å(30-40nm))층 다음에 실리콘 접촉물(100Å(10nm))을 증착시키는 것이 필요하다. 최근의 논문(K. Hiramatsu, H. Ohnishi, T. Takahama 및 K. Yamanishi가, J.Vac. Sci. Techn. A14(3), 1037(1996)에서 발표한 펄스된 플라즈마 화학 증착에 의한 저 Cl농도에서의 박막 형성)에서 저자는 200℃에서 티타늄 테트라클로라이드, 수소 및 질소로부터 티타늄 질화물 막을 증착할 수 있는 대체 시퀀스 프로세스를 보여준다. 그러나, 막의 염소함량은 1%이었고, 순수한 티타늄 금속 또는 티타늄 규화물을 증착시키지 못하였다. 또한, 전통적인 고가의 플라즈마 강화 CVD 반응기와 매우 유사한 반응기를 사용하였다.
결국, 스퍼터(sputter) 알루미늄 막은 수년간 집적회로의 제조에 광범위하게 사용되었다. 불행히도, 스퍼터링은 증착 기술의 일면으로 이 막은 비등각적인 경향이 있다. 최근에, 이것은 다른 문제점을 갖고 있다. 왜냐하면, 보다 고밀도로 회로를 설계할수록 충전해야할 고종횡비의 구멍들을 가져야 하기 때문이다. 이러한 이유 때문에, 고도의 등각이 될 수 있는 적당한 화학 증착 프로세스를 알아내려는 수많은 시도가 있었고, 일부 프로세스들은 R.A. Levy와 M.L. Green이 J. Electrochem. Soc. Vol. 134, 37C (1987)에서 발표한 VLSI 응용을 위한 텅스텐 및 알루미늄의 저압 화학 증착에 의해 성공적인 것으로 나타났다. 비록 알루미늄 등각적 박막이 CVD에 의해 증착되기는 하지만, 이러한 막은 여전히 회로에서 사용되기에 적합하지 않다. 왜냐하면, 알루미늄은 전기이동에 적합하고, 이런 문제점을 피하기 위해서는 막에 수 퍼센트의 구리를 첨가하는 것이 바람직하기 때문이다. 그러나, 알루미늄 전구체에 구리 전구체를 첨가하여 CVD 프로세스를 수행한 하나의 시도를 제외하고는 성공적이지 못했다(E. Kondoh, Y. Kawano, N. Takeyasu 및 T. Ohta가, Al-Cu CVD, J. Electrochem. Soc. Vol. 141, 3494 (1994)에서 발표한 구리와 알루미늄의 동시 화학 증착 도핑에 의한 상호연결 형성 참조). 비록 구리증착 용 CVD 프로세스이지만, 사용된 전구체들이 기상으로 알루미늄과 구리의 동시 증착을 방해하여 알루미늄 전구체들과 반응하는 문제점이 있다.
혼합체 제조
복합체 재료의 제조를 위한 수많은 계획이 개발되어왔다. 왜나하면 그러한 재료들의 강도가 통상적이지 않기 때문이다. 그러한 재료들의 제조를 위한 하나의 시도는 천 프리폼(예, 탄소 섬유로부터 마련된 실)을 준비한 다음, 고온에서 이 프리폼을 탄화수소 가스에 노출시키는 것이다. 그리고 나서, 탄화수소는 탄소 프리폼 상에 증착된 탄소로 열분해 된다. 불행히도, 이 프로세스는 그다지 등각적이지 않다. 따라서, 프리폼의 내부가 코팅되기 전에 외부가 밀봉되어애 하기 때문에, 이 프로세스는 조속히 중단되어야 한다. 그리고, 이 프리폼은 외층 제거를 위해 가공되야하고, 더 노출시켜야한다. 이것은 느리고 매우 고가인 프로세스로서 화학 증착 침윤에 관한 문헌에서 언급한 바 있다(예, 편집자 K.F. Jensen 및 G.W. Cullen,에 의한 화학 증착 1993에 관한 제12회 국제 심포지움 프로시딩(Peoceedings of the Twelfth International Symposium on Chemical Vapor Deposiotion) 1993, 프로시딩 Vol. 93-2, The Electrochemical Society, Pennington, NJ 참고).
알루미늄 산화물에 의한 알루미늄 코팅
잘 알려진 바와 같이, 산화물의 박막에 의한 알루미늄 코팅은 원소에 의한 금속의 부식을 막기 위한 탁월한 방법이다. 이러한 전통적인 프로세스는 습식 전기화학처리에 의해 알루미늄을 양극처리 하는 것이다(알루미늄 및 알루미늄 합금의 부식, Vol. 13 of Metals Handbook, ASM, Metals Park, OH, 1989). 양극처리된 층 의 작은 구멍들이나 다른 결함들은 양극처리된 층의 부식 방지의 국부적인 실패에 의한다. 이러한 작은 구멍들은 습식 양극처리가 알루미늄 산화물 코팅에서 알루미늄 소오스로서 내재되어 있는 알루미늄에 의존하기 때문에 일어나며, 내재되어 있는 알루미늄은 매우 불순물이 많고 결함을 가지고 있다. 바람직한 접근방법은 외부 소오스로부터 원하는 알루미늄 산화물을 증착하는 것이다. 비록 이들의 수행을 위해 CVD 프로세스의 사용이 가능한 선택이지만, 전통적인 CVD 프로세스는 1000℃에서 작업되고, 이것은 내재되어 있는 알루미늄의 용융점보다 더 초과되기 때문에 개발되지 못했다.
저온 브래이징
고온 고밀도의 세라믹 제조시에, 고도의 정밀도로 특이한 형상을 제작하는 것이 매우 어렵다. 주로 대부분의 세라믹은 "그린(green)"상태에서 형성되어 여전히 소프트한 상태에서 가공된 다음 고온에서 소성된다. 소성 후, 얻어진 고밀도의 세라믹 파아트는 예를 들어, 다이아몬드 그라인딩 휠로 추가적으로 가공되어 원하는 규격의 정밀도를 달성한다. 일부 경우에, 파아트 형상은 고가의 추가적인 가공을 어렵게 만든다. 예를 들어, 바닥이 될 필요가 있는 표면에 도달하는 알려진 방법이 없다. 세라믹 파아트의 고온 브래이징이야 말로 정밀하게 완성된 세라믹의 특이한 형상에 적용하기 위한 대체적인 기술이다. 일 예로 브래이징 금속은 원하는 응용으로 적합하지 않을 수 있다. 또한, 금속 브래이징을 위한 바람직한 고온은 열팽창계수가 다른 파아트를 결합하는데 어려움이 있다. 예를 들어, 전통적인 브래이징 온도는 알루미늄의 용융점보다 훨씬 높기 때문에 알루미늄을 알루미나 세라믹에 브래징하는 것은 불가능하다.
본 발명의 목적은 라디칼 제너레이터를 사용함으로써 매우 반응성이 있는 가스(라디칼)를 이용하여 원소의 박막을 성장시키는 것이다.
본 발명에 의한, 프로세스는 복수의 사이클을 포함하는 연속(sequential) 화학 증착에 의해 박막을 성장시키는 것을 제공한다. 적어도 한 사이클은 챔버내에 파아트(part)를 적치하고, 형성될 박막의 원소를 포함하는 가스상의 제1반응체를 파아트에 노출시키는 것을 포함한다. 그로 인해, 적어도 제1반응체의 일부는 파아트상에 흡착된다. 그리고 나서, 파아트상에 흡착된 제1반응체의 일부는 파아트를 제2반응체에 노출시킴으로써 원소나 화합물로 전환된다. 이 제2반응체는 플라즈마 방전에 의해 생성된 라디칼을 포함한다. 박막은 이러한 전환에 의해 형성된다. 그리고 나서, 챔버로부터 가스상의 제2반응체가 제거된다(purge).
본 발명에 의한, 다른 프로세스는 복수의 사이클을 갖는 연속 기상 증착 프로세스에 의해 기판 상에 Al2O3 박막을 성장시키는 것을 제공한다. 각 사이클은, 파아트(part)를 가스상의 트리메틸 알루미늄(TMA)에 노출하는 단계, 상기 가스상의 TMA의 공급을 중단하는 단계, 챔버로부터 상기 가스상의 TMA를 제거하는 단계, 상기 파아트를 원자 산소에 노출하는 단계, 및 상기 챔버로부터 상기 원자 산소를 제거하는 단계를 포함한다. 이로 인해서, 각 사이클 동안 하나 이상의 Al2O3 단일층이 형성된다.
본 발명에 따르면, 하나의 단일층에 막이 한번에 증착될 수 있기 때문에, 파아트상에 형성된 막은 등각적인 경향이 있고 균일한 두께를 가진다. 본 발명은 동시에 다수의 파아트를 코팅할 수 있는 저가의 반응기를 사용할 수 있다. 세 개의 원소 막의 형성을 위해서는, 프로세스 사이클에 제3반응체의 추가적인 단계가 도입된다. 다수의 원소의 안정된 화합물 막은 원소들을 포함하는 가스상의 전구체에 의해 원소 단일층을 성장시킴으로서 형성될 수 있다. 이런 전구체는 예를 들어, 할로겐 화합물이나 유기금속 화합물일 수 있다.
본 발명에 따른 프로세스에 따르면, 간접적으로 발생되고 매우 반응적인 라디칼(예, 산소원자, 수소 원자, 질소 원자 등) 사용함으로 인해, 상온에서 프로세스를 실행시킬 수 있다. 또한, 별도의 제거 가스를 생략함으로써, 증착 사이틀을 단축 및 단순화할 수 있다. 이에 따라, 사이클 시간의 단축이 가능해지고, 이전에 가능했던 온도보다 낮은 온도에서 편평한 복수층 막을 증착할 수 있다.
무엇보다도, 본 발명의 방법은 저온에서 어떤 조성물의 막을 완전히 등각적이고 매우 순수하게 증착하는 것을 처음으로 허락하는 유일한 것이다.
도 1은 전기적으로 비전도인 파아트상에 전기적으로 비전도인 막을 증착하기 위해 적합한 비전도 절연체 세라믹(예, 수정 실린더)으로 만들어진 반응 용기(2)의 횡단면도이다. 반응 용기(2)는 유입된 가스를 통과시키는 플랜지(4)에 의해 일단 이 밀폐되고, 공기압축식으로 작동되는 솔레노이드 게이트 밸브(36)를 통해 진공 펌프(38)와 연결된 플랜지(4)에 의해 타단이 밀폐된 챔버를 형성한다. 각각의 플랜지는 진공 작업을 위해 O-링 실(seal)(6)을 가진다. 파아트(12)는 반응 용기(2) 내의 전기적으로 비전도성인 파아트 홀더(10) 상에 위치한다. 진공 게이지(26)는 작동하는 동안 챔버 압력을 측정한다. 제1반응체(28)는 챔버 내로 운반되기에 적합한 증기압을 제공하는 온도 조절기(32)에 의해 용기 내에 들어있는 액체 또는 고체의 증발로서 챔버 내에 가스로 도입된다. 여러 상황에서, 온도 조절기(32)는 용기(30) 내의 제1반응체(28)에 열을 제공할 것이다. 그러나, 다른 상황에서 조절기는 용기(30) 내의 제1반응체(28)에 냉기를 제공할 수도 있다.
제1반응체(28)는 아래의 실시예 1-7에 기재된 제1반응체와 같이 파아트(12) 상에 형성되는 단일층 성분을 갖는 화합물일 것이다. 제1반응체(28)는 매니폴드(18)에 의해 솔레노이드 작동 진공 밸브(20)를 통해 반응 용기(2)내로 도입된다. 도 1은 각각의 제1반응체(28, 29)를 갖는 두 개의 용기(30, 31)를 가지는 시스템을 설명한 것으로, 형성될 막의 타입은 반응체와 용기의 수에 의해 결정될 것이다. 예를 들어, 3중의 막을 원한다면, 이 시스템은 3개의 용기와 3개의 밸브를 가질 것이다. 종래의 디지털 마이크로컨트롤러(40)는 밸브들(20, 22)의 개폐를 시퀀스하여, 도 2에서 나타낸 것과 같이 적당한 수로 챔버에 제1반응체를 공급한다.
도 1에 의하면, 전형적인 작업시, 용기(30)로부터 기상 제1반응체(28)를 노출시켜 코팅될 파아트(12) 상에 제1반응체의 단일층을 증착한다. 이 단일층은 솔레노이드 코일(14)의 반응에 의해 발생되고 RF 전원 공급체(16)에 의해 활성화되는 라디칼의 유속에 노출되어 가스 용기(34)로부터 도입되는 분자와 반응한다. RF 전원 공급체(16)는 마이크로컨트롤러 회로(40)에 의해 조절될 수 있다.
도 2는 도 1에서 보여준 반응 용기로 박막을 형성하기 위한 프로세스 사이클을 설명한 것이다. 처음에, 진공펌프(38)는 반응 용기(2)인 챔버를 배기한다. 그리고, 배출 게이트 밸브(36)는 밀폐되고, 밸브(20)은 짧은 시간 동안 개방되어 충분한 양의 제1반응체(28)가 반응 용기(2)에서 이동되어 코팅될 파아트(12) 상에 분자의 단일층이 형성되도록 한다. 단일층이 형성된 후에, 반응 용기(2)는 진공펌프(38)에 의해 다시 배기되어 여분의 제1반응체를 제거한다. 그 다음, 용기(34)로부터의 제2반응체가 짧은 시간 동안 반응 용기(2) 내로 이송되고, 솔레노이드 코일(14)은 라디칼을 발생시키는 RF 전원 공급체(16)에 의해 활성화된다. 이 단계는 충분한 시간 동안 수행되어 제1반응체 단일층과 라디칼이 완전히 반응하게 한다. 마지막으로, 반응 용기(12)는 제1사이클의 종결로 진공 펌프(38)에 의해 다시 배기된다. 그리고, 이 프로세스 사이클은 원하는 막의 두께를 형성하기 위해 반복될 수 있다.
만약 증착될 막이 전기적으로 전도체이면, 반응 용기(2)는 전도막으로 코팅되어 솔레노이드 코일(14)에 의해 제공되는 활성 전기장을 종국에는 차폐한다. 다른 실시예로, 반응 용기의 불필요한 세척을 피하기 위해서, 본 발명은 도 3에서 보여주는 바와 같은 반응 용기(3)를 제공한다. 배출 플랜지(4)는 반응 용기(3)의 내부에 인접하여 마련된다. 제2반응체(42)의 흐름은 반응 용기(3)의 벽에 고착된 라디칼 제너레이터(44)에서 발생된다. 앞서와 같이 제1반응체(28)는 용기(30)로부터 제공되고, 밸브(20)와 매니폴드(18)를 통해 반응 용기(3)에 도입된다. 이 실시예에서, 파아트 홀더(10)는 금속 또는 세라믹일 수 있다. 또한, 마이크로컨트롤러(40)는 모든 밸브와 라디칼 제너레이터(44)를 조절한다.
도 3에서 보여진, 반응 용기(3)를 사용하기에 적합한 라디칼 제너레이터(44)는 매우 잘 알려진 장비일 수 있다. 이 장비는 석영관(2)과 도 1에서 설명한 RF 코일(14)의 소형 버전이 사용될 수 있다. 이러한 장비에서, 단지 변형은 작은 구멍을 가진 말단 플레이트를 제공하는 것이며, 그로 인해 라디칼은 그와 같은 노즐을 통해 반응 용기(3) 내로 빠르게 유동될 수 있다. 도 1은 노즐로 제공되는 구멍을 가진 적합한 말단 플레이트을 설명한 것으로, A. Sherman의 논문에서 스테인레스 스틸 애노드와 같은 것이다. 이 논문은 본 발명의 참조문헌인 "실리콘 웨이퍼로부터 자연 산화물의 제거"(A. Sherman, J. Vac. Sci. Technol. Vol.B8(4), 656(Jul/Aug 1990))로서 여기에 참고로 그 내용 전체를 반영한다. 이 문헌 역시 음극관 DC 방전 챔버의 사용으로 수소 라디칼이 발생된다고 언급하고 있다. 다른 대안으로 수소 라디칼 발생에 관한 보고는 최근 문헌인 "수소 원자를 표면에 노출시키기 위한 효과적인 기술"(V.M. Bermudez, J.가, Vac. Sci. Technol. Vol.A14, 2671(1996)에서 발표한 논문)이 있다. 유사한 기술은 여기서 언급하는 요소 막을 형성하기 위해 필요할 수도 있는 라디칼을 발생시키기 위해 역시 사용될 수 있다.
라디칼 분포의 균일성에 대한 관심때문에 적용될 라디칼 제너레이터(44)의 타입을 콘트롤하지 못한다. 충분한 라디칼이 제1반응체의 반응으로 발생하는 한, 여분의 라디칼은 막 형성에서 역할을 하지 못한다. 보다 중요한 관심은 오염의 유 입을 피하고, 라디칼 제너레이터의 가격 및 작동의 단순성에 관한 것이다. 또한, 파아트 표면상에 흡착된 제1반응체의 어느 한 부분과 파아트에 대한 라디칼 속(flux) 사이의 반응은 빠르고 표면 온도에 무관해야 한다. 따라서, 이것은 전형적으로 300-600℃에서 수행되는 종래의 연속 화학 증착 프로세스보다 낮은 온도에서 이러한 박막의 증착이 수행되는 것을 가능하게 한다. 종래의 연속 화학 증착 프로세스의 통상적인 응용에서 어려움의 하나는 막을 서서히 증착하는 것이다. 매우 얇은 막(예, 100Å(10nm))의 경우에는 관계없다. 그러나, 더 두꺼운 막(예, 1㎛ 또는 10,000Å)이 요구될 경우, 몇 가지 응용에 있어 상업적인 생존성이 문제될 수 있다.
본 프로세스에 있어, 원격으로 발생되고 매우 반응적인 라디칼(예, 산소원자, 수소 원자, 질소 원자 등) 사용의 장점에 의해, 상온에서 프로세스를 실행시킬 수 있다. 이러한 사실은 사용된 반응기로부터 더 높은 수율를 이끌 수 있는 이 프로세스의 두가지 특징을 일으킨다.
제1반응체가 상온에서 기판에 노출되면, 진공 펌프에 의해 반응기를 배기한 후에는 하나 이상의 단일층이 뒤에 남겨질 가능성이 있다. 사실상, 기판 표면상에 액상의 막으로 응축하는 전구체를 발견할 정도로 기판 온도가 충분히 낮아질 경우, 분명히 본 프로세스를 실행시키기 위한 방법이 아닐 것이다. 그리고 나서, 기판표면에 남겨진 복수의 단일층을 가진 기판이 제2반응체(라디칼)에 노출될 때, 한 개 이상의 생성 막이 각각의 사이클에서 성장할 수 있다. 본 실험 데이터는 상온 에서 TMA와 산소 원소로부터 사이클 당 3Å(0.3nm)의 Al2O3이 성장하는 것을 입증하였다. 열적(예, 고온) 연속 CVD에서 형성된 Al2O3에 관한 다른 모든 연구는 1Å(0.1nm)/사이클 이하의 증착율을 보여준다.
둘째, 만일 본 프로세스에서 각 전구체 노출 후에 반응기 챔버를 완전히 배기해야 할 필요가 없다면, 각 사이클마다 시간이 단축될 수 있을 것이다. 미국 특허 제4,389,973의 Suntola에 의해 설명된 유속형 반응기에서는, 각 기판의 노출 후 각 반응체를 제거하기 위해 비활성 가스를 사용하였다. 전형적으로 질소 가스를 제거 가스로 사용하였다. 우리의 경우에는, 다른 비활성가스에서 글로 방전을 스트라이킹(striking)함으로써 제2반응체를 발생시킨다(예, O2O). 따라서, 제1반응체를 제거하기 위해 별도의 비활성 가스를 사용할 필요가 없다. 방전만으로 제2가스를 간단히 사용할 수 있다. 또 한편, 제2반응체를 제거할 필요가 없는데, 왜냐하면, 글로 방전을 끌때 사라지기 때문이다. 별도의 제거 가스를 생략함으로써, 증착 사이틀을 단축 및 단순화할 수 있다. 이것은 사이클 시간의 단축을 가능하게 할 것이다.
그러나, 연속 CVD 반응기에서 두 반응체를 분리하기 위해 제거 가스를 사용하는 것이 시스템의 실행에 있어서 가장 바람직한 프로세스가 아닐 수 있다는 몇몇의 사례를 인식해야 한다.
일반적으로, 기판이 높은 종횡비의 구멍이나 트렌치의 구조를 갖도록 코팅될 때, 일반적으로, 앞서 설명한 스타일 중에서 진공 펌프를 사용하는 것이 더 효과적 일 것이다. 구멍이 비활성 가스로 채워져 있을 때 주어진 반응체가 비활성 가스를 통해 구멍의 바닥으로 내려가도록 확산되는 것이 어려울 수 있기 때문에 이것이 그 경우일 것이다. 이들 응용에 의해 높은 종횡비의 구멍이 코팅될 필요가 없는 응용들의 경우에(예, 대규모 평면 패널 디스플레이), 비활성 가스 청소가 적합할 것이다. 이 경우에, 글로 방전중에 청소 작업을 위한 비활성 가스로서 생성되는 가스를 사용(글로우 방전을 끈채로)하면 수율이 향상될 것이다.
결국, 연속 CVD 프로세스에 의해 매우 얇은 막의 절연재(예, Al2O3, TiO2, Si3N4)가 증착될 때, 표면은 층 증착방법에 의한 층에도 불구하고 상당한 거치름(roughness)을 가질 수 있다. 분명히, 이러한 현상은 막이 성장하는 동안 다소 부족한 것으로 이해되는 응집 프로세스에 의해 비롯된다. 이런 거친 표면을 피하기 위해 사용할 수 있는 하나의 기술로서, 두 개의 유사한 물질을 교대로 적층하여 된 다수의 얇은 층으로 성장시키는 기술이 있다. 예를 들어, 100Å(10nm)의 막을 원한다면, 10Å(1nm)의 Al2O3 층과 10Å(1nm)의 Si3N4층을 번갈아 성장시켜 5번 행한다. 이것은 효과적인 확산 장벽과 효과적인 전기절연파괴 강도의 약 7-8의 유전상수를 가진 유전층을 생산하며, 또한 매우 편평하다. 상기에서 설명한 새로운 방법을 사용함으로서, 이전에 가능했던 온도보다 낮은 온도에서 이런 편평한 복수층 막을 증착할 수 있다.
예 1
이산화 규소의 박막 증착은 수소 원자의 속에 의해 원소적인 실리콘을 환원시킬 수 있는 이염화실란과 같은 실리콘 전구체에 의해 실행될 수 있다(S.M. Bedair가, J. Vac. Sci. Technol. B 12(1), 179(1994)에서 발표한 원자층 에피탁시 증착 프로세스 참조). 또한, 다른 전구체들(예, 실란, 테트라메틸실란) 및 원자 수소로부터 원소적인 실리콘의 증착이 가능할 수 있다. 그 다음에, 결과물인 실리콘은 산소에 노출되어 이산화 규소로 전환될 수 있다. 이러한 프로세스에 의하여 이산화 규소 막은 단일층 옆에 단일층을 성장시킬 수 있다. 이러한 막을 성장시키는 다른 방법으로 이미 산소를 포함하는 실리콘 전구체를 사용할 수 있다. 예를 들어, 테트라에토시실란(tetraethoxysilane)을 사용할 수 있고 이것은 산소 원자로 환원된다.
예 2
하나의 실시예로, 본 발명은 원소적인 금속 막으로 파아트를 코팅하는 프로세스를 제공한다. 요약하자면, 티타늄 금속 막에 대한 논의로 한정할 수 있다. 예를 들어, 제1반응체일 수 있는 티타늄 사염화물을 저압에서 반응기 내로 도입하여 파아트 표면에 단일층이 흡착되도록 한다. 그 다음, 반응기 챔버 내의 과잉 티타늄 사염화물을 밖으로 배기한다. 그리고 나서, 순수한 티타늄 막을 형성하도록 원자형인 수소를 저압으로 표면에 노출시킨다. 수소 원자는 HCl을 형성하기 위해 티타늄 사염화물 단일층에 있는 염소와 반응할 것이다. 그리고 나서, HCl 증기는 진공 펌프에 의해 배기되고, 티타늄 단일층은 뒤에 남겨질 것이다. 티타늄 금속 막의 두께는 수행되는 프로세스 사이클의 수에 의해 간단히 정해진다. 이러한 프 로세스에 의하여 상온에서 고형인 어떤 요소의 막을 성장시키는 것이 가능하다.
티타늄과 함께 티타늄 질화물 화합물 박막의 증착은 순수한 티타늄을 생성하는 수소 원자와 티타늄 사염화물에 의해 유도되며, 후속하는 질소 원자에 의한 노출에 의해 질화물이 형성된다. 대안으로, NH 라디칼에 티타늄 사염화물을 노출시켜서 직접적으로 티타늄 질화물 막을 생성할 수도 있다. 또한, 티타늄과 질소 원자 예를 들어, 테트라키스(디에틸아미노)티타늄 또는 테트라키스(디메틸아미노)티타늄을 포함한 전구체를 사용할 경우, 이들 종의 어느 하나인 단일층을 수소 원자나 HN 라티칼로 환원하여 티타늄 질화물을 형성할 수 있다.
예 3
본 발명은 산화물을 생성한 다음 질화물을 순차적으로 성장시킴으로서 옥시나이트리드(oxynitrides)와 같은 세 개 이상의 원소로 된 막 성장을 제공한다. 사실, 개선된 집적회로용으로 효과적인 확산 장벽 막인 탄탈룸/실리콘/질소와 같은 삼중 화합물을 성장시키는 것은 어렵지 않을 것이다.
다양한 이중 및 삼중 규화물은 하나 또는 그 이상의 금속이나 반도체 소지들을 증착시킨 다음, 그 층을 질소 원자로 질화시킴으로서 형성될 수 있다. 예를 들어, 순수 실리콘의 단일층을 증착하고 나서, 순수 티타늄 단일층을 증착할 수 있다. 만일 결과물인 티타늄 규화물 단일층이 질소 원자의 속으로 질화된다면, 티타늄/실라콘/질소 삼중 화합물을 얻을 수 있다. 또한, 화합물 막의 화학량론은 어떤 원소에 대해 사용되는 사이클 수를 변화시킴으로써 간단히 변화될 수 있다. 예를 들어, 티타늄 이규화물(TiSi2)은 각 티타늄 사이클에 대하여 두개의 실리콘 사이클로부터 형성될 수 있다.
예 4
구리와 실리콘으로 도핑된 알루미늄 막의 증착은 각각 수소 원자에 의해 차례로 환원되는 트리이소뷰틸알루미늄 (triisobutyaluminum), 구리(Ⅱ)아세틸아세토네이트(Cu(acac)2) 및 테트라메틸실란으로부터 생성되어 질 수 있다. 구리 및/또는 실란 도판트의 비율은 각 원소의 층들이 얼마나 많이 증착되는 가를 조절함으로써 조정될 수 있다. 예를 들어, 2%의 구리 도핑 수준은 매 50층의 알루미늄마다 1층의 구리를 증착함으로써 달성된다.
예 5
다양한 다공을 가진 파아트를 등각적으로 코팅하기 위한 연속 CVD 프로세스의 능력을 완전히 이용할 수 있다면, 다수의 중요한 복합체를 제작할 수 있다. 예를 들어, 메탄과 수소 원자로부터 탄소층을 성장시킬 수 있다. 그리고 나서, 그 층은 실시예 1에서 언급한 것과 같이 실리콘 층의 성장에 의해 실리콘 탄화물로 전환된다. 이 실리콘 탄화물의 코팅은 고체 실리콘 탄화물이 탄소 섬유들에 의해 강화 형성될 때 까지 탄소 섬유 프리폼을 코팅하는데 사용되었다. 탄소 섬유는 파아트에 강한 견고성을 줄 수 있으며, 실리콘 탄화물은 고온의 공기 중에서 사용되는 것을 허락한다. 알루미늄 단결정에 사용될 세라믹 합성물은 이런 섬유들에 의해 만들어진 프리폼상에 알루미늄 산화물을 성장시킴으로써 형성될 수 있다. 또한, 금속 합성물은 금속 섬유 프리폼과 연속 CVD의 사용으로 프리폼상에 금속이 성장될 수 있도록 미리 마련될 수 있다.
예 6
양질의 알루미늄 산화물 박막이 연속 CVD에 의해 온화한 온도에서 성장될 수 있다는 것은 H. Kumagai, K. Toyoda, M. Matsumoto 와 M. Obara에 의해 발표된 "(Al(CH3)3/N2O와 Al(CH3)3/H2O2의 증기 혼합에 의해 성장된 Al2O3 광학 결정체 박막에 관한 비교 연구(Jpn. J. Appl. Phys. 32 6137(1993))에서 알 수 있다. 따라서, 이것을 가지고 양극처리된 알루미늄 파아트를 고등각층으로 코팅하는 것이 가능하다. 초기의 CVD 프로세스는 알루미늄의 융해점보다 높은 온도에서 실행되어야만 하기 때문에 사용될 수 없었다. 한 접근방법으로 알루미늄 코팅을 위해 잘 알려진 연속 CVD 프로세스를 사용하였다. 대안적인 접근방법은 본 발명에서 언급한 프로세스의 장점을 이용할 수 있으며, 순수 알루미늄의 단일층들을 형성한 다음 이러한 층들을 산소 원자들에 의해 산화할 수도 있다. 예를 들어, 수소 원자로 트리메틸알루미늄을 환원하여 알루미늄층을 형성할 수 있다. 이러한 층은 산소에 노출되었을 때 쉽게 산화될 것이다. 만일 알루미늄이 초기에 양극처리되었다면, 연속 화학 증착 막은 어떤 흠집이나 작은 구멍을 메울 것이다.
예 7
저온에서 2개의 세라믹 조각을 순수 세라믹물질로 결합하는 것은 일부 특이한 이점을 가지는 프로세스이다. 예를 들어, 결합된 파아트의 온도 내성은 원래의 세라믹 파아트 만큼이나 높을 것이다. 또한, 새로운 물질은 구조에 첨가되지 않고, 그로 인해 결과적으로 결합된 파아트는 순도가 높으며, 단지 원래의 세라믹정도의 화학적인 비활성을 가질 뿐이다. 이러한 프로세스는 오늘날 존재하지 않는다. 예를 들어, 알루미늄 산화물 두 조각은 실시예 6에서 언급한 것과 같이 두개의 인접한 파아트상에 알루미늄 산화물을 성장시킴으로서 결합될 수 있다.
예 8
축전기의 용량은 축전기 판들사이 절연체의 유전상수와 정비례한다. 또한, 절연체의 두께에 반비례한다. 집적회로에서 용량을 증가시키는 것을 원할 때, 바람직한 절연체의 열적 SiO2의 두께를 감소시키는 것이 전통이다. 현대의 진보된 회로에서, SiO2 두께의 실질적인 한계는 도달되었다(~30Å(~3nm)). 이것보다 더 얇고 작은 구멍이 없는 균일한 SiO2막을 성장시키기 위한 시도는 어렵다는 것이 증명되었다. 대안은 보다 높은 유전상수를 가진 절연체를 증착시킬 수 있으므로, 이것은 실질적으로 더 실용적인 유전 두께를 더 허락할 것이다. 예를 들어, 25의 절연상수(6×이산화규소)를 가진 Ta2O5의 박막을 증착할 경우, 30Å(3nm)막은 180Å(18nm)두께를 가질 수 있다. 이러한 막 두께는 쉽게 증착이 될 수 있을 뿐만 아니라, 용량에 있어서도 Ta2O5의 두께를 더 환원시킴으로서 더 개량될 수 있다.
불행하게도, 전통적인 CVD 고온 기술에 의해 실리콘에 증착된 Ta2O5의 매우 얇은 층은 25이하의 유전상수를 가진 절연체의 결과이다. 이것은 프로세스가 시작 될 때, 처음 일어나는 것이 실리콘 산화이며 결국에는 Ta2O5 와 SiO2의 혼합층으로 되기 때문이다. SiO2의 유전상수가 낮아질수록 혼합 막의 유전상수의 전반적인 값이 낮아진다.
현재의 프로세스에서, 원한다면, 저온에서 Ta2O5의 증착이 가능하고 그것에 의해 하부 실리콘의 산화를 최소화할 수 있다. 만일 저온 사용과 무관하게, 여전히 약간의 실리콘 산화가 있다는 것을 알 경우, 산소 원자 라디칼을 이용하여 Ta2O5 증착을 진행하기 전에 실리콘상에 일부 산소 장벽물질(예, TiN, TaN 등) 또는 희생 물질(예, Ta)로 된 하나 또는 몇 개의 단일층을 증착할 수 있다.
예 9
최근에는 집적회로에서 알루미늄 도체 대신에 구리 도체로 대체하려는 경향이 있다. 플라즈마로 알루미늄을 에칭하는 것과 동일한 방식으로 구리로 에칭하는 것은 어렵기 때문에, 대부분의 제작은 "Damascene" 또는 상감 세공의 접근방법으로 이루어진다. 전통적인 기술은 구리 층을 증착하고, 이 구리층에 있는 구멍을 에칭하고, 이들 구멍은 적당한 절연체 즉, 절연체에 의해 채워지는 것이다. 그 대신에, 본 발명은 절연체 물질 층을 증착하고, 거기에 있는 구멍을 에칭하며, 전 표면을 구리층으로 코팅한다. 이러한 구리는 미리 에칭된 모든 구멍을 채운다. 그리고, 웨이퍼 표면에 있는 여분의 구리는 화학 기계적 연마 단계에 의해 제거된다. 구리가 증착될 수 있는 몇가지 프로세스 중에서, 바람직한 것은 무전해 도금이다. 불행하게도, 구리는 부도체 표면을 전기 도금할 수 없으므로, 구리 "시드(seed)"층 은 CVD에 의해 증착된다. 이 "시드"층의 등각 피복률이 좋으면, 전 구리층은 확실하게 코팅될 수 있다. CVD에 의해 순수 구리 박막을 증착하기 위한 최근의 노력은 복잡하고 고가인 구리 유기금속 화합물의 사용을 요구한다. 이 접근방법은 바람직한 것으로 밝혀졌다. 왜냐하는, 모든 이용가능한 구리 할로겐 화합물이 저가이고, 고온의 융해점을 가진 고체이며, CVD 반응기 챔버 내로 유입하기 위해 제어되는 양식으로 증발하기 어렵기 때문이다.
이미 언급한 본 프로세스의 융통성으로, 저가의 구리-산소 유기금속 화합물(예, 구리Ⅱ2,4-펜탄디오네이트C10H14O4Cu은 안정하고, 100℃에서 10mtorr(1.33Pa)의 증기압을 가지며, 저가임)을 사용할 수 있고, 산소 원자의 노출로 이해 CuO2로 감소된다. 그리고, 두 번째 단계에서 CuO2 단일층은 수소 원자의 노출로 인해 원소적인 구리로 환원될 수 있다. 여러 사이클의 이러한 프로세스의 반복은 원하는 두께의 순수 구리 박막을 생산할 수 있다. 동시에, 확산장벽층이 구리 및 TiN과 같은 밑에 있는 Si, SiO2 사이에서 요구된다면, 양층은 차례로 동일한 시스템에서 증착될 수 있다. 이것은 제조 프로세스를 매우 단순화시킬 수 있다.
예 10
일부 원소 또는 화합물의 단일층이 매우 높은 종횡비의 블라인 구멍(예, 10:1)을 증착할 때, 먼저 구멍으로부터 모든 가스류를 배출시킨다. 다음으로, 전구체 분자를 구멍에 노출시켜, 구멍의 부피를 채울 만큼 구명표면으로 흡수시킨다. 그리고, 구멍 내부의 용적을 채운 전구체 분자는 진공 펌프에 의해 펌핑되어 제거 된다. 이 프로세스의 다음 단계에서는 흡착된 단일층을 라디칼 유동에 노출시켜, 고형 분자 종류의 원하는 단일층으로 전환시킨다.
매우 높은 종횡비의 블라인드 구멍의 경우에, 다른 현상이 인식된다. 라티칼 유동이 배기된 구멍의 부피 내로 확산될 때, 표면 반응은 반응 생산물을 배출한다. 예를 들어, 산소 원자가 흡착된 TMA 분자를 공격하면, 단일층 Al2O3가 생성되고, H2O, CO2 및 CO와 같은 반응 생성물이 생성된다. 만일 구멍이 길고 좁으며, 라디칼에 대한 노출이 실행 불가능할 정도로 장시간 유지될 경우, 이러한 반응 생성물 분자들은 블라인드 구멍의 바닥으로 라디칼이 확산되는 것을 방해할 수 있다.
이러한 현실적인 어려움을 해결하기 위해서는 도 4에서 설명한 바와 같이, 주기적인 양식으로 매우 긴 블라인드 구멍을 라디칼 유속에 노출시켜야 한다. 즉, 라디칼에 전구체 분자를 단시간 노출시킨 후, 진공 펌프로 챔버를 배기시킨다. 이것은 구멍 내로 라디칼이 확산되는 것을 방지하는데 도움이 되며, 가스 반응 생성물을 제거하는 효과가 있을 것이다. 그리고 나서, 라디칼 유동에 의한 제 2노출이 실행된다. 바람직하다면, 이 프로세스는 여러 번 반복되어 매우 길고 좁은 블라인드 구멍의 단부에서 바람직한 반응을 달성한다.
예 11
초기에 부분적으로 비금속일 수 있는 표면상에 연속 CVD에 의해 금속 막을 증착할 때, 선택하여 증착을 수행하는 것이 가능하다. 예를 들어, 수소 원자와 증기 TaCl5 로부터의 탄탈륨으로 스테인레스 스틸 홀더 상에 적치한 사파이어 샘플 을 코팅하기 위한 시도의 경우, 탄탈륨은 사파이어가 아닌 스테인레스상에만 형성된다는 것을 알고 있다. 이것은 H라디칼이 흡착된 단일층 TaCl5 보다는 Al2O3표면에 더 잘 반응하기 때문에 일어나는 것이다.
비슷한 현상이 유리상이 아닌 플레티늄상에 구리 박막의 열적 연속 CVD증착에 대해 언급한 최근의 논문(P. Martensson 과 J-O. Carlsson이, J. Electrochem. Soc. 145, 2926(1998)에서 발표함)에서 관찰되었다.
바람직하지 않는 이런 선택성을 방지하는 프로세스는 전 웨이퍼 표면 위에 금속 산화물을 증착하는 것일 것이다. 그리고, 초기의 산화물 단일층은 수소원자에 의해 순수 금속으로 환원된다(상기 실시예 9 참조). 다음의 층들은 적합한 전구체(예, TaCl5 및 H로부터의 탄탈륨)의 직접 환원에 의해 증착된다.
본 발명의 기술에 의해 증착된 막의 상업적인 응용은 이들 막들을 생성하는 이 프로세스에 한정되지 않을 것이다. 예를 들어, 공지된 연속 CVD 기술에 의해 성장되는 라디칼에 대한 분류가 없는 막들은 응용예에 따라 적절하게 의존적일 것이다.
지금까지 본 발명에 대하여 특히 동일하게 수행되는 특이한 방법을 설명하였지만 여러 변형과 수정이 있을 수 있음은 자명하다. 본 발명이 위 설명으로부터 박막의 연속 화학 증착 기술에 대하여 상당한 진보가 있었고, 본 발명의 방법에 의해 증착되는 막이 여러 상업적으로 중요한 응용들이 가능함은 자명하다. 본 발명의 방법은 무엇보다도 저온에서 어떤 조성물의 막을 완전히 등각적이고 매우 순수하게 증착하는 것을 처음으로 허락하는 유일한 것이다.
도 1은 본 발명의 한 실시예에 따른 구조로, 전기적인 전도에 의하지 않고 막을 증착하기에 적합한 연속 CVD 반응기의 개요도이다.
도 2는 연속 CVD 프로세스를 위한 프로세스 사이클을 설명한 것이다.
도 3은 본 발명의 한 실시예에 따른 구조로, 전도 또는 비전도 어떤 막이든 증착하기에 적합한 연속 CVD 반응기의 개요도이다.
도 4는 연속 CVD 프로세스를 위한 선택적인 프로세스 사이클을 설명한 것이다.

Claims (16)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 복수의 사이클을 갖는 연속(sequential) 화학 증착 프로세스에 의한 박막 성장의 프로세스에 있어서, 적어도 하나의 사이클은,
    파아트(part)를 챔버상에 적치하는 단계;
    상기 파아트를 형성될 박막의 요소를 포함하는 가스상의 제1반응체에 노출하는 단계로서, 상기 제1반응체의 적어도 일부가 상기 파아트상에 흡착하여 단일층 이하의 층을 형성하는 단계;
    상기 가스상의 제1반응체를 상기 챔버로부터 제거하는 단계; 및
    상기 파아트를 플라즈마 방전에 의해 생성된 라디칼들을 포함하는 가스상의 제2반응체에 노출하여, 상기 파아트상에 흡착된 상기 제1반응체의 일부를 요소 또는 화합물로 전환시켜 박막을 형성하는 단계를 포함하고,
    상기 전환 단계는 산소 라디칼에 대한 노출에 의해 상기 파아트상에 흡착된 상기 제1반응체의 일부를 초기 금속산화물로 변환하는 1차 변환 단계와 상기 초기 금속산화물을 금속으로 환원하는 2차 환원단계를 포함하는 것을 특징으로 하는 연속 화학 증착 프로세스에 의한 박막 성장 프로세스.
  9. 제8항에 있어서,
    상기 2차 환원단계는 상기 금속산화물을 수소 라디칼에 노출하는 단계를 포함하는 것을 특징으로 하는 연속 화학 증착 프로세스에 의한 박막 성장 프로세스.
  10. 제8항에 있어서,
    상기 금속은 구리를 포함하는 것을 특징으로 하는 연속 화학 증착 프로세스에 의한 박막 성장 프로세스.
  11. 제8항에 있어서,
    중간생성물인 금속산화물 없이, 흡착된 상기 제1반응체의 일부를 금속으로 직접 환원하는 추가적인 사이클을 더 포함하는 것을 특징으로 하는 연속 화학 증착 프로세스에 의한 박막 성장 프로세스.
  12. 제8항에 있어서,
    상기 파아트는 금속표면과 비금속표면을 포함하며, 상기 초기의 금속산화물은 상기 파아트상에서 선택적인 증착을 피할 수 있는 것을 특징으로 하는 연속 화학 증착에 의한 박막 성장의 프로세스.
  13. 제8항에 있어서,
    제8항의 사이클과 동일한 추가적인 사이클을 더 포함하는 것을 특징으로 하 는 연속 화학 증착에 의한 박막 성장의 프로세스.
  14. 삭제
  15. 삭제
  16. 삭제
KR1020077024853A 1999-04-14 2000-04-14 연속 화학 증착 KR100853008B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/291,807 US6342277B1 (en) 1996-08-16 1999-04-14 Sequential chemical vapor deposition
US09/291,807 1999-04-14

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077014845A Division KR100818792B1 (ko) 1999-04-14 2000-04-14 연속 화학 증착

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020087005410A Division KR20080025768A (ko) 1999-04-14 2000-04-14 연속 화학 증착

Publications (2)

Publication Number Publication Date
KR20070110451A KR20070110451A (ko) 2007-11-16
KR100853008B1 true KR100853008B1 (ko) 2008-08-19

Family

ID=23121926

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020097005959A KR100966088B1 (ko) 1999-04-14 2000-04-14 순차적 화학 증착
KR1020087005410A KR20080025768A (ko) 1999-04-14 2000-04-14 연속 화학 증착
KR1020077014845A KR100818792B1 (ko) 1999-04-14 2000-04-14 연속 화학 증착
KR1020017013036A KR100771257B1 (ko) 1999-04-14 2000-04-14 연속 화학 증착
KR1020077024853A KR100853008B1 (ko) 1999-04-14 2000-04-14 연속 화학 증착

Family Applications Before (4)

Application Number Title Priority Date Filing Date
KR1020097005959A KR100966088B1 (ko) 1999-04-14 2000-04-14 순차적 화학 증착
KR1020087005410A KR20080025768A (ko) 1999-04-14 2000-04-14 연속 화학 증착
KR1020077014845A KR100818792B1 (ko) 1999-04-14 2000-04-14 연속 화학 증착
KR1020017013036A KR100771257B1 (ko) 1999-04-14 2000-04-14 연속 화학 증착

Country Status (6)

Country Link
US (7) US6342277B1 (ko)
EP (2) EP1183406B1 (ko)
JP (2) JP4804628B2 (ko)
KR (5) KR100966088B1 (ko)
DE (1) DE60012733T2 (ko)
WO (1) WO2000061833A1 (ko)

Families Citing this family (869)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
FI118014B (fi) * 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) * 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
US20040195966A1 (en) 2001-05-14 2004-10-07 Conway Natasha M J Method of providing a layer including a metal or silicon or germanium and oxygen on a surface
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
KR100414156B1 (ko) * 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030050000A1 (en) * 2001-09-03 2003-03-13 Noritake Co., Limited Super-abrasive grinding wheel
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
CA2472232A1 (en) * 2002-01-03 2003-07-17 Neah Power Systems, Inc. Porous fuel cell electrode structures having conformal electrically conductive layers thereon
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US20040210289A1 (en) * 2002-03-04 2004-10-21 Xingwu Wang Novel nanomagnetic particles
US7162302B2 (en) * 2002-03-04 2007-01-09 Nanoset Llc Magnetically shielded assembly
US7091412B2 (en) * 2002-03-04 2006-08-15 Nanoset, Llc Magnetically shielded assembly
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
JP4168676B2 (ja) * 2002-02-15 2008-10-22 コニカミノルタホールディングス株式会社 製膜方法
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
EP1490529A1 (en) * 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR100479639B1 (ko) * 2002-04-06 2005-03-30 재단법인서울대학교산학협력재단 다층 박막의 제조를 위한 화학 기상 증착 장치 및 이를 이용한 다층 박막 증착 방법
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR101040446B1 (ko) * 2002-04-19 2011-06-09 맷슨 테크놀로지, 인크. 저증기압 가스 전구체를 이용하여 기판 상에 막을증착하기 위한 시스템
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6979489B2 (en) * 2002-05-15 2005-12-27 Rutgers, The State University Of New Jersey Zinc oxide nanotip and fabricating method thereof
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100464855B1 (ko) * 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20060093848A1 (en) * 2002-10-15 2006-05-04 Senkevich John J Atomic layer deposition of noble metals
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
DE10303413B3 (de) * 2003-01-29 2004-08-05 Infineon Technologies Ag Verfahren zur Herstellung eines Oxidkragens für einen Grabenkondensator
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6863725B2 (en) * 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050149169A1 (en) * 2003-04-08 2005-07-07 Xingwu Wang Implantable medical device
US20050261763A1 (en) * 2003-04-08 2005-11-24 Xingwu Wang Medical device
US20050278020A1 (en) * 2003-04-08 2005-12-15 Xingwu Wang Medical device
US20050240100A1 (en) * 2003-04-08 2005-10-27 Xingwu Wang MRI imageable medical device
US20050025797A1 (en) * 2003-04-08 2005-02-03 Xingwu Wang Medical device with low magnetic susceptibility
US20050149002A1 (en) * 2003-04-08 2005-07-07 Xingwu Wang Markers for visualizing interventional medical devices
US20060102871A1 (en) * 2003-04-08 2006-05-18 Xingwu Wang Novel composition
US20040254419A1 (en) * 2003-04-08 2004-12-16 Xingwu Wang Therapeutic assembly
US20050155779A1 (en) * 2003-04-08 2005-07-21 Xingwu Wang Coated substrate assembly
US20070010702A1 (en) * 2003-04-08 2007-01-11 Xingwu Wang Medical device with low magnetic susceptibility
US20050244337A1 (en) * 2003-04-08 2005-11-03 Xingwu Wang Medical device with a marker
US20050079132A1 (en) * 2003-04-08 2005-04-14 Xingwu Wang Medical device with low magnetic susceptibility
US20050119725A1 (en) * 2003-04-08 2005-06-02 Xingwu Wang Energetically controlled delivery of biologically active material from an implanted medical device
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP5082242B2 (ja) * 2003-07-16 2012-11-28 コニカミノルタホールディングス株式会社 薄膜形成方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7230292B2 (en) * 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
KR100822493B1 (ko) * 2003-08-11 2008-04-16 동경 엘렉트론 주식회사 성막 방법
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US8361548B2 (en) * 2003-09-05 2013-01-29 Yield Engineering Systems, Inc. Method for efficient coating of substrates including plasma cleaning and dehydration
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20070027532A1 (en) * 2003-12-22 2007-02-01 Xingwu Wang Medical device
EP1713722A1 (en) * 2003-12-24 2006-10-25 Nanometrix Inc. Continuous production of carbon nanotubes
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050181535A1 (en) * 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
TWI383063B (zh) * 2004-03-01 2013-01-21 Praxair Technology Inc 低鋯之鹵化鉿組成物
US20050214458A1 (en) * 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US20050208239A1 (en) * 2004-03-18 2005-09-22 3M Innovative Properties Company Flexible article comprising pocket
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
KR100762573B1 (ko) * 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7695775B2 (en) * 2004-06-04 2010-04-13 Applied Microstructures, Inc. Controlled vapor deposition of biocompatible coatings over surface-treated substrates
EP1771598B1 (en) * 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20060118758A1 (en) * 2004-09-15 2006-06-08 Xingwu Wang Material to enable magnetic resonance imaging of implantable medical devices
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7106096B2 (en) * 2004-11-11 2006-09-12 International Business Machines Corporation Circuit and method of controlling integrated circuit power consumption using phase change switches
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
TW200633947A (en) * 2005-02-16 2006-10-01 Ngk Insulators Ltd Joined body and manufacturing method for the same
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
KR100966928B1 (ko) * 2005-03-23 2010-06-29 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR20060124879A (ko) * 2005-05-26 2006-12-06 주성엔지니어링(주) 박막 증착 방법
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP5040119B2 (ja) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
JP4911345B2 (ja) * 2005-07-25 2012-04-04 セイコーエプソン株式会社 パターニング方法、並びにこれを用いた電子装置の製造方法
US20080317974A1 (en) * 2005-08-26 2008-12-25 Fujifilm Manufacturing Europe B.V. Method and Arrangement for Generating and Controlling a Discharge Plasma
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US8357434B1 (en) 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
DE112007000541T5 (de) 2006-03-14 2009-01-22 Praxair Technology, Inc., Danbury Selektive Trennverfahren
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
KR100708881B1 (ko) * 2006-04-06 2007-04-18 서울시립대학교 산학협력단 실리콘 나노점 어레이 제조장치 및 그 제조방법과 이를이용한 다중 레벨 실리콘 비휘발성 메모리 제조방법
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
WO2007139379A1 (en) * 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
JP5543203B2 (ja) * 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US8318966B2 (en) 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US7956168B2 (en) * 2006-07-06 2011-06-07 Praxair Technology, Inc. Organometallic compounds having sterically hindered amides
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
TWI379347B (en) * 2006-07-31 2012-12-11 Applied Materials Inc Methods of forming carbon-containing silicon epitaxial layers
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7959986B2 (en) * 2006-08-09 2011-06-14 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
WO2008045423A1 (en) * 2006-10-10 2008-04-17 Structured Materials Inc. Self assembled controlled luminescent transparent conductive photonic crystals for light emitting devices
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
FR2909799A1 (fr) * 2006-12-12 2008-06-13 Commissariat Energie Atomique Procede et fabrication d'elements de combustible nucleaire et contenant pour la mise en oeuvre d'un tel procede
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
EP2109876B1 (en) * 2007-02-13 2015-05-06 Fuji Film Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080248648A1 (en) * 2007-04-06 2008-10-09 Thompson David M Deposition precursors for semiconductor applications
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP5364293B2 (ja) * 2007-06-01 2013-12-11 株式会社半導体エネルギー研究所 表示装置の作製方法およびプラズマcvd装置
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
CN101790446B (zh) 2007-08-27 2013-08-07 博里利斯技术有限公司 生产聚合物粒料的设备和方法
US20100255625A1 (en) * 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8080280B1 (en) 2007-10-16 2011-12-20 Sandia Corporation Nanostructure templating using low temperature atomic layer deposition
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090203917A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090209777A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8702999B2 (en) * 2008-02-01 2014-04-22 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
ATE523067T1 (de) * 2008-02-08 2011-09-15 Fujifilm Mfg Europe Bv Verfahren zur herstellung einer mehrschichtigen stapelstruktur mit verbesserter wvtr- grenzeigenschaft
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
FR2928662B1 (fr) * 2008-03-11 2011-08-26 Arkema France Procede et systeme de depot d'un metal ou metalloide sur des nanotubes de carbone
JP2009231574A (ja) * 2008-03-24 2009-10-08 Sanken Electric Co Ltd SiC半導体素子とその製造方法並びにその製造装置
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090258143A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20090255466A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
CN102272351B (zh) * 2008-11-11 2014-03-19 普莱克斯技术有限公司 试剂分配装置及输送方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102239278A (zh) * 2008-12-05 2011-11-09 莲花应用技术有限责任公司 具有改进的阻隔层性能的薄膜的高速沉积
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
GB2467928A (en) * 2009-02-19 2010-08-25 Amit Kumar Roy Inorganic Fibre Coating by Atomic Layer Deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) * 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP2012186375A (ja) * 2011-03-07 2012-09-27 Tokyo Electron Ltd プラズマ処理方法、膜形成方法、半導体デバイスの製造方法及びプラズマ処理装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130022658A1 (en) * 2011-07-23 2013-01-24 Synos Technology, Inc. Depositing material with antimicrobial properties on permeable substrate using atomic layer deposition
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
US10279365B2 (en) 2012-04-27 2019-05-07 Progressive Surface, Inc. Thermal spray method integrating selected removal of particulates
US20130284203A1 (en) * 2012-04-27 2013-10-31 Progressive Surface, Inc. Plasma spray apparatus integrating water cleaning
KR20140008751A (ko) * 2012-07-11 2014-01-22 김원구 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
WO2014204078A1 (ko) * 2013-06-17 2014-12-24 주식회사 우리정도 관형 필터트랩을 갖는 고속 증착용 상온 화학증착장치 및 그 원격제어시스템과 그 증착방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10214817B2 (en) 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (ko) * 2014-03-21 2015-10-02 삼성전자주식회사 기체 차단 필름, 이를 포함하는 냉장고 및 기체 차단 필름의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9190266B1 (en) 2014-08-27 2015-11-17 The Regents Of The University Of California High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9646876B2 (en) * 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7165529B2 (ja) * 2018-07-27 2022-11-04 大陽日酸株式会社 フランジ締結構造及びこれを用いた気相成長装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023075832A (ja) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 窒化膜の成膜方法及びプラズマ処理装置
DE102022108150B3 (de) 2022-04-05 2023-04-27 Technische Universität Ilmenau Verfahren und Reaktorkonfiguration zur Herstellung von Oxid- oder Oxinitridschichten

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
EP0442490A1 (en) * 1990-02-14 1991-08-21 Sumitomo Electric Industries, Ltd. Method for producing single crystal boron nitride film

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US612275A (en) * 1898-10-11 Brick-machine
US499357A (en) * 1893-06-13 Elevator-gate-operating device
US526779A (en) * 1894-10-02 gould
US3422321A (en) * 1966-06-20 1969-01-14 Sperry Rand Corp Oxygenated silicon nitride semiconductor devices and silane method for making same
US3660179A (en) 1970-08-17 1972-05-02 Westinghouse Electric Corp Gaseous diffusion technique
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4985313A (en) * 1985-01-14 1991-01-15 Raychem Limited Wire and cable
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US4604304A (en) * 1985-07-03 1986-08-05 Rca Corporation Process of producing thick layers of silicon dioxide
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JPS62179717A (ja) * 1986-02-03 1987-08-06 Nippon Telegr & Teleph Corp <Ntt> 薄膜の形成方法
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JP2789587B2 (ja) * 1988-01-08 1998-08-20 日本電気株式会社 絶縁薄膜の製造方法
US4900591A (en) * 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JPH01204434A (ja) * 1988-02-09 1989-08-17 Nec Corp 絶縁薄膜の製造方法
US5060595A (en) 1988-04-12 1991-10-29 Ziv Alan R Via filling by selective laser chemical vapor deposition
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US4882008A (en) * 1988-07-08 1989-11-21 Texas Instruments Incorporated Dry development of photoresist
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2726118B2 (ja) * 1989-09-26 1998-03-11 キヤノン株式会社 堆積膜形成法
JPH03119721A (ja) * 1989-09-30 1991-05-22 Toshiba Corp 結晶成長方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5146465A (en) 1991-02-01 1992-09-08 Apa Optics, Inc. Aluminum gallium nitride laser
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5567956A (en) * 1991-03-27 1996-10-22 Canon Kabushiki Kaisha Information processing apparatus including a photoelectric conversion element having a semiconductor layer with a varying energy band gap width
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP2828152B2 (ja) 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US6064077A (en) * 1991-08-30 2000-05-16 Stmicroelectronics, Inc. Integrated circuit transistor
JPH0562913A (ja) * 1991-09-04 1993-03-12 Canon Inc 堆積膜の成膜方法
JPH0574713A (ja) * 1991-09-17 1993-03-26 Nippondenso Co Ltd 非晶質半導体薄膜の製造方法
US5291066A (en) 1991-11-14 1994-03-01 General Electric Company Moisture-proof electrical circuit high density interconnect module and method for making same
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
JPH05299412A (ja) 1992-04-23 1993-11-12 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
AU4378893A (en) 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
MX9303141A (es) * 1992-05-28 1994-04-29 Polar Materials Inc Metodos y aparatos para depositar recubrimientos de barrera.
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5459108A (en) * 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone
JP3080809B2 (ja) 1993-04-30 2000-08-28 シャープ株式会社 半導体装置の製造方法
JP3137767B2 (ja) * 1992-10-20 2001-02-26 富士通株式会社 半導体装置の製造方法
JPH06272047A (ja) * 1993-03-16 1994-09-27 Mitsubishi Cable Ind Ltd 被覆粉体の製造方法及びその装置
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH06333853A (ja) * 1993-05-19 1994-12-02 Nissin Electric Co Ltd 薄膜形成方法
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5443847A (en) * 1993-07-15 1995-08-22 West; Philip W. Specific detoxification of urushiol with manganese salts
FI92897C (fi) * 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
JPH0758100A (ja) 1993-08-10 1995-03-03 Kawasaki Steel Corp 半導体装置の製造方法
JP3618110B2 (ja) 1993-08-30 2005-02-09 株式会社デンソー エレクトロルミネッセンス素子の製法
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
JPH07252657A (ja) * 1994-03-16 1995-10-03 Mitsubishi Heavy Ind Ltd 成膜方法
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5531183A (en) * 1994-07-13 1996-07-02 Applied Materials, Inc. Vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JP3428767B2 (ja) * 1995-03-10 2003-07-22 キヤノン株式会社 多結晶Si薄膜の堆積法
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JPH0978244A (ja) * 1995-09-07 1997-03-25 Canon Inc プラズマcvd方法
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
JPH09260373A (ja) * 1996-03-26 1997-10-03 Nippon Steel Corp 半導体装置の製造方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6133977A (en) 1997-10-21 2000-10-17 Samsung Electronics Co., Ltd. Liquid crystal displays having common electrode overlap with one or more data lines
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
JP4149051B2 (ja) * 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
JP2000228400A (ja) * 1999-02-08 2000-08-15 Telecommunication Advancement Organization Of Japan 半導体素子製造方法および処理装置
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP4684461B2 (ja) 2000-04-28 2011-05-18 パナソニック株式会社 磁性素子の製造方法
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
SG90269A1 (en) * 2000-11-13 2002-07-23 Applied Materials Inc Atomic layer deposition of ta2o5 and high-k dielectrics
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
EP0442490A1 (en) * 1990-02-14 1991-08-21 Sumitomo Electric Industries, Ltd. Method for producing single crystal boron nitride film

Also Published As

Publication number Publication date
JP2002541332A (ja) 2002-12-03
US20040076751A1 (en) 2004-04-22
US20080280039A1 (en) 2008-11-13
US7682657B2 (en) 2010-03-23
US6616986B2 (en) 2003-09-09
KR100818792B1 (ko) 2008-04-02
DE60012733T2 (de) 2005-08-04
US6652924B2 (en) 2003-11-25
EP1183406B1 (en) 2004-08-04
JP2011184799A (ja) 2011-09-22
US8323737B2 (en) 2012-12-04
KR20010110746A (ko) 2001-12-13
KR20070110451A (ko) 2007-11-16
JP4804628B2 (ja) 2011-11-02
KR20070086779A (ko) 2007-08-27
JP5554742B2 (ja) 2014-07-23
KR20090043593A (ko) 2009-05-06
US20080066680A1 (en) 2008-03-20
US20010028924A1 (en) 2001-10-11
EP1462542A1 (en) 2004-09-29
US7410671B2 (en) 2008-08-12
KR100771257B1 (ko) 2007-10-29
US20020031618A1 (en) 2002-03-14
KR100966088B1 (ko) 2010-07-01
US6342277B1 (en) 2002-01-29
DE60012733D1 (de) 2004-09-09
WO2000061833A1 (en) 2000-10-19
EP1183406A1 (en) 2002-03-06
KR20080025768A (ko) 2008-03-21
US20040083949A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
KR100853008B1 (ko) 연속 화학 증착
US5916365A (en) Sequential chemical vapor deposition
Ritala et al. Perfectly conformal TiN and Al2O3 films deposited by atomic layer deposition
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
US7838084B2 (en) Atomic layer deposition method of depositing an oxide on a substrate
TWI394858B (zh) 用於沉積具有降低電阻率及改良表面形態之鎢膜的方法
US20120258257A1 (en) Nanolayer deposition process
WO2021132163A1 (ja) 成膜方法及び成膜装置
US7399357B2 (en) Atomic layer deposition using multilayers
KR20020037293A (ko) Ta2O5 및 높은-k 유전체 원자층 증착법
TWI727660B (zh) 氮化矽之選擇性沉積
TW202124760A (zh) 成膜方法
CN113454763A (zh) 使用牺牲性掩模的选择性蚀刻
TWI833804B (zh) 含鋁膜的間隙填充

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130723

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140722

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170719

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180718

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190718

Year of fee payment: 12