TWI379347B - Methods of forming carbon-containing silicon epitaxial layers - Google Patents

Methods of forming carbon-containing silicon epitaxial layers Download PDF

Info

Publication number
TWI379347B
TWI379347B TW096128085A TW96128085A TWI379347B TW I379347 B TWI379347 B TW I379347B TW 096128085 A TW096128085 A TW 096128085A TW 96128085 A TW96128085 A TW 96128085A TW I379347 B TWI379347 B TW I379347B
Authority
TW
Taiwan
Prior art keywords
layer
carbon
epitaxial layer
epitaxial
stack
Prior art date
Application number
TW096128085A
Other languages
Chinese (zh)
Other versions
TW200818274A (en
Inventor
Yihwan Kim
Zhiyuan Ye
Ali Zojaji
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200818274A publication Critical patent/TW200818274A/en
Application granted granted Critical
Publication of TWI379347B publication Critical patent/TWI379347B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type

Description

1379347 九、發明說明: 【發明所屬之技術領域】 本發明係關於半導體元件之製程,更具體地,係關於 形成含碳磊晶矽層的方法。 【先前技術】1379347 IX. Description of the Invention: TECHNICAL FIELD OF THE INVENTION The present invention relates to a process for semiconductor devices, and more particularly to a method of forming a carbon-containing epitaxial layer. [Prior Art]

隨著小型電晶體的生產,超淺源/汲極接面的製造變得 更具挑戰性。一般而言,次100奈米(sub-100 nm)的互補 性金屬氧化物半導體(Complementary Metal-Oxide Semiconductor ; CMOS)元件,所要求的接面深度需小於 3 Onm。含矽材料(例如矽、矽鍺或碳化矽)之磊晶層,常利 用選擇性的磊晶沉積(selective epitaxial deposition),形成 於接面中。一般而言’選擇性磊晶沉積能夠讓磊晶長在矽 溝(silicon moats)上,而非長在介電區上。選擇性磊晶可用 於半導體元件’例如提高源/没極、源/汲極延展、接觸插 塞或雙極性元件的基層沉積。With the production of small transistors, the fabrication of ultra-shallow source/drain junctions has become more challenging. In general, a sub-100 nm Complementary Metal-Oxide Semiconductor (CMOS) component requires a junction depth of less than 3 Onm. Epitaxial layers of germanium-containing materials, such as tantalum, niobium or tantalum carbide, are often formed in the joint by selective epitaxial deposition. In general, selective epitaxial deposition allows the epitaxial growth to be on the silicon moats rather than on the dielectric regions. Selective epitaxy can be used for semiconductor components such as source/drain, source/dip extension, contact plug or base layer deposition of bipolar components.

-一般而言,選擇性磊晶製程牵涉到沉積反應與钱刻反 應。沉積反應與钱刻反應係同時發生,但對於蟲晶層與多 晶質層則具有不同的反應速率。於沉積的過程中,遙晶層 係形成於一單晶梦層表面,而多晶質層則沉積於至少第二 層上’例如多晶質層及/或非晶質層。然而,所沉積的多晶 質層其蝕刻速率通常較磊晶層快。因此,藉由改變蝕刻氣 趙的濃度’淨選擇製程的結果為磊晶材料的沉積,同時限 制了或並無多晶質材料的沉積。舉例而言,選擇性磊晶製 5 1379347 程會在單晶矽表面上形 上無任何沉積》 成•含秒材料之磊晶層 而於間隙壁 在形成提同源/及極與源/汲極延展之特徵時例如在 形成含梦之金氧半場效電晶體 (Metal-Oxide-Semiconductor Field-Effect Transistor , M〇SFET)元件時,含矽材料之選擇性遙晶沉積技術具有相 當助益。源/淡極延展的製造方式,係先蝕刻梦表面以製造 出嵌壁式的源/汲極,再利用選擇性成長的磊晶層,例如矽 鍺材料,填入蝕刻後的表面。選擇性磊晶能以内摻雜 (in-situ doping)近乎完全的摻雜活化(d〇pant activati〇n), 進而省略後續的回火製程。目此,可藉由矽蝕刻與選擇性 磊晶準確地定義出接面深度。另—方面,超淺源/汲極無可 避免地會導致串聯電阻的增加。此外,在形成矽化物過程 中的接面消耗(junction consumption),會進一步地提高串 聯電阻。為了彌補接面消耗,於接面上磊晶地且選擇性地 成長提高的源/及極。一般而言,提高的源/汲極層為未摻 雜矽-0 .一 k _ ·. 然而’現有選擇性磊晶製程具有某些缺點。為了在現 7的遙晶製程中維持選擇性,因此前驅物的化學濃度以及 反應溫度必須在沉積過程中全程控管與調整。若未提供足 夠的守前驅物,钱刻反應則會居於主要,並延滞整個製程。 此外’亦可能產生對基材有害的過度蝕刻。若未提供足夠 的蝕刻前驅物,沉積反應則會居於主要,降低在基材表面 形成單晶矽與多晶質材料的選擇性。另外,現今選擇性磊 6 1379347- In general, selective epitaxial processes involve deposition reactions and money-reactions. The deposition reaction occurs simultaneously with the engraved reaction, but has a different reaction rate for the worm layer and the polycrystalline layer. During the deposition process, the telecrystalline layer is formed on the surface of a single crystal dream layer, and the polycrystalline layer is deposited on at least the second layer 'e.g., a polycrystalline layer and/or an amorphous layer. However, the deposited polycrystalline layer typically has a faster etch rate than the epitaxial layer. Therefore, the result of the net selection process by changing the concentration of the etching gas is the deposition of the epitaxial material while limiting or not depositing the polycrystalline material. For example, the selective epitaxial process 5 1379347 will be formed on the surface of the single crystal germanium without any deposition. The epitaxial layer containing the second material is formed in the spacer and the source and source are The characteristics of the extremely extended, for example, in the formation of a Metal-Oxide-Semiconductor Field-Effect Transistor (M〇SFET) component, the selective crystal deposition technique of the germanium-containing material is quite helpful. The source/light pole extension is fabricated by first etching the dream surface to create a recessed source/drain, and then filling the etched surface with a selectively grown epitaxial layer, such as a tantalum material. Selective epitaxy can be activated by in-situ doping near-complete doping (d〇pant activati〇n), thereby omitting subsequent tempering processes. For this reason, the junction depth can be accurately defined by erbium etching and selective epitaxy. On the other hand, ultra-shallow source/bungee inevitably leads to an increase in series resistance. In addition, the junction consumption during the formation of the telluride further increases the series resistance. In order to compensate for the joint consumption, the source/pole is raised and selectively grown on the junction. In general, the increased source/drain layer is undoped 矽-0. a k _ ·. However, the existing selective epitaxial process has certain disadvantages. In order to maintain selectivity in the current 7 remote crystal process, the chemical concentration of the precursor and the reaction temperature must be controlled and adjusted throughout the deposition process. If a sufficient pre-existing drive is not provided, the money response will be dominant and the entire process will be delayed. In addition, excessive etching that is harmful to the substrate may also occur. If sufficient etching precursor is not provided, the deposition reaction will be dominant, reducing the selectivity of forming single crystal germanium and polycrystalline material on the surface of the substrate. In addition, nowadays selective Lei 6 1379347

晶製程需以高反應溫度進行,例如8〇〇°c、 但由於熱預算(thermal budget)的考量,立 有難以控制的氮化反應,在製成過程中, 不利的。另外,部份蟲晶膜及/或製程在形 陷的傾向,例如於膜中產生坑洞或表面粗 因此,仍待開發需一種可選擇性且蟲 化合物的製程。此外,在快速沉積速率且 例如約800°C或更低時,此製程需能與各 含矽化合物。最後,此製程應產生低缺陷< 如較少的坑洞、斷層、粗糙、點缺陷等)。 【發明内容】 於本發明之第一態樣中,提供一種於 層堆疊之方法。此方法包含:(1)選擇該磊 的碳濃度;(2)於該基材上形成一含碳矽層 的該標的碳濃度’選擇該含碳矽層所具 度、一厚度以及一沉積時間之、至少一者. 前,在該含碳夕層上形成一非含破梦層。 於本發明之第二態樣中,提供一種形 方法。此方法包含:(1)選擇該磊晶層堆 度;(2)藉由交替沉積含碳矽層與非含碳碎 層堆疊。依據該含碳矽層之一總厚度、一 一沉積時間之至少一者’達到該標的碳濃 於本發明之第二樣態中,提供一種用 1000°c或更高。 於基材表面可能 此1¾溫反應乃是 態上則有產生缺 隨。 晶沉積矽與含矽 製程溫度維持於 種元素濃度形成 勺膜或膜堆疊(例The crystallizing process is carried out at a high reaction temperature, for example, 8 〇〇 ° C, but due to thermal budget considerations, an uncontrolled nitridation reaction is unfavorable during the fabrication process. In addition, some insect crystal films and/or processes tend to be trapped, such as pits or surface roughness in the film. Therefore, a process requiring selective and insect compounds is still to be developed. Further, at a rapid deposition rate and, for example, about 800 ° C or lower, the process needs to be compatible with each of the ruthenium-containing compounds. Finally, this process should produce low defects <such as fewer pits, faults, roughness, point defects, etc.). SUMMARY OF THE INVENTION In a first aspect of the invention, a method of layer stacking is provided. The method comprises: (1) selecting a carbon concentration of the arsenic; (2) forming the target carbon concentration of the carbon-containing ruthenium layer on the substrate; selecting a degree, a thickness, and a deposition time of the carbon-containing ruthenium layer At least one of the front layers is formed on the carbon-bearing layer. In a second aspect of the invention, a method of forming is provided. The method comprises: (1) selecting the epitaxial layer stack; and (2) stacking the carbon-containing tantalum layer and the non-carbonaceous layer by alternately depositing. Depending on the total thickness of one of the carbon-containing tantalum layers, at least one of the deposition times, the target carbon is concentrated in the second aspect of the invention to provide a use of 1000 ° C or higher. This 13⁄4 temperature response may be absent on the surface of the substrate. Crystal deposition 矽 and 矽 containing process temperature is maintained at the concentration of species to form a scoop film or film stack (eg

基材上形成磊晶 晶層堆疊之一標 ,並依據所選擇 有的一初始碳濃 :以及(3)於蝕刻 成轰晶層堆疊之 疊之一標的碳濃 ’層,形成該磊晶 初始碳濃度以及 度》 以控制形成於基 7 1379347 材上之磊晶層堆疊中的碳濃度之方法。此方法包含:(1) 決定該磊晶層堆疊之所需的碳濃度;(2)形成該磊晶層堆 疊,藉由(a)於該基材上形成一含碳磊晶層;以及(b)於該含 碳磊晶層上形成一非含碳覆蓋層。依據該磊晶層堆疊之該 所需的碳濃度’選擇該含碳磊晶層之一厚度。亦提供多種 其他態樣》 依據下述之實施方式、申請專利範圍與所附圖示,可 使本發明其他特徵與態樣更為清楚。 【實施方式】 在以介電質膜圖案化的矽基材上,選擇性磊晶成長的 過程僅於暴露的矽表面上形成(例如而非於介電質表面) 單晶半導體。選擇性蟲晶成長的過程可包含同時進行的蝕 刻-/儿積製程,亦或氣體交替供應製程。在同時進行的蝕刻 /儿積製程中,蝕刻劑與沉積物兩者乃同時流動。據此,在 形成磊晶層的過程中,沉積與蝕刻為同時發生。 相反地’於附上的美國專利申請案中(申請案號 U/〇01’774 ’申凊日2004年12月1日,代理人案號9618), 則描述了以氣體交替供應吕“ supply,AGS)於 基材上形成磊晶層的製程ags製程中,則是先於基材 上進行磊明,儿積製帛,然後在於基材上進行蝕刻製程。此 種磊明 >儿積製程續以蝕刻製程的循環則不斷重冑,直至形 成所需的磊晶層厚度為止。 ’儿積過程可包含將基材表面暴露在含有至少一矽源與Forming an epitaxial layer stack on the substrate, and forming an initial epitaxial layer according to an initial carbon concentration selected and (3) etching a carbon-concentrated layer of a stack of the crystallized layer stack Carbon concentration and degree" is a method of controlling the carbon concentration in the epitaxial layer stack formed on the base 7 1379347. The method comprises: (1) determining a desired carbon concentration of the epitaxial layer stack; (2) forming the epitaxial layer stack by (a) forming a carbon-containing epitaxial layer on the substrate; b) forming a non-carbonaceous coating layer on the carbon-containing epitaxial layer. A thickness of one of the carbon-containing epitaxial layers is selected in accordance with the desired carbon concentration of the epitaxial layer stack. A variety of other aspects are also provided. Other features and aspects of the present invention will become more apparent from the embodiments described herein, the appended claims. [Embodiment] On a tantalum substrate patterned with a dielectric film, the process of selective epitaxial growth is performed only on the exposed tantalum surface (e.g., not on the dielectric surface) of the single crystal semiconductor. The process of selective worm growth can include simultaneous etching-/child process or gas alternate supply process. In the simultaneous etching/division process, both the etchant and the deposit flow simultaneously. Accordingly, deposition and etching occur simultaneously in the process of forming the epitaxial layer. Conversely, in the attached U.S. Patent Application (Application No. U/〇01'774 'Application Date December 1, 2004, Agent Case No. 9618), it is described that the gas is supplied alternately. , AGS) in the process of forming an epitaxial layer on the substrate in the process ags, the substrate is first polished, the enthalpy is formed, and then the etching process is performed on the substrate. The cycle of the etching process continues to be repeated until the desired thickness of the epitaxial layer is formed. The process of entanglement may include exposing the surface of the substrate to at least one source and

< S 8 1379347 載流氣體之沉積氣體中。沉積氣體亦可包含鍺源及/或碳 源’抑或是慘雜源。常見的摻雜物可包含砷、硼、磷、銻、 鎵、鋁以及其他元素。< S 8 1379347 In the deposition gas of the carrier gas. The deposition gas may also contain a source of germanium and/or carbon or a source of miscellaneous impurities. Common dopants can include arsenic, boron, phosphorus, antimony, gallium, aluminum, and other elements.

在沉積過程中’當多晶質層形成於第二層表面上時, 例如非晶質及/或多晶質表面,磊晶層係形成於基材的單晶 質表面。接著’將基材暴露在蝕刻氣體中。此蝕刻氣體包 含一載流氣趙與一钱刻劑。蝕刻氣體移除在沉積過程中沉 積的含梦材料。在蝕刻過程中,多晶質層的移除速率則快 過蟲晶層。因此’沉積與蝕刻製程的淨結果會造成在單晶 質表面上形成轰晶成長含矽材料,而在第二表面上的多晶 質含梦材-料’若有成長的話則可降到最低。用來沉積含.梦, 材料之示例包含妙、梦錯(silic〇n gerrnanium)、碳化破 (silicon carbon)、梦錯碳germanium carbon)' 其各 式摻雜物與類似者。During the deposition process, when a polycrystalline layer is formed on the surface of the second layer, such as an amorphous and/or polycrystalline surface, an epitaxial layer is formed on the surface of the single crystal of the substrate. The substrate is then exposed to an etching gas. The etching gas contains a carrier gas and a money engraving agent. The etching gas removes the dream material that is deposited during the deposition process. During the etching process, the polycrystalline layer is removed faster than the insect layer. Therefore, the net result of the deposition and etching process will result in the formation of a swelled growth-containing yttrium material on the surface of the single crystal, while the polycrystalline ambiguous material on the second surface will be minimized if grown. . For the deposition of dreams, examples of materials include silic〇n gerrnanium, silicon carbon, germanium carbon's various dopants and the like.

習知之碳蟲晶膜的形成過程乃利用氫氣、氣化氫與矽 源’例如二氣矽烷(dichi〇roSiiane),在基材溫度高於約7〇〇 ec下反應(例如解離氣化氫及/或矽源)。―為了降低磊晶…膜的 形成溫度’可採用氣氣取代氯化氫(氣化氫),這是由於氣 氣在較低溫度下(例如約600 °C或以下)可更有效地解離。 由於氫氣與氣氣不相容,因此可採用除了氫氣以外的載流 氣體以與氣氣一同使用,例如氮氣。同樣地,亦可使用具 有較低解離溫度的矽源(例如矽烷(silane ’ SiH4)、二梦乙 烷(disilane,Si2H6)等)。 使用氣氣作為矽磊晶膜形成過程的蝕刻氣體,可能會 9 347 導致較差的硬蟲晶膜表面形態。儘管不希望受限於任何特 定的理論,但氯氣被認為會激烈地侵害矽磊晶膜表面,造 成坑洞或類似者。且已發現當矽磊晶膜含有碳時,使用氣 氣會造成特定的問題。 本發明係提供一種在矽磊晶膜形成過程中,使用氯氣 作為钱刻氣體之方法,以改善磊晶膜表面形態。舉例而言, 本發明可與美國專利申請號n/〇〇 1 774(申請曰2〇〇4年12 月1日’代理人索號9618)中,所述的氣體交替供應製程 一併使用。 於部份實施例中,在一蝕刻相中’在暴露於氣氣之前, 可先將含碳梦遙晶膜埋覆(encapSulate.d)。舉例而.言一r.可-藉 由不以碳源所形成的矽磊晶膜(即,不含碳矽磊晶膜),來 埋覆含碳矽磊晶膜。 依據一實施例所示,於下文中將描述本發明之含碳矽 蟲晶層堆疊的形成以及所採用的AGS製程,請一併參照第 1 A-1D圖。參照第i a圖,其繪示基材100的剖面圖,其 中一種磊晶層--1 0 2 (例如=,矽磊晶層)係形成於基材1 〇 〇上。 於部份實施例中,可將種磊晶層i 〇2移除。 為了形成種磊晶層102,可將基材100置於一處理室 中’並加熱基材及/或製程溫度◎雖然亦可使用其他磊晶膜 處理室及/或系統’但示例中的磊晶膜處理室可由位於加州The formation process of the conventional carbon worm crystal film is carried out by using hydrogen, vaporized hydrogen and a hydrazine source such as diki〇roSiiane at a substrate temperature higher than about 7 〇〇ec (for example, dissociating hydrogen sulfide and / or source). ―In order to reduce the epitaxial film formation temperature, gas can be used instead of hydrogen chloride (hydrogenated hydrogen) because the gas can be more effectively dissociated at a lower temperature (for example, about 600 ° C or below). Since hydrogen is incompatible with gas, a carrier gas other than hydrogen can be used for use with the gas, such as nitrogen. Similarly, a helium source having a lower dissociation temperature (e.g., silane 'SiH4), disilane (Si2H6), or the like can also be used. The use of gas as the etching gas for the ruthenium epitaxial film formation process may result in a poor surface morphology of the hard worm film. Although not wishing to be bound by any particular theory, chlorine is believed to violently attack the surface of the ruthenium film, causing potholes or the like. It has been found that the use of air gas poses a particular problem when the germanium epitaxial film contains carbon. The present invention provides a method for using chlorine gas as a gas engraving gas during the formation of a germanium epitaxial film to improve the surface morphology of the epitaxial film. For example, the present invention can be used in conjunction with the gas alternate supply process described in U.S. Patent Application Serial No. 1/774, filed on Dec. 1, 2004. In some embodiments, the carbon-containing dream crystal film is first encapsulated (encapSulate.d) before being exposed to the gas in an etched phase. For example, a rhodium-based epitaxial film formed by a carbon source (ie, without a carbon germanium epitaxial film) may be used to embed a carbon-containing germanium epitaxial film. According to an embodiment, the formation of the carbonaceous ruthenium crystal layer stack of the present invention and the AGS process employed will be described hereinafter. Please refer to Fig. 1A-1D together. Referring to Fig. aa, there is shown a cross-sectional view of a substrate 100 in which an epitaxial layer -1 0 2 (e.g., 矽, 矽 epitaxial layer) is formed on a substrate 1 〇 . In some embodiments, the seed epitaxial layer i 〇 2 can be removed. To form the epitaxial layer 102, the substrate 100 can be placed in a processing chamber and the substrate and/or process temperature can be heated. ◎Although other epitaxial film processing chambers and/or systems can be used, but in the example Crystal film processing room can be located in California

Santa Clara 的 Applied Materials, Inc.所提供的 Epi Centura® system 與 Poly Gen® system 獲得。於至少一實施 例中’可採用低於約700。(:的基材及/或製程溫度,以改善 10 1379347 處理至内所形成的矽磊晶層中的碳含量。於一特定實施例 中,可使用介於約5 50_65(rc間的基材及/或製程溫度範 圍,然而,於另-實施例+,可使用低於約6〇〇<t的基材 及/或製程溫度β亦可使用其他基材及/或製程溫度,包含 高於700C的基材及/或製程溫度。 在取得所需基材及/或製程溫度後,基材1〇〇則暴露在 至少一矽源(無碳源)下,以便形成種磊晶層i 〇2。舉例而 °基材1〇0可暴露於矽源(例如矽烷或二矽乙炫·)以及栽 流氣體(例如氮氣)P亦可使用一摻雜源,例如磷或硕、 鍺源或其類似者(其他任何合適的源及/或氣體亦同)。在磊 晶膜形成的過程中,磊晶層102可形成在基材Ύ00-.之·任一 單晶質表面上,而多晶質層可形成在基材100上的任一多 晶質層及/或非晶質層上(如前述)。 舉例而言,可藉由流入矽烷流速約50_150 sccm的矽 源(或流速約10-40sccm的二矽乙烷)形成種磊晶層1〇2, 以及流速約20-25 slm的氮氣載流氣體(儘管可使用其他較 大或較小流速的矽源〜及/或栽流氣體)…可依所需流入氣化 氫。 於至少一實施例中,雖然亦可採用其他厚度種磊晶 層1〇2所具有的厚度可約為2_1〇〇Αβ舉例而言,沉積時= 可約為1秒至1 00秒,而於另_或更多實施例+,則約採 用5秒。 在形成種磊晶層102之後(若有採用的話),則將基材 100暴露在至少一矽源以及一碳源甲,以於基材1〇〇的種 11 1379347 磊晶層102上方形成一含碳矽磊晶層丨〇4(第^圖)。舉例 而言,基材100可暴露於矽源(例如矽烷或二矽乙烷),一 瑞源(例如曱烧),以及一載流氣體(例如氮氣)下。亦可使 用一摻雜源,例如磷或硼、鍺源或其類似者(其他任何合適 的源及/或氣體亦同)。在磊晶膜形成的過程中,可在基材 100之任一單晶質表面上形成含碳磊晶層,而在基材1〇〇 上的任一多晶質層及/或非晶質層上(如前述)可形成多晶 質層。 於至少一實施例中,甲烷流速約i _5 sccm的碳源可與 砂燒流速約50-1 50 seem的矽源(或流速約10_40sccm的 二矽乙烷),以及流速約20-25 slm的氮氣載-流氣-體一併使· 用(儘管可使用其他較大或較小流速的矽溽及/或載流氣 趙)。可依所需流入氯化氫。 於至少一實施例中,雖然亦可採用其他厚度,含碳蟲 晶層104所具有的厚度約為2A-100A。例如,沉積時間可 約為1秒至5 0秒’而於另一或更多實施例中,則約採用 10秒〇〜… J - « -- - ·.- .--. — ..,__u- 在形成含碳磊晶層1 ο 4之後,則將基材1 0 〇暴露在至 少一梦源中(而無碳源),以於基材1 00上的含碳矽磊晶層 104上方形成一第二矽磊晶層1〇6(如第1C圖中所示之覆蓋 層)。舉例而言,基材丨00可暴露於矽源(例如矽烷或二矽 乙炫),以及一载流氣體(例如氮氣)中。亦可使用一摻雜 源’例如碟或硼、鍺源或其類似者(其他任何合適的源及/ 或氣體亦同)。含碳矽磊晶層104上所覆蓋的第二矽磊晶層 < £ ) 12 1379347 106’可減少氣氣與含碳矽磊晶層104中的碳(及/或氫氣) 間的作用。可依所需如前述流入氯化氫。 舉例而言’第二矽磊晶層106可藉由流入矽烷流速約 50- 1 50 seem的矽源形成(或流速約10-40 sccin的二矽乙 烷),以及流速約20-25 slm的氮氣載流氣體(儘管可使用其 他較大或較小流速的矽源及/或載流氣體)。可依所需流入 氯化氫。Ac Epiura® system and Poly Gen® system from Applied Materials, Inc. of Santa Clara. In at least one embodiment, less than about 700 can be employed. (: substrate and/or process temperature to improve the carbon content of the tantalum epitaxial layer formed by treatment within 10 1379347. In a particular embodiment, a substrate between about 5 50_65 (rc) may be used. And/or process temperature range, however, in another embodiment +, a substrate of less than about 6 Å < t can be used and/or process temperature β can be used with other substrates and/or process temperatures, including high Substrate and/or process temperature at 700 C. After obtaining the desired substrate and/or process temperature, the substrate 1 is exposed to at least one source (no carbon source) to form a seed epitaxial layer i 〇 2. For example, the substrate 1 〇 0 can be exposed to a lanthanum source (such as decane or dioxane) and a planting gas (such as nitrogen) P can also use a doping source, such as phosphorus or master, source Or the like (other suitable sources and/or gases are also the same). During the formation of the epitaxial film, the epitaxial layer 102 can be formed on any single crystal surface of the substrate Ύ00-. The polycrystalline layer can be formed on any of the polycrystalline layers and/or amorphous layers on the substrate 100 (as described above). For example, by flow A helium source (or dioxane having a flow rate of about 10-40 sccm) having a decane flow rate of about 50-150 sccm forms a seed epitaxial layer 1〇2, and a nitrogen-carrying gas having a flow rate of about 20-25 slm (although other larger or The flow rate of the smaller flow rate of the helium source and/or the planting gas can be increased as needed. In at least one embodiment, the thickness of the epitaxial layer 1 〇 2 of other thicknesses can be used. For example, for 2_1 〇〇Αβ, the deposition time may be about 1 second to 100 seconds, and in another _ or more embodiment +, about 5 seconds is used. After forming the seed epitaxial layer 102 (if any) If so, the substrate 100 is exposed to at least one source of germanium and a source of carbon to form a carbon-containing germanium epitaxial layer 丨〇4 over the seed layer 11 1379347 epitaxial layer 102. For example, the substrate 100 can be exposed to a source of germanium (such as decane or dioxane), a source of sulphur (such as simmer), and a carrier gas (such as nitrogen). Doping source, such as phosphorus or boron, germanium source or the like (other suitable sources and/or gases are also the same). Formation in epitaxial film During the process, a carbon-containing epitaxial layer may be formed on any single crystal surface of the substrate 100 on any of the polycrystalline layer and/or the amorphous layer on the substrate 1 (as described above). A polycrystalline layer can be formed. In at least one embodiment, a carbon source having a methane flow rate of about i_5 sccm can be used with a grate source having a flow rate of about 50-1 50 seem (or dioxane having a flow rate of about 10-40 sccm), and A nitrogen gas-flow-gas-body with a flow rate of about 20-25 slm can be used (although other larger or smaller flow rates of helium and/or carrier gas can be used). Hydrogen chloride can be supplied as needed. In the embodiment, although other thicknesses may be employed, the carbon-containing silicon layer 104 has a thickness of about 2A-100A. For example, the deposition time may be about 1 second to 50 seconds' and in another or more embodiments, about 10 seconds ...~... J - « -- - ·.- .--. — .., __u- After forming the carbon-containing epitaxial layer 1 ο 4, the substrate 10 〇 is exposed to at least one source of dreams (without a carbon source) to form the carbon-containing germanium epitaxial layer 104 on the substrate 100 A second tantalum epitaxial layer 1〇6 (such as the cover layer shown in FIG. 1C) is formed on the upper side. For example, substrate 丨00 can be exposed to a source of germanium (e.g., decane or bismuth), as well as a carrier gas (e.g., nitrogen). A dopant source such as a dish or boron, a germanium source or the like (other suitable sources and/or gases may also be used) may also be used. The second tantalum epitaxial layer < £ ) 12 1379347 106' covered on the carbon-containing germanium epitaxial layer 104 can reduce the interaction between the gas and the carbon (and/or hydrogen) in the carbon-containing germanium epitaxial layer 104. Hydrogen chloride can be introduced as required as described above. For example, the second epitaxial layer 106 can be formed by a helium source having a flow rate of about 50 to 50 seem to flow (or a dioxane having a flow rate of about 10 to 40 sccin), and a flow rate of about 20 to 25 slm. Nitrogen carrier gas (although other larger or smaller flow rates of helium and/or carrier gas may be used). Hydrogen chloride can be supplied as needed.

於至少一實施例中,雖然亦可使用其他厚度,第二發 磊晶層106所具有的厚度可約為2-100A。舉例而言,沉積 時間可約為1秒至1 〇 〇秒,而於另一或更多實施例中,則 約採…用5秒。 ….…· ,- 據此,可形成磊晶層堆疊1〇8,其中含碳磊晶層ι〇4 係包覆於非含碳磊晶層1 02、1 06之間(例如不以碳原形成 的蟲晶層)。In at least one embodiment, the second epitaxial layer 106 can have a thickness of about 2-100A, although other thicknesses can be used. For example, the deposition time can be from about 1 second to about 1 second, and in yet another embodiment, it takes about five seconds. . . . , - Accordingly, an epitaxial layer stack 1 〇 8 may be formed, wherein the carbon-containing epitaxial layer ι 4 is coated between the non-carbon-containing epitaxial layers 102 and 106 (eg, not carbon) Originally formed insect layer).

在形成第二矽磊晶層106之後,基材100則暴露在氣 氣友/或另一蝕刻劑中,以蝕刻至少第二矽磊晶層1 06及/ 或其他任何形成在基材1 〇〇上的膜(例如在多晶質上所形 成的多晶矽,及/或基材100上非晶質層,及/或在含碳矽 磊晶層1 04上所形成的單晶矽)。舉例而言,於至少一實施 例中,基材100係暴露於流速約30-50 seem的氣氣,以及 流速約20 slm的氮氣載流氣體中(雖然可使用其他較大或 較小流速的氣氣及/或載流氣體)。可依所需流入氯化氫。 於蝕刻後,可清潔所使用的處理室(例如以氮氣及/或 另一惰性氣體清潔約2 0秒,或其他合適的時間長),以從 13 1379347 室中移除氣氣及/或其他多餘的物質/副產物。 覆篕磊晶層1 06及/或種磊晶層1 02可防止蝕刻劑與含 碳蟲晶層104中的碳發生反應。據此,由於钱刻時位於下 方的含碳層並不會暴露在氣氣中,因此可採用氣氣作為# 刻劑。據此,含碳磊晶層104可具有平坦表面形態,而非 坑洞表面形態。 可持續重複沉積與蝕刻之過程,直至達到所需總蟲晶After forming the second tantalum epitaxial layer 106, the substrate 100 is exposed to the gas mask or another etchant to etch at least the second tantalum epitaxial layer 106 and/or any other formed on the substrate 1 A film on the crucible (for example, a polycrystalline germanium formed on a polycrystalline material, and/or an amorphous layer on the substrate 100, and/or a single crystal germanium formed on the carbon-containing germanium epitaxial layer 104). For example, in at least one embodiment, substrate 100 is exposed to a gas stream having a flow rate of about 30-50 seem, and a nitrogen carrier gas having a flow rate of about 20 slm (although other larger or smaller flow rates can be used) Air and/or carrier gas). Hydrogen chloride can be supplied as needed. After etching, the process chamber used (eg, purged with nitrogen and/or another inert gas for about 20 seconds, or other suitable length of time) may be cleaned to remove gas and/or other gases from the 13 1379347 chamber. Excess material/by-product. The overlying epitaxial layer 106 and/or the epitaxial layer 102 prevents the etchant from reacting with the carbon in the carbon-containing layer 104. Accordingly, since the carbonaceous layer located below the money is not exposed to the gas, the gas can be used as the #刻刻剂. Accordingly, the carbon-containing epitaxial layer 104 may have a flat surface morphology rather than a pit surface morphology. Continuously repeat the process of deposition and etching until the desired total insect crystals are reached

層堆疊厚度’如第1D圖所示。舉例而言,可重複非含碳 矽層沉積/含碳矽層沉積/非含碳矽層沉積/蝕刻之次序約 8〇次,以使總磊晶層堆疊厚度達到約6〇〇Λ^於其他實施 例中,可省略下方種磊晶層沉積之步I.’··因此所重複的形 成次序為含…沉積/非含碳,層沉積/钱刻以達到所 需的總磊晶層堆疊厚度。 儘管上述實施例舉出了特定的 町霄施方法’一般而言, 磊晶層堆疊(具有含碳磊晶層與非人 戸.3兔蠢晶層)之厚度範圍 約為1 0 Α到約2 0 0 0 A,敕值的抑,。The layer stack thickness is as shown in Fig. 1D. For example, the order of non-carbon-containing ruthenium deposition/carbon-containing ruthenium deposition/non-carbonaceous ruthenium deposition/etching can be repeated about 8 times so that the total epitaxial layer stack thickness reaches about 6 〇〇Λ^ In other embodiments, the step of depositing the underlying epitaxial layer may be omitted. I. The repetitive formation sequence is... deposition/non-carbon, layer deposition/money engraving to achieve the desired total epitaxial layer stacking. thickness. Although the above embodiment cites a specific method of applying the same method, in general, the epitaxial layer stack (having a carbon-containing epitaxial layer and a non-human 戸.3 rabbit stupid layer) has a thickness ranging from about 10 Α to about 2 0 0 0 A, the suppression of the value of 敕.

税性,力從100A到約為150〇a,更 佳地從約300A到約1 〇〇〇A。於 、特疋"實施例中,可採用 約600A的層堆疊厚度。 藉由控制⑴埋覆之含碳蟲晶層相對 料的膜厚度;及(2)含碳以層 ^ ^ ^ ^ 決定最後蟲晶層堆疊t的平均碳濃度’可控制及/或 實施例中,.儘管只在切舉“言,於部份 積,含碳磊晶層中的碳會快迷且形成的步驟中進行碳沉 蟲晶層、含碳層、覆蓋層)的深度擴勻散地。沿著堆昼層(例如種 < S ) 14 1379347Tax, from 100A to about 150〇a, preferably from about 300A to about 1〇〇〇A. In the embodiment, a layer stack thickness of about 600 Å can be employed. By controlling (1) the film thickness of the carbon-containing worm layer relative to the buried material; and (2) the carbon-containing layer ^ ^ ^ ^ determining the average carbon concentration of the final worm layer stack t can be controlled and / or in the embodiment , although only in the cutting "word, in the partial product, the carbon in the carbon-containing epitaxial layer will be fast and formed in the step of carbon sinking layer, carbon layer, covering layer" deep expansion Ground. Along the stacking layer (eg species < S ) 14 1379347

(as-deposited)的破含量可約為10 at%或更少,較佳少於約 5 at%,更佳約0.5 at%-約3 at%,例如2 at%。若裂缝碳並 未全部進入晶格的取代位置的話,利用回火(如下述)或是 在(後續)製程步驟中的自然擴散,可使磊晶層可包含至少 一部份碳。無論是位在堆疊中的裂缝或所取代的碳,磊晶 層堆疊中的總碳濃度包含所有的碳。高解析X光繞射(High resolution X-ray diffraction,XRD)可用來決定取代碳的濃 度與厚度。二次離子質譜儀(Secondary Ion Mass Spectroscopy,SIMS)可用來測定磊晶堆叠中的總碳濃度 (所取代的與裂缝中的)。取代碳濃度可等於或小於總碳濃 .度。合適的回火過程可包含尖峰自火(spike anneal),例如 快速熱處理系統(Rapid thermal process system,RTP),雷 射回火(laser annealing)或以大氣氣體(例如氧氣、氮氣、 氫氣、氬氣,氦氣或上述之任意組合)進行熱回火處理。於 部份實施例t,回火過程在溫度約8 0 01 -1 2 0 01下進行, 較佳約1 050°C-約ll〇〇°C。可在非含碳覆蓋層1〇6沉積後,The as-deposited content may be about 10 at% or less, preferably less than about 5 at%, more preferably about 0.5 at% to about 3 at%, such as 2 at%. If the cracked carbon does not all enter the lattice substitution site, the epitaxial layer may comprise at least a portion of the carbon by tempering (as described below) or by natural diffusion in a (subsequent) process step. Whether it is a crack in the stack or the carbon being replaced, the total carbon concentration in the epitaxial layer stack contains all of the carbon. High resolution X-ray diffraction (XRD) can be used to determine the concentration and thickness of carbon substitution. Secondary Ion Mass Spectroscopy (SIMS) can be used to determine the total carbon concentration (in the replaced and cracked) in the epitaxial stack. The substitution carbon concentration may be equal to or less than the total carbon concentration. Suitable tempering processes may include spike anneal, such as Rapid Thermal Process (RTP), laser annealing, or atmospheric gases (eg, oxygen, nitrogen, hydrogen, argon). , helium or any combination of the above) is subjected to thermal tempering treatment. In some embodiments t, the tempering process is carried out at a temperature of about 80 01 - 1 2 0 01 , preferably about 1 050 ° C to about 11 ° C. After deposition in the non-carbonaceous coating layer 1〇6,

或在其他'各製程步驟後(例如在整個膜堆疊沉精之後),進 行此回火過程。 第4圖之流程圖係繪示用以形成具有標的碳濃度之磊 晶層堆疊的示範方法400。請參照第4圖,在步驟401中, 將基材放入處理室中,並以低於或約為8〇〇。(:之溫度加 熱。於部份實施例中’在磊晶膜的形成過程中可採用較低 溫度範圍’例如低於75(TC、低於7〇〇t或低於65(TC。 於步驟402中,含碳磊晶層則形成於基材之上。可依 16 、/ 产 據磊晶層堆疊的標的碳濃度,選擇含碳磊晶層的初始碳濃 f、厚度及/或沉積時間。接S,在步驟403中,在含碳磊 曰層上則形成一非含碳磊晶層。於部份實施例中,非含碳 磊BB層具有足夠的厚度,以保護下方的含碳層免於後續蝕 刻β 於步驟404中,利用蝕刻劑(例如氣化氫及/或氣氣)對 基材進行蝕刻。如所述,非含碳磊晶層可保護下方的含碳 磊Ba層,免於被蝕刻氣體蝕刻。在蝕刻步驟後,亦可採取 一清潔步驟(未繪示),以移除處理室中任何蝕刻氣體及/或 其他多餘的氣體。 於步驟4〇5中,則是卸斷是否達到所需的磊晶層堆疊 厚度。若達到的話,則步驟4〇6為結束製程。否則,製程 則再返回到步驟402,以於基材上沉積額外的磊晶材料。 於另一實施例中,製程循環可包含(1)非含碳矽(Si)層 沉積步驟;(2)含碳矽(Si : C)層沉積步驟;(3)非含碳矽(si) 層沉積步驟;(4)蝕刻步驟;以及(5)清潔步驟。可重複數 次製程循環以達到總蟲晶層堆.疊厚度。於一特定實_施例 中,重複約80次的製程循環’可獲得磊晶材料約6〇〇a的 蟲晶層堆疊》於此實施例中,每次si或Si: c的沉積可產 生約5-30A的磊晶材料’而其中一部份則被後續的蝕刻步 驟蝕刻(例如約15-25A)。在重複約80次後,剩下的蟲晶 材料(例如在矽溝上)則約為600A(而在基材的介電區上則 少量或沒有沉積)。於另一實施例中,可採用約3〇·丨〇〇奈 米的磊晶層堆疊厚度範圍。 1379347 於部份實施例中,磊晶層堆疊及/或所沉積的含碳矽層 (as-deposited Si : C layer)中的取代碳濃度範圍約為 〇 .5-2.0 at%。當Si : C層夾在矽(Si)層中間時,整體堆疊 碳濃度則視Si層厚度與Si:C層厚度相較降低。依據製程 過程,取代碳濃度可等於或小於總碳濃度。This tempering process is performed after other 'process steps' (for example, after the entire film stack has been sunken). The flow chart of Figure 4 illustrates an exemplary method 400 for forming a stack of epitaxial layers having a target carbon concentration. Referring to Figure 4, in step 401, the substrate is placed in the processing chamber at a level of less than or about 8 Torr. (The temperature is heated. In some embodiments, 'a lower temperature range can be used during the formation of the epitaxial film', such as below 75 (TC, below 7 〇〇t or below 65 (TC). In 402, a carbon-containing epitaxial layer is formed on the substrate. The initial carbon concentration, thickness, and/or deposition time of the carbon-containing epitaxial layer may be selected according to the target carbon concentration of the epitaxial layer stack. In step S403, a non-carbon-containing epitaxial layer is formed on the carbon-containing layer, and in some embodiments, the non-carbon-containing layer BB layer has sufficient thickness to protect the underlying carbonaceous layer. The layer is exempt from subsequent etching. In step 404, the substrate is etched using an etchant such as hydrogen sulfide and/or gas. As described, the non-carbon-containing epitaxial layer protects the underlying carbon-containing Ba-layer. Except to be etched by the etching gas. After the etching step, a cleaning step (not shown) may also be taken to remove any etching gas and/or other excess gas in the processing chamber. In step 4〇5, Whether the unloading reaches the required thickness of the epitaxial layer stack. If it is reached, step 4〇6 is the end process. Then, the process returns to step 402 to deposit additional epitaxial material on the substrate. In another embodiment, the process cycle may include (1) a non-carbon-containing germanium (Si) layer deposition step; (2) Carbon germanium (Si: C) layer deposition step; (3) non-carbonaceous (si) layer deposition step; (4) etching step; and (5) cleaning step. Several process cycles can be repeated to achieve total insect crystal Layer stack. Stack thickness. In a specific embodiment, repeating about 80 process cycles 'a stack of insect crystal layers with an epitaxial material of about 6 〇〇a can be obtained." In this embodiment, each time si or Si : deposition of c can produce about 5-30 A of epitaxial material 'and part of it is etched by subsequent etching steps (eg, about 15-25 A). After about 80 repetitions, the remaining cryptic material (eg On the trenches, it is about 600 A (while there is little or no deposition on the dielectric region of the substrate). In another embodiment, an epitaxial layer stack thickness range of about 3 Å·丨〇〇 nanometer can be used. 1379347 In some embodiments, the epitaxial layer stack and/or the deposited carbon concentration in the deposited as-deposited Si: C layer The circumference is about 5.5-2.0 at%. When the Si:C layer is sandwiched between the 矽(Si) layers, the overall stack carbon concentration is reduced as the thickness of the Si layer is lower than the thickness of the Si:C layer. The carbon concentration may be equal to or less than the total carbon concentration.

示例的氣體流速範圍包含就二氣梦烧、梦坑 '二梦乙 烧或其他高級矽烷(high order silane)之矽源而言,流速約 5-500 seem’就早-甲基石夕甲炫^mono Methylsilane)的破源 而言,流速约1-30 sccm ’就氫氣或氮氣的載流氣體而言, 流速约3-30 slm。於蝕刻過程令,示例之氣化氫流速約為 20-1 〇〇〇 seem,而氣氣 k 速岛為 1 0-500 seem。The example gas flow rate range includes the flow rate of about 5-500 seem's for the source of the two gas dreams, the dream pit's two dreams, or other high order silanes. For the source of ^mono Methylsilane, the flow rate is about 1-30 sccm. For hydrogen or nitrogen carrier gas, the flow rate is about 3-30 slm. In the etching process, the example gasification hydrogen flow rate is about 20-1 〇〇〇 seem, and the gas k-speed island is 1 0-500 seem.

於一特定之實施例中’在每一蝕刻製程步驟中(除了清 潔步踢外),可以約相同的流速(例如以約3 0 0 s c c m流速或 另一合適的流速)流入氯化虱’而僅於触刻步驟中流入氯氣 (例如以約30 seem流速或另一合適的流速)。可在每一沉 積步驟中’流入二矽乙烷(例如以約7 seem流速或另一合 適的流速),可於Si : C沉積步驟中流入甲基矽甲烷(例如 以約2.2 seem流速或另一合適的流速)。於每一製程循環 少碑中,可以約20 slm流速或另一合適的流速流入氮氣載 •流氣體,並於每一清潔步驟中’增加至約30s〖m或另一合 通的流速。於部份實施例中’在第一矽沉積步驟中(例如沉 積約4秒),沉積約5 A的矽’在S i ·· C矽沉積步驟中(例如 沉積約7秒),沉積約9A的S丨:C ’在第二矽沉積步驟中(例 如沉積約10秒),沉積約1 3 A的矽,而在蝕刻步驟中(例如 18 1379347In a particular embodiment, 'in each etch process step (except for the cleaning step kick), the cesium chloride can be flowed at about the same flow rate (eg, at a flow rate of about 300 sccm or another suitable flow rate). Chlorine gas is only introduced during the tracing step (eg, at a flow rate of about 30 seem or another suitable flow rate). The inflow of dioxane can be carried out in each deposition step (for example at a flow rate of about 7 seem or another suitable flow rate), methyl methane can be flowed in the Si:C deposition step (for example at a flow rate of about 2.2 seem or another A suitable flow rate). In each process cycle, the nitrogen carrier gas can be flowed at a flow rate of about 20 slm or another suitable flow rate and increased to a flow rate of about 30 s or another in each cleaning step. In some embodiments, 'in the first tantalum deposition step (eg, deposition for about 4 seconds), about 5 A is deposited in the S i ·· C矽 deposition step (eg, deposition for about 7 seconds), deposition about 9A S丨: C 'in the second deposition step (eg, deposition for about 10 seconds), depositing about 13 A of yttrium, while in the etching step (eg 18 1379347

蝕刻約1 3秒),移除約丨9A的磊晶材料 潔時間(例如約1〇秒)。在沉積與清潔過 6〇〇t而處理室壓力約10 τ〇ΓΓ,而在蝕 1 3 Τ〇ΓΓ。如所述,亦可採用其他製程條 雖然本發明已以實施例揭露如上, 發月。任何熟習此技藝者,在不脫離本 内’當可對上述裝置與方法作各種之更 管本發明已以示範實施例揭示,當應知 本發明之範圍與精神,如下述之申請專 【圖式簡單就明】 第1 A -1D圖係繪示依照本發明一實 晶層堆叠過程中基材之剖面圖。 第2圖係繪示依照本發明一實施例 晶層、含碳晶層與非含碳覆蓋磊晶層之 之曲線·圖^ _ 第3圖係繪示依照本發明_實施例 層與覆蓋磊晶層之沉積時間為固定時, 層的沉積時間,所獲得的取代破分 carbon,SC)曲線圖。 第4圖係繪示依照本發明一實施例 碳濃度之磊晶層堆疊之方法流程圖。 。可採用合適的清 1程中,製程溫度约 刻過程中,磨力约 件。 但其非用以限定本 發明之精神和範圍 動與潤飾。因此儘 其他實施例亦落入 利範圍所界定者》 施例中,於形成磊 中,沿非含碳種磊 堆疊層,其碳濃度 中,一種當種磊晶 依據不同含碳磊晶 I 度(s u b s t i t u t ί 〇 a η 1 中,形成具有標的 19 1379347Etching for about 13 seconds), removing the epitaxial material of about 9A for a clean time (eg, about 1 second). After deposition and cleaning over 6 〇〇t and the chamber pressure is about 10 τ 〇ΓΓ, while the etch is 13 Τ〇ΓΓ. Other process strips may also be employed as described, although the present invention has been disclosed in the above embodiments. The present invention has been disclosed in the exemplary embodiments without departing from the spirit and scope of the present invention. BRIEF DESCRIPTION OF THE DRAWINGS The first A - 1D diagram shows a cross-sectional view of a substrate during a solid layer stacking process in accordance with the present invention. 2 is a graph showing a crystal layer, a carbon-containing crystal layer, and a non-carbon-containing epitaxial layer according to an embodiment of the present invention. FIG. 3 is a diagram showing a layer and an overlay layer according to the present invention. The deposition time of the crystal layer is fixed, the deposition time of the layer, and the obtained substituted carbon, SC) curve. Figure 4 is a flow chart showing a method of stacking epitaxial layers of carbon concentration in accordance with one embodiment of the present invention. . A suitable cleaning process can be used, during the process temperature comparison process, the grinding force is about. However, it is not intended to limit the spirit and scope of the invention. Therefore, in other embodiments, it is also included in the definition of the scope of the application, in the formation of the Lei, along the non-carbonaceous stack layer, the carbon concentration, a kind of epitaxy according to different carbon-containing epitaxial I degree (substitut ί 〇a η 1 formed with the mark 19 1379347

【主 要 元 件 符 號 說明】 100 基 材 400 方 法 102 種 磊 晶 層 401 步 驟 104 含 碳 矽 磊 晶 層 402 步 驟 106 第 二 矽 磊 晶 層 403 步 驟 108 磊 晶 層 堆 疊 404 步 驟 200 圖 示 405 步 驟 202 線 條 406 步 驟 300 圖 示 302 線 條[Main component symbol description] 100 substrate 400 method 102 kinds of epitaxial layer 401 step 104 carbon germanium epitaxial layer 402 step 106 second germanium epitaxial layer 403 step 108 epitaxial layer stack 404 step 200 illustration 405 step 202 line 406 Step 300 Illustration 302 Line

2020

Claims (1)

1379347 l·7 / 年i 二:二 第%αν«號專利_〇丨年?月修正 十、申請專利範圍: 1. 一種於一基材上形成一磊晶層堆疊之方法,該磊晶層堆 疊包含一所需厚度及一標的碳濃度,該方法包含: (a) 於該基材上形成一第一矽層,該第一矽層包含含碳 矽; (b) 於該第一碎層上形成一第二石夕層,該第二妙層包含 非含碳$夕;1379347 l·7 / year i 2: 2 The first %αν« patent _ leap year? Monthly Amendment 10. Patent Application Range: 1. A method for forming a stack of epitaxial layers on a substrate, the epitaxial layer stack comprising a desired thickness and a target carbon concentration, the method comprising: (a) Forming a first ruthenium layer on the substrate, the first ruthenium layer comprising carbon-containing ruthenium; (b) forming a second shoal layer on the first shard layer, the second layer comprising non-carbonaceous; (c) 使來自該第一矽層的碳分布至該第二矽層; (d) 蝕刻該磊晶層堆疊以移除該第二矽層之一部份; (e) 重複步驟(a)至(d)直到經蝕刻之該磊晶層堆疊具有 該所需厚度;且 (f) 控制下列之一者或多者:該第一矽層之(i) 一初始碳 濃度' (ii) 一厚度及(iii) 一沉積時間以達成經蝕刻之該磊晶 層堆疊之標的碳濃度。(c) distributing carbon from the first layer to the second layer; (d) etching the epitaxial layer stack to remove a portion of the second layer; (e) repeating step (a) Up to (d) until the etched epitaxial layer stack has the desired thickness; and (f) controlling one or more of: (i) an initial carbon concentration of the first ruthenium layer (ii) The thickness and (iii) a deposition time to achieve the target carbon concentration of the etched epitaxial layer stack. 2.如申請專利範圍第1項所述之方法,其中該標的碳濃度 係介於約2 0 0 p p m與5 a t %之間。 3.如申請專利範圍第1項所述之方法,其中該初始碳濃度 係介於約0.5 at%至10 at%之間。 4.如申請專利範圍第1項所述之方法,更包含於該第一矽 層與該基材之間,形成一非含碳磊晶層。 21 1.379347 - 卜(年>;]十‘二/$ 5.如申請專利範圍第1項所述之方法,其中該所需厚度介 於約10入至2000A之間。 6.如申請專利範圍第1項所述之方法,其中該初始碳濃度 係大於或等於該標的碳濃度。2. The method of claim 1, wherein the target carbon concentration is between about 200 p p m and 5 a t %. 3. The method of claim 1, wherein the initial carbon concentration is between about 0.5 at% and 10 at%. 4. The method of claim 1, further comprising forming a non-carbon-containing epitaxial layer between the first layer and the substrate. 21 1.379347 - 卜(年>;] 十'二/$ 5. The method of claim 1, wherein the required thickness is between about 10 and 2000 A. 6. The method of item 1, wherein the initial carbon concentration is greater than or equal to the target carbon concentration. 7.如申請專利範圍第1項所述之方法,其中蝕刻該磊晶層 堆疊之步驟包括以含有氣氣之一蝕刻氣體蝕刻該磊晶 層堆疊。 8.如申請專利範圍第1項所述之方法,其中每個第二矽層 具有一厚度,以避免該蝕刻氣體與該第一矽層之間發生 一反應。 9.如申請專利範圍第1項所述之方法,其中形成該第一矽 層與該第二矽層中之至少一者,係在低於或約7 0 0 °C之 溫度下進行。 10. —種用以控制形成於一基材上之一磊晶層堆疊中的碳 濃度之方法,其至少包含: 決定該磊晶層堆疊之一所需標的碳濃度;以及 形成該磊晶層堆疊,藉由: 22 1379347 (a)於該基材上形成一第一磊晶層,該第一磊晶層 含有碳; (b) 於該第一磊晶層上形成非含碳之一覆蓋層; (c) 使來自該第一遙晶層的碳分布至該覆蓋層; (d) 蝕刻以移除該覆蓋層之一部份; (e) 重複步驟(a)至(d)直到經蝕刻之該磊晶層堆疊 具有所需厚度;且7. The method of claim 1, wherein the step of etching the epitaxial layer stack comprises etching the epitaxial layer stack with an etching gas containing an atmosphere. 8. The method of claim 1, wherein each second layer has a thickness to avoid a reaction between the etching gas and the first layer. 9. The method of claim 1, wherein forming at least one of the first layer and the second layer is performed at a temperature of less than or about 700 °C. 10. A method for controlling a concentration of carbon in a stack of epitaxial layers formed on a substrate, the method comprising: determining a concentration of carbon required for one of the epitaxial layer stacks; and forming the epitaxial layer Stacking, by: 22 1379347 (a) forming a first epitaxial layer on the substrate, the first epitaxial layer containing carbon; (b) forming a non-carbon containing layer on the first epitaxial layer (c) distributing carbon from the first crystal layer to the cover layer; (d) etching to remove a portion of the cover layer; (e) repeating steps (a) through (d) until Etching the epitaxial layer stack to have a desired thickness; (f) 控制下列之一者或多者:該第一矽層之(i) 一初 始碳濃度、(ii)一厚度及(iii)一沉積時間以達成經蝕刻 之該蟲晶層堆疊之標的破濃度。 11.如申請專利範圍第10項所述之方法,更包含於該第一 磊晶層與該基材之間,形成一種磊晶層。(f) controlling one or more of: (i) an initial carbon concentration, (ii) a thickness, and (iii) a deposition time of the first layer to achieve an etched target of the layer of the insect layer Broken concentration. 11. The method of claim 10, further comprising forming an epitaxial layer between the first epitaxial layer and the substrate. 1 2.如申請專利範圍第1 0項所述之方法,其中該標的碳濃 度介於約200 ppm與5 at%之間。 13.如申請專利範圍第10項所述之方法,其中該第一磊晶 層之厚度介於約2A至100A之間。 14.如申請專利範圍第10項所述之方法,其中蝕刻步驟包 含以氣氣蝕刻該磊晶層堆疊。 23 13793471 2. The method of claim 10, wherein the target carbon concentration is between about 200 ppm and 5 at%. 13. The method of claim 10, wherein the first epitaxial layer has a thickness of between about 2A and 100A. 14. The method of claim 10, wherein the etching step comprises etching the epitaxial layer stack with a gas gas. 23 1379347 1 5·如 晶 申請專利範圍第10項所述之方法,更包含形成該磊 層堆疊之額外的交替第一磊晶層與覆蓋層。The method of claim 10, further comprising forming an additional alternating first epitaxial layer and a cap layer of the epitaxial layer stack. 24twenty four
TW096128085A 2006-07-31 2007-07-31 Methods of forming carbon-containing silicon epitaxial layers TWI379347B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US83477306P 2006-07-31 2006-07-31

Publications (2)

Publication Number Publication Date
TW200818274A TW200818274A (en) 2008-04-16
TWI379347B true TWI379347B (en) 2012-12-11

Family

ID=38997702

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096128085A TWI379347B (en) 2006-07-31 2007-07-31 Methods of forming carbon-containing silicon epitaxial layers

Country Status (7)

Country Link
US (1) US8029620B2 (en)
JP (1) JP5090451B2 (en)
KR (1) KR101160930B1 (en)
CN (2) CN101496153A (en)
DE (1) DE112007001814T5 (en)
TW (1) TWI379347B (en)
WO (1) WO2008016650A2 (en)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8685845B2 (en) * 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
CN102386067B (en) * 2010-08-31 2013-12-18 中国科学院上海微系统与信息技术研究所 Epitaxial growth method for effectively restraining self-doping effect
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
EP2555235B1 (en) 2011-08-02 2014-06-18 Nxp B.V. Method of manufacturing an IC comprising a plurality of bipolar transistors and IC comprising a plurality of bipolar transistors
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
KR101891373B1 (en) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 Semiconductor devices having fin structures and fabrication methods thereof
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
JP5741382B2 (en) 2011-09-30 2015-07-01 東京エレクトロン株式会社 Thin film forming method and film forming apparatus
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
JP5792101B2 (en) * 2012-03-15 2015-10-07 東京エレクトロン株式会社 Method for forming laminated semiconductor film
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (en) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN107731735B (en) * 2017-11-21 2020-02-14 长江存储科技有限责任公司 SEG preparation process for improving SEG growth form through mild wet etching
JP2023184044A (en) * 2022-06-17 2023-12-28 信越半導体株式会社 Method for manufacturing semiconductor wafer and semiconductor wafer

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (en) 1980-08-18 1992-02-17 Philips Nv ZENERDIODE AND METHOD OF MANUFACTURE THEREOF
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS62243144A (en) * 1986-04-15 1987-10-23 Dainippon Printing Co Ltd Optical recording medium and optical recording method
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4865659A (en) * 1986-11-27 1989-09-12 Sharp Kabushiki Kaisha Heteroepitaxial growth of SiC on Si
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5112429A (en) * 1990-08-17 1992-05-12 Costas Dan N Labeling apparatus
JP2880322B2 (en) 1991-05-24 1999-04-05 キヤノン株式会社 Method of forming deposited film
WO1992022922A2 (en) * 1991-06-12 1992-12-23 Case Western Reserve University Process for the controlled growth of single-crystal films of silicon carbide polytypes on silicon carbide wafers
US5227330A (en) 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
JPH05175141A (en) * 1991-12-26 1993-07-13 Fujitsu Ltd Vapor-phase epitaxial growth apparatus and method
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (en) 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) * 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (en) 1992-11-30 2002-02-12 三菱電機株式会社 Laser thin film forming equipment
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
WO1996015550A1 (en) * 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
JPH08288215A (en) * 1995-04-17 1996-11-01 Nippon Steel Corp Manufacture of semiconductor substrate and semiconductor substrate
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP2953567B2 (en) * 1997-02-06 1999-09-27 日本電気株式会社 Method for manufacturing semiconductor device
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6022587A (en) 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6019838A (en) * 1998-01-05 2000-02-01 Memc Electronic Materials, Inc. Crystal growing apparatus with melt-doping facility
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
EP1060287B1 (en) 1998-03-06 2005-01-26 ASM America, Inc. Method of depositing silicon with high step coverage
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (en) 1998-06-05 2003-10-17 St Microelectronics Sa LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND MANUFACTURING METHOD THEREOF
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP4204671B2 (en) 1998-09-11 2009-01-07 三菱電機株式会社 Manufacturing method of semiconductor device
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
JP3516623B2 (en) * 1999-01-14 2004-04-05 松下電器産業株式会社 Manufacturing method of semiconductor crystal
JP3723396B2 (en) * 1999-02-23 2005-12-07 サンゴバン・ティーエム株式会社 High purity crystalline inorganic fiber and method for producing the same
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4556329B2 (en) 1999-04-20 2010-10-06 ソニー株式会社 Thin film forming equipment
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FR2801420B1 (en) 1999-11-23 2002-04-12 St Microelectronics Sa LOW FREQUENCY LOW NOISE VERTICAL BIPOLAR TRANSISTOR AND HIGH CURRENT GAIN, AND MANUFACTURING METHOD THEREOF
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100373853B1 (en) 2000-08-11 2003-02-26 삼성전자주식회사 Selective epitaxial growth method in semiconductor device
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
JP2002198525A (en) 2000-12-27 2002-07-12 Toshiba Corp Semiconductor device and its manufacturing method
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6426265B1 (en) 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3547419B2 (en) 2001-03-13 2004-07-28 株式会社東芝 Semiconductor device and manufacturing method thereof
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6576535B2 (en) * 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
TWI307912B (en) 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030066486A1 (en) 2001-08-30 2003-04-10 Applied Materials, Inc. Microwave heat shield for plasma chamber
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030035152A (en) * 2001-10-30 2003-05-09 주식회사 하이닉스반도체 Method for fabricating semiconductor wafer
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
WO2003060982A2 (en) * 2001-12-21 2003-07-24 Memc Electronic Materials, Inc. Ideal oxygen precipitating silicon wafers with nitrogen/carbon stabilized oxygen precipitate nucleation centers and process for making the same
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (en) 2002-02-28 2007-05-16 富士通株式会社 Method and apparatus for growing mixed crystal film
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (en) 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6716719B2 (en) 2002-05-29 2004-04-06 Micron Technology, Inc. Method of forming biasable isolation regions using epitaxially grown silicon between the isolation regions
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (en) 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
JP3872027B2 (en) 2003-03-07 2007-01-24 株式会社東芝 Cleaning method and semiconductor manufacturing apparatus
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
CN100454200C (en) 2003-06-09 2009-01-21 喜开理株式会社 Relative pressure control system and relative flow control system
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP2005167064A (en) 2003-12-04 2005-06-23 Sharp Corp Nonvolatile semiconductor storage device
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7071117B2 (en) 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7230274B2 (en) 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (en) 2004-03-26 2005-11-30 삼성전자주식회사 Trench capacitor using SiGe layer and method of fabricating the same
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (en) 2004-05-25 2006-09-20 삼성전자주식회사 Semiconductor device having a channel layer and method of manufacturing the same
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (en) 2004-08-23 2006-08-02 삼성전자주식회사 Method for etching substrate and method for menufacturing semiconductor device using the same
TWI267951B (en) * 2004-09-30 2006-12-01 Taiwan Semiconductor Mfg A device having multiple silicide types and a method for its fabrication
US7560322B2 (en) 2004-10-27 2009-07-14 Northrop Grumman Systems Corporation Method of making a semiconductor structure for high power semiconductor devices
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
CN101283121B (en) 2005-10-05 2012-10-03 应用材料公司 Methods and apparatus for epitaxial film formation
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070286956A1 (en) 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7588980B2 (en) 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
DE112007001814T5 (en) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers

Also Published As

Publication number Publication date
US8029620B2 (en) 2011-10-04
CN101496153A (en) 2009-07-29
JP5090451B2 (en) 2012-12-05
CN103981568A (en) 2014-08-13
TW200818274A (en) 2008-04-16
KR20090037468A (en) 2009-04-15
KR101160930B1 (en) 2012-06-29
WO2008016650A3 (en) 2008-04-10
DE112007001814T5 (en) 2009-06-04
JP2009545886A (en) 2009-12-24
WO2008016650A2 (en) 2008-02-07
US20080022924A1 (en) 2008-01-31

Similar Documents

Publication Publication Date Title
TWI379347B (en) Methods of forming carbon-containing silicon epitaxial layers
KR102239078B1 (en) Semiconductor device with amorphous silicon filled gaps and methods for forming
TW202135319A (en) Structures with doped semiconductor layers and methods and systems for forming same
US7588980B2 (en) Methods of controlling morphology during epitaxial layer formation
TWI327749B (en) Use of cl2 and/or hcl during silicon epitaxial film formation
TW202127510A (en) Methods for selective deposition using a sacrificial capping layer
TWI400744B (en) Formation of epitaxial layers containing silicon and carbon
TW202129063A (en) Methods for selective deposition of doped semiconductor material
TWI419204B (en) Selective deposition
JP5661083B2 (en) Method for forming epitaxial film and cluster tool for use in forming epitaxial film
TWI383435B (en) Formation of epitaxial layers containing silicon
TWI400745B (en) Selective epitaxy process control
TW200807550A (en) Pre-cleaning of substrates in epitaxy chambers
TW201222669A (en) Methods for depositing germanium-containing layers
US7364990B2 (en) Epitaxial crystal growth process in the manufacturing of a semiconductor device
TW201828340A (en) Methods for silicide formation
TWI484556B (en) Method of forming high growth rate, low resistivity germanium film on silicon substrate (2)
TW201826351A (en) Method for manufacturing a semiconductor device
TW202212650A (en) Method for depositing boron and gallium containing silicon germanium layers
US9012328B2 (en) Carbon addition for low resistivity in situ doped silicon epitaxy

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees