CN101454874B - 半导体薄膜的选择性外延形成 - Google Patents

半导体薄膜的选择性外延形成 Download PDF

Info

Publication number
CN101454874B
CN101454874B CN2007800198311A CN200780019831A CN101454874B CN 101454874 B CN101454874 B CN 101454874B CN 2007800198311 A CN2007800198311 A CN 2007800198311A CN 200780019831 A CN200780019831 A CN 200780019831A CN 101454874 B CN101454874 B CN 101454874B
Authority
CN
China
Prior art keywords
deposit
epitaxial
thick
semiconductor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800198311A
Other languages
English (en)
Other versions
CN101454874A (zh
Inventor
M·鲍尔
K·D·韦克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN101454874A publication Critical patent/CN101454874A/zh
Application granted granted Critical
Publication of CN101454874B publication Critical patent/CN101454874B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

通过重复均厚淀积和选择性刻蚀的循环工艺在半导体窗口(114)中选择性形成外延层(125)。均厚淀积阶段在绝缘区(112)如场氧化物上留下非外延材料(120),而选择性刻蚀阶段优先去除非外延材料(120),同时周而复始地增进淀积的外延材料(125)。相对于在绝缘体(112)上不发生淀积的选择性工艺,该外延材料(125)的质量得到改善。在该工艺的刻蚀阶段中使用锗催化剂可以帮助增大刻蚀速率并且便于在整个循环中经济地维持等温和/或等压条件。通过使用丙硅烷、在绝缘区(112)之上形成无定形材料(120)以及最小化每个淀积阶段中无定形材料与外延材料的厚度比,可以提高产量和质量。

Description

半导体薄膜的选择性外延形成 
相关申请的交叉引用 
本申请要求于2006年6月7日提交的美国临时专利申请第60/811,703号的优先权。 
本申请还涉及美国专利申请11/343,275(提交于2006年1月30日;代理人案号ASMEX.511A)、美国专利申请11/343,264(提交于2006年1月30日;代理人案号ASMEX.517A)、美国专利申请公开文本2003/0036268(提交于2002年5月29日;代理人案号ASMEX.317A)以及美国专利6,998,305(提交于2004年1月23日;代理人案号ASMEX.425A)。因此所有这些相关申请的全部公开通过参考合并于此。 
技术领域
本发明一般地涉及在半导体工艺中淀积含硅材料,并且更具体地涉及在半导体窗口上选择性形成含硅材料。 
背景技术
在形成集成电路时,在选择的位置诸如场氧隔离区之间的有源区平台中,或甚至更特别地在定义的源区和漏区上经常需要外延层。尽管在淀积后可以从场氧隔离区上选择性去除非外延(无定形的或多晶的)材料,但典型地认为更有效的是同时提供化学气相淀积(CVD)和刻蚀化学药品,并调整条件以导致绝缘区上的净淀积和暴露的半导体窗口上的净外延淀积为零。被称为选择性外延CVD的这一工艺利用在绝缘体如二氧化硅或氮化硅上的典型半导体淀积工艺的缓慢晶核形成。这一选择性外延CVD还利用了与外延层的易受影响性相比,无定形和多晶材料对刻蚀剂的自然更大的易受影响性。 
需要选择性外延形成半导体层的很多情形的示例包括用于产生应变或张力(strain)的许多方案。半导体材料诸如硅、锗和硅锗合金的电学特性受到材料应变程度的影响。例如,硅在拉伸应变的情况下展现出增强的电子迁移率,而硅锗在压应变的情况下展现出增强的空穴迁移率。增强半导体材料性能的方法具有相当大的重要性并有可能应用于各种半导体工艺应用中。半导体工艺典型地用于要求特别严厉的质量需求的集成电路加工,以及各种其它领域。例如,半导体工艺技术还用于加工利用大量科技的平板显示器,以及用于加工微机电系统(“MEMS”)。 
 用于在含硅和含锗的材料中诱导应变的许多方法关注于开发不同晶体材料之间的晶格常数的差异。例如,晶体锗的晶格常数是5.65
Figure G2007800198311D0002075450QIETU
,晶体硅是5.431,而金刚石是3.567。各向异性外延涉及以某种方式在不同晶体材料上淀积特定晶体材料的薄层,以使淀积层采用下面单晶材料的晶格常数。例如,利用这一方法应变的硅锗层可以通过在单晶硅衬底上的各向异性外延淀积来形成。因为锗原子稍微大于硅原子,但是淀积的各向异性外延硅锗受到它下面更小的硅的晶格常数的限制,硅锗被压应变到一程度,该程度随锗含量而发生变化。典型地,随着硅锗中的锗含量增大,硅锗层的带隙从纯硅的1.12eV单调减小到纯锗的0.67eV。在另一个方法中,通过在稀疏的硅锗层上各向异性外延淀积硅层来提供薄的单晶硅层中的拉伸应变。在这一示例中,各向异性外延淀积的硅发生应变是因为其晶格常数受到它下面的稀疏硅锗的更大晶格常数的限制。拉伸应变的各向异性外延硅典型地表现出增大的电子迁移率。在这两种方法中,应变是在加工成器件(例如晶体管)之前的衬底级发展出来的。 
在这些示例中,通过用硅原子替代晶格结构中的其它原子来将应变引入到含单晶硅的材料中。这一技术通常被称为“替代掺杂”。例如,用锗原子取代单晶硅晶格结构中的一些硅原子可在最终的取代性掺杂单晶硅材料中产生压应变,因为锗原子大于它们所替代的硅原子。有可能通过用碳取代掺杂来将拉伸应变引入到单晶硅中,因为碳原子小于它们所替代的硅原子。额外的细节在"Silicon-Germanium Carbon Alloy",Taylor and Francis,pp.59-89(New York2002)的第3章、Judy L.Hoyt的"Substitutional Carbon Incorporation and Electronic Characterization ofSh_yCy/Si and Heterojunctions"中提供,其公开内容通过参考合并于此, 并在本文中被称为“Hoyt文献”。然而非取代性杂质不会引入应变。 
类似地,电掺杂剂也应该取代合并到外延层中以便具有电活性。掺杂剂在淀积时被合并或者它们需要进行退火以达到所需的取代水平和掺杂剂活化程度。因为退火要消耗加热预算,所以原位掺杂用于调整晶格常数的杂质或电掺杂剂常常优选于接着退火的非原位掺杂以将掺杂剂合并到晶格结构中。然而,在实践中,原位取代性掺杂被淀积过程中非取代性合并杂质的趋势变得复杂,该趋势例如通过以域或群有空隙地合并到硅中,而不是取代栅格结构中的硅原子。非取代性掺杂使得例如硅的碳掺杂、硅锗的碳掺杂、硅和硅锗的电活性掺杂剂掺杂变得复杂。如Hoyt文献的第73页的图3.10所示,现有淀积方法已经用于使晶体硅具有原子数百分比高达2.3%的原位掺杂取代性碳含量,这对应于超过5.4的栅格间距和小于1.0GPa的张应力。 
发明内容
 根据本发明的另一方面,提供用于在半导体窗口中选择性形成半导体材料的方法。该方法包括在化学气相淀积室中提供衬底,其中该衬底包括绝缘表面和单晶半导体表面。在该衬底的绝缘表面和单晶半导体表面上均厚淀积半导体材料,从而使绝缘表面上的非外延半导体材料与单晶半导体表面上的外延半导体材料的厚度比率小于大约1.6:1。从绝缘表面上选择性去除非外延半导体材料,其中在化学气相淀积室中进行均厚淀积和选择性去除。 
根据本发明的另一方面,提供用于选择性形成外延半导体材料的方法。均厚淀积半导体材料,以在衬底的单晶半导体区上形成外延材料,并在该衬底的绝缘区上形成非外延材料。通过将均厚淀积的半导体材料暴露于刻蚀化学物质以从绝缘区上选择性去除非外延材料,该刻蚀化学物质包括卤化物源和锗源。重复至少一次均厚淀积和选择性去除。 
根据本发明的另一方面,提供用于在衬底上的选择位置中形成含硅材料的方法。该方法包括提供衬底,该衬底具有暴露在场氧隔离区之间的单晶半导体窗口。通过使丙硅烷流动经过该衬底,在单晶材料的窗口和场氧隔离区之上均厚淀积含硅材料。从场氧隔离区上选择性去除 含硅材料。在多个循环中重复均厚淀积和选择性去除。 
 根据本发明的另一方面,提供用于选择性形成外延半导体材料的方法。所述方法包括提供带有绝缘区和其中形成有半导体窗口的衬底。在绝缘区上淀积无定形半导体材料,并在半导体窗口上淀积外延半导体材料。从绝缘区上选择性刻蚀无定形半导体材料,而在半导体窗口中留下至少一些外延半导体材料。在多个循环中重复均厚淀积和选择性去除。 
附图说明
结合附图举例说明本文所公开的方法和系统的示例性实施例,这仅是为了举例说明。附图包括以下图形,其中相同的标号指示相同的部件。 
图1是图示说明用于选择性形成外延半导体层的工艺的流程图,该工艺利用在混合衬底的凹陷源/漏区中淀积掺杂碳的硅薄膜的特定示例。 
图2是局部成形的半导体结构的示意图,其包括形成于半导体衬底中的图案化绝缘体区。 
图3是实施在混合衬底表面上均厚淀积掺杂碳的硅薄膜之后图2的局部成形半导体结构的示意图。 
图4是实施选择性化学气相刻蚀工艺以从混合衬底的氧化物区去除掺杂碳的硅之后图3的局部成形半导体结构的示意图。 
 图5A-5D是实施更多次均厚淀积和选择性刻蚀循环后图4的局部成形半导体结构的示意图。 
图6示出掺杂碳的硅薄膜的无定形区的刻蚀速率随刻蚀化学药品过程中HCl局部压强变化的图表。 
图7示出针对不同刻蚀化学药品,刻蚀速率和无定形(“a”)与单晶(“c”)刻蚀速率比随刻蚀化学药品中GeH4流量变化的图表。 
图8示出掺杂碳的硅薄膜的无定形区的刻蚀速率随腔室压强变化的图表。 
图9示出掺杂碳的硅薄膜的无定形区的刻蚀速率随温度的倒数变化的图表。
图10示出掺杂碳的硅薄膜的无定形区的厚度随积累的刻蚀时间变化的图表。 
图11示出晶片上淀积的掺杂碳的硅薄膜的无定形区的刻蚀速率随晶片上的径向位置变化的图表。 
图12示出针对不同刻蚀循环持续时间,晶片上淀积的掺杂碳的硅薄膜的无定形区的厚度随晶片上的径向位置变化的图表。 
图13示出针对不同GeH4刻蚀剂的刻蚀化学药品和不同刻蚀循环持续时间,晶片上淀积的掺杂碳的硅薄膜的无定形区的厚度随晶片上的径向位置变化的图表。 
图14是图示说明示例性局部成形的掺杂碳的硅结构的显微照片,该掺杂碳的硅结构是通过在图案化衬底上实施均厚淀积和局部刻蚀循环所产生的。 
 图15示出元素浓度随示例性局部成形的掺杂碳的硅薄膜的厚度变化的图表,该掺杂碳的硅薄膜是利用本文公开的一些技术形成的。 
 图16是图示说明示例性形成的掺杂碳的硅结构的显微照片,该掺杂碳的硅结构是通过在图案化衬底上实施多次淀积和刻蚀循环所产生的。 
图17图示说明已经利用本文公开的一些循环技术选择性形成的外延掺杂碳的硅薄膜的原子力显微分析(atomic force microscopyanalysis)。 
图18示出利用本文公开的一些循环技术淀积的掺杂碳的硅薄膜的X射线衍射摇摆曲线。 
具体实施方式
淀积技术常常试图调整衬底的不同区域中的淀积量或淀积种类。例如,美国专利第6,998,305号认为同步的刻蚀和淀积反应对于选择性淀积在硅上而不淀积在二氧化硅上来说是公知的。为了控制第三类型表面即暴露的晶体管栅极上的淀积,第6,998,305号专利教导循环交替选择性淀积阶段和刻蚀阶段。然而,本发明者已经认识到选择性淀积化学性质有时会对淀积层产生不希望的影响。尽管所述实施例涉及NMOS应用中 掺杂碳的硅的具体示例,技术人员应该理解本文所述的方法可以应用于多种半导体应用,其中层的选择性形成是需要的,但刻蚀剂会妨碍淀积层的所需特性。 
对于制作多种取代性掺杂的含单晶硅的材料来说,存在一些有用的淀积方法。例如,有可能通过利用作为硅源的丙硅烷(Si3H8)和作为碳源的含碳气体或蒸汽以相对高的速率实施淀积,以实施晶体硅的原位取代性碳掺杂。掺杂碳的含硅合金具有对硅锗系统的互补特性。取代性掺杂的程度为70%或更高,其表示为取代性碳掺杂剂占硅中(取代性和非取代性)碳掺杂剂的总量的质量百分比。用于形成掺杂碳的含硅材料的技术已经克服了若干挑战,包括碳和硅之间的大晶格失配、碳在硅中的低溶解性以及掺杂碳的硅的沉淀趋势。美国专利申请11/343,275(提交于2006年1月30日;代理人案号ASMEX.511A)中提供了关于晶体硅的原位取代性碳掺杂的额外细节。 
在本文中使用的术语“含硅材料”及类似术语指的是很大范围的含硅材料,其包括但不局限于硅(包括晶体硅)、掺杂碳的硅(Si:C)、硅锗和掺杂碳的硅锗(SiGe:C)。如本文所用,“掺杂碳的硅”、“Si:C”、“硅锗”、“掺杂碳的硅锗”、“SiGe:C”及类似术语指的是含有不同比例的所需化学元素和任选的少量其它元素的材料。例如,“硅锗”是一种包含硅、锗和任选的其它元素的材料,该其它元素例如碳和电活性掺杂剂等掺杂剂。“Si:C”和“SiGe:C”等术语本质上并不是化学配比的化学式,因此并不限于包含特定比率的所需元素的材料。此外,Si:C和SiGe:C等术语并不排除其它掺杂剂如磷的存在,且掺杂碳的硅材料被包括在术语Si:C和术语Si:C:P中。除非特别指明,本文所表示的含硅薄膜中掺杂剂(诸如碳、锗或电活性掺杂剂)的百分比指的是相对于整个薄膜的原子数百分比。 
有可能通过以下步骤来确定取代性掺杂到含硅材料中的碳的量:利用X射线衍射测量掺杂含硅材料的垂直晶格间距,然后实施单晶硅和单晶碳(金刚石)之间的线性内插以应用Vegard定律。例如,有可能通过利用X射线衍射测量掺杂硅的垂直晶格间距且然后应用Vegard定律来确定取代性掺杂到硅中的碳的量。关于这一技术的额外细节在Hoyt文献 中提供。有可能通过次级离子质谱法(“SIMS”)来确定掺杂硅中的总体碳含量。有可能通过从总体碳含量中减去取代性碳含量以确定非取代性碳含。有可能以类似的方式确定取代性掺杂到其它含硅材料中的其它元素的量。 
本文所用的术语“衬底”指的是其上希望淀积的工件,或者暴露于一种或多于一种淀积气体的表面。例如,在某些实施例中,衬底是单晶硅晶片、绝缘体上的半导体(“SOI”)衬底、或外延硅表面、硅锗表面、或淀积在晶片上的III族—V族材料。工件并不局限于晶片,而是还包括玻璃、塑料或用于半导体工艺的其它衬底。如全部公开内容通过参考合并于此的美国专利6,900,115所述,“混合衬底”是具有两个或更多不同类型表面的衬底。例如,在某些应用中,混合衬底包括具有第一表面形态的第一表面和具有第二表面形态的第二表面。在某些实施例中,在单晶半导体材料上选择性形成掺杂碳的含硅层,同时最小化或者更优选地避免邻近的电介质或绝缘体上的淀积。电介质材料的示例包括二氧化硅(包括低介电常数的形式诸如掺杂碳和掺杂氟的硅氧化物)、氮化硅、金属氧化物和金属硅化物。本文使用的术语“外延的”、“外延地”、“各向异性外延的”、“各项异性外延地”及类似术语指的是以某种方式将含晶体硅的材料淀积到晶体衬底上以使淀积层采用或遵循衬底的晶格常数。当淀积层的成分不同于衬底的成分时,通常认为外延淀积是各项异性外延的。 
 即使表面由同一种元素组成,如果这些表面的形态(结晶度)不同,则认为这些表面是不同的。本文所述的工艺对于将含硅薄膜淀积到多种衬底上是有用的,但对具有混合表面形态的混合衬底特别有用。这种混合衬底包括具有第一表面形态的第一表面和具有第二表面形态的第二表面。在这一背景中,“表面形态”指的是衬底表面的晶体结构。无定形和晶体是不同形态的示例。多晶形态是由有序晶体的无序排列组成的晶体结构,并因此具有中间有序程度。多晶材料中的原子在每个晶体中是有序的,但是晶体本身相对于彼此缺乏长程有序。单晶形态是具有高度长程有序的晶体结构。外延薄膜的特征在于与它们所生长的衬底(通常为单晶)相同的晶体结构和取向。这些材料中的原子排列成类晶 格结构,该类晶格结构持续相对长的距离(在原子尺度上)。无定形形态是具有低有序程度的非晶体结构,因为原子缺乏明确的周期性排列。其它形态包括微晶和无定形材料与晶体材料的混合物。因此“非外延”包含无定形、多晶、微晶及其混合物。如本文所用,“单晶”或“外延”被用于描述其中具有可容忍的缺陷数量的非常大的晶体结构,其通常用于晶体管制造。层的结晶度通常处于从无定形至多晶到单晶的连续集中;尽管存在低密度缺陷,但常常认为晶体结构是单晶的或外延的。混合衬底的具体示例包括但不限于单晶/多晶、单晶/无定形、外延/多晶、外延/无定形、多晶/电介质、外延/电介质、导体/电介质以及半导体/电介质。术语“混合衬底”包括具有多于两种不同类型表面的衬底。本文所述用于在具有两种类型表面的混合衬底上淀积含硅薄膜的方法也适用于具有三种或更多不同类型表面的混合衬底。 
 当在凹陷源/漏区中生长时,拉伸应变的掺杂碳的硅薄膜(Si:C薄膜)提供具有增强的电子迁移率的拉伸应变硅沟道,这特别有利于NMOS器件。这有利地消除了对提供松散硅锗缓冲层以支撑应变硅层的需求。在这些应用中,通过利用掺杂剂源或掺杂剂前体的原位掺杂来有利地合并电活性掺杂剂。利用磷的高水平电活性取代掺杂也有助于张应力。电掺杂剂的优选前体是掺杂剂的氢化物,包括n型掺杂剂前体诸如磷化氢、砷蒸汽和砷化三氢。甲硅烷基磷化氢(例如(H3Si)3-xPRx)和甲硅烷基砷化三氢(例如(H3Si)3-xAsRx)(其中x=0、1或2且Rx=H和/或氘(D))是磷掺杂剂和砷掺杂剂的替代性前体。磷和砷对于掺杂NMOS器件的源区和漏区来说特别有用。SbH3和三甲基铟(trimethylindium)分别是锑和铟的可替代源。这些掺杂剂前体有益于制备前述优选薄膜,特别是掺杂硼、磷、锑、铟和砷的硅、Si:C、硅锗和SiGe:C薄膜及合金。拉伸应变的Si:C薄膜的选择性外延形成 
现在已经发展出用于在暴露的半导体窗口诸如混合衬底的凹陷源/漏区中选择性形成拉伸应变Si:C薄膜的技术。例如,有可能通过以下步骤实现这一选择性形成:(a)利用丙硅烷作为硅前体在混合衬底上均厚淀积Si:C薄膜,以及(b)选择性刻蚀形成在混合衬底的绝缘体部分上的所得非外延层。任意循环地重复步骤(a)和(b),直到在凹陷源/漏 区上达到目标外延薄膜厚度。 
 有可能通过干法刻蚀以及随后的HF清洗和原位退火来形成凹陷源/漏区。在使用干法刻蚀的实施例中,选择性生长的薄(在大约1nm至大约3nm之间)硅种子层的淀积有助于降低刻蚀损伤。种子层还有助于覆盖由在先掺杂剂注入工艺所造成的损伤。在示例性实施例中,可以通过在大约700℃至大约800℃之间的淀积温度下同时提供HCl和氯代硅烷来选择性淀积种子层。 
 根据优选实施例,图1提供的流程图和图2-图5D中示出的局部成形半导体结构的示意性描述举例说明了循环的均厚淀积和刻蚀工艺。虽然用凹陷源/漏区中的Si:C淀积进行举例说明,应该了解本文所述的技术有利于其它情况下外延薄膜的选择性形成,诸如在任何栅极定义和没有凹陷之前由场氧隔离的所围绕的有源岛区上选择性形成外延薄膜。 
 特别地,图1图示说明在操作块10中,具有绝缘体区和凹陷源/漏区的混合衬底被置于工艺室内。图2提供对示例性混合衬底的示意性说明,该混合衬底包括形成在半导体衬底100诸如硅晶片中的图案化绝缘体110。以填充氧化物的浅槽隔离(STI)形式示出的绝缘体110定义出场氧隔离区112并且邻近显示在栅电极115结构两侧的凹陷源/漏区114。注意到栅电极115位于衬底的沟道区117之上。沟道117和源漏区114共同定义晶体管有源区,该有源区通常由场氧隔离112所环绕以防止与相邻器件的串扰(cross-talk)。在其它布局中,多个晶体管可以被场氧隔离环绕。在一种情况下,如图所示,栅结构115的顶部可以覆盖有电介质。则这一表面表现为类似于其上进行淀积的场区110,且保持场区中的选择性的条件也可以应用于栅极的顶部。在栅极115没有被电介质覆盖的情况下,栅极表面有可能生长多晶材料,然后可以通过原位刻蚀多晶材料来将其去除,但是与用于确保场区110上没有残余多晶材料的条件相比,将应用一套不同的选择性条件(压强、气流等)。 
如图1中的操作块20所示,以及如图3的示意说明,然后利用丙硅烷作为硅前体在混合衬底上淀积均厚的Si:C层。这导致氧化物区112上的无定形的或多晶的(非外延)淀积120,以及凹陷源/漏区114上的较低外延淀积125和侧壁外延淀积130。应注意“均厚淀积”意味着在每个淀 积阶段中无定形绝缘体110和单晶区114上的最终净淀积。尽管在均厚淀积中优选缺少刻蚀剂(例如缺少卤化物),在这种情况下也可以认为该淀积是“非选择性的”,可能需要一些刻蚀剂来调整不同区域上的淀积厚度比率,这在下面更详细地讨论。在需要该少量刻蚀剂的情况下,淀积工艺可能是局部选择性的,但仍然是均厚的,因为每个淀积阶段将在绝缘体110和单晶区114上产生净淀积。 
 然后在操作块30中选择性刻蚀无定形或多晶淀积120区域和侧壁外延淀积130区域(图1),因此导致在图4中示意性说明的结构。优选地,在选择性刻蚀过程中很少或没有外延淀积的Si:C被从凹陷源/漏区114的较低外延层125上去除。如下面更详细地讨论,蒸汽刻蚀化学药品优选包括卤化物(例如含氟、含溴或含氯蒸汽化合物),特别是氯源诸如HCl或Cl2。更优选地,该刻蚀化学药品还含有锗源(例如,锗烷如四氢化锗(GeH4)、GeCl4、金属有机Ge前体、固体源Ge)以改善刻蚀速率。在选择性去除非外延材料120的同时,一些外延材料被留下,而一些外延材料被去除。侧壁外延层130位于不同的平面并且还比较低外延层125更欠缺(由于两个表面上的生长速率不同)。相应地,侧壁外延层130连同非外延材料120更易于被去除。因此,可以调整每个工艺循环以实现凹陷处114的很大程度的颠倒(bottom-up)填充。在一些布局中,如果具有优良的质量且不妨碍选择性填充的目标,甚至可以通过该工艺留下侧壁上的外延材料。 
如决定块40所示(图1),且如图5A(淀积第二次循环的均厚Si:C层120)和图5B(刻蚀第二次循环的Si:C层以留下外延Si:C层,该外延Si:C层具有凹陷源/漏区114中外延层125的增大厚度)示意说明,重复这一工艺直到在凹陷源/漏区114上实现外延Si:C薄膜厚度的目标厚度。图5C图解说明实施进一步(多个)循环以留下外延充填源/漏区114的结果,其中选择性外延层125大致与场氧化物110共面。图5D图解说明实施进一步(多个)循环以选择性留下外延层125作为升高源/漏区114的结果。 
选择性形成工艺可以进一步包括返回电介质区进行均厚淀积和选择性刻蚀的额外循环且不掺杂碳以形成覆盖层。该覆盖层可以含有或 没有电掺杂物。例如,图5D中原始衬底表面之上(即沟道117之上)的升高源/漏区125的部分可以是不含碳的,因为它对沟道117的应变不起作用。 
 在示例性实施例中,淀积的Si:C薄膜任选地包括电活性掺杂物,特别是适用于NMOS器件的掺杂物,诸如磷或砷,由此允许淀积掺杂磷的Si:C薄膜或掺杂砷的Si:C薄膜(分别为Si:C:P薄膜或Si:C:As薄膜)。优选以一定的无定形-外延生长速率比率淀积Si:C薄膜,该无定形-外延生长速率比率优选在大约1.0:1至大约1.6:1之间,更优选地在大约1.0:1至大约1.3:1之间,且最优选地在大约1.0:1至大约1.1:1,从而使绝缘体上的薄膜厚与凹陷源/漏区114上的薄膜厚大约相等。控制无定形(或更一般地非外延)-外延生长速率比率可以有利地使能对后续刻蚀工艺之后无定形Si:C和晶体Si:C之间界面处的小平面角的控制,并且还可以相对于绝缘体上的更大厚度,最小化用于去除的刻蚀持续时间。优选Si:C淀积的无定形区具有很小或没有结晶度(即主要是无定形的),由此便于这些区域中的后续刻蚀。此外,通过使厚度比率接近于1:1而最小化过度的非外延淀积,由此降低从场区(且任选地从栅极)清除非外延淀积所需的刻蚀阶段长度。 
 在优选实施例中,利用原位化学蒸汽刻蚀技术来从混合衬底上选择性刻蚀Si:C薄膜。任选地实施同时具有短暂的温度尖峰的该化学蒸汽刻蚀技术。在一个实施例中,利用美国专利申请公开文本2003/0036268(提交于2002年5月29日;代理人案号:ASMEX.317A)中描述的工艺来管理该温度尖峰。如本文所述,通过穿透冷石英或其它透明壁的辐射加热来使用单一晶片外延淀积工具,温度尖峰能够在一段时间(例如大约12秒至大约15秒)内将全部功率应用到上部灯,同时解耦下部灯的功率比。以这种方式,晶片温度能够快速攀升而基座温度显著滞后。晶片温度优选从加载温度升高大约100℃至大约400℃,且更优选地升高大约200℃至大约350℃。因为温度尖峰和刻蚀阶段的短持续时间,在基座有可能达到尖峰温度之前允许晶片冷却。以这种方式,与同时循环晶片/基座在一起的更大块组合的温度相比,晶片花费少得多的时间来进行温度循环。用于这一温度尖峰技术的示例性反应器是
Figure G2007800198311D0011080226QIETU
系列单晶 片外延化学气相淀积室,其可以从美国ASM公司(Phoenix,AZ)购得。 
然而,在另一个实施例中,为了帮助维持取代性的碳和电活性掺杂物的高浓度,而同时使温度斜坡/稳定时间最小化,优选保持低的刻蚀温度。在刻蚀时使用低温也也降低刻蚀过程中电活性掺杂物被去激活的可能性。例如,用Cl2气体刻蚀有利地允许刻蚀温度被降低,由此帮助维持取代性的碳和电活性掺杂物。 
刻蚀阶段的低温使得能够粗略匹配淀积阶段的温度,同时利用低温下实现的高掺杂物合并量。可以通过在刻蚀阶段内引进锗源(例如GeH4、GeCl4、金属有机Ge前体、固体Ge源)来提高刻蚀速率以允许这些更低的温度且不牺牲产量,而不是通过使温度快速攀升来提高产量。如本文所用,“等温”循环均厚淀积和刻蚀意味着淀积温度和刻蚀温度相互之间的差值在±50℃内,优选在±10℃内,且最优选地两个步骤的定位点温度在±5℃内。有利地,等温工艺提高了产量并使温度攀升和和温度稳定的时间最小化。类似地,均厚淀积和刻蚀工艺优选是“等压的”,即相互之间的压强差在±50Torr内,优选在±20Torr内。等温和/或等压条件有利于更好的产出以避免攀升时间和稳定时间。 
如图1所示,实施均厚淀积并紧跟着选择性刻蚀的两阶段工艺被任意地循环重复,直到在凹陷源/漏区上实现目标外延薄膜厚度。下面的表A总结了示例性工艺参数,该表列出了优选的操作点以及圆括号中的优选操作范围。从表A可以明显看出,淀积阶段和刻蚀阶段的工艺条件—诸如腔室温度、腔室压强和载体气体流速—优选基本上相似,由此允许增加产量。因此,以下的示例在循环的两个阶段中均使用等温和等压条件。其它参数用于改进的实施例中。 
                          表A 
Figure G2007800198311D00121
利用表A所提供的参数,有可能实现选择性淀积在凹陷源/漏区中的外延Si:C:P薄膜的净生长速率,该净生长速率优选在大约4nm min-1至大约11nm min-1之间,且更优选地在大约8nm min-1至大约11nm min-1之间。还有可能实现薄的Si:C:P薄膜,该Si:C:P薄膜具有通过Vegard定律确定的高达3.6%的替代碳含量,并具有在大约0.4mΩ cm至大约2.0mΩcm之间的电阻率。通过控制淀积条件,有可能获得其它薄膜特性。 
在本文公开的刻蚀工艺过程中,在每个刻蚀阶段,外延Si:C明显比无定形或多晶Si:C刻蚀得慢(刻蚀选择性在10:1—30:1范围内)。在刻蚀阶段还优先去除有缺陷的外延材料。在优选实施例中,对循环淀积和刻蚀工艺条件进行调谐以降低或消除氧化物上的净生长,同时在每个循环中实现外延凹陷源/漏区中的净生长。这一循环工艺与常规选择性淀积工艺的区别在于同时进行淀积和刻蚀反应。 
下面的表B和表C给出使用类似于表A的配方所得到的淀积和刻蚀持续时间以及厚度的两种示例。不同地调谐这些配方以通过增大Si3H8的局部压强并优化刻蚀剂的局部压强来调整淀积速率和刻蚀速率。 
                         表B 
Figure G2007800198311D00141
每个循环的最终时间[s]         122.8 
每个循环的最终厚度[nm]        8.96 
平均生长速率[nm/min]          4.38 
                            表C 
Figure G2007800198311D00142
每个循环的最终时间[s]      61.3 
每个循环的最终厚度[nm]     9.977 
平均生长速率[nm/min]       9.76 
表A中提供的工艺参数显示出Cl2/HCl刻蚀化学药品。在改进的实施例中,在大约20sccm至大约200sccm之间的10%GeH4被包含在刻蚀化学药品中作为刻蚀催化剂。在某些实施例中,在刻蚀化学药品中包含锗源(例如,锗烷如GeH4、GeCl4、金属有机Ge前体、固态Ge源) 可以有利地提高刻蚀速率和刻蚀选择性。另外,使用锗作为催化剂还有利地允许使用更低的刻蚀温度,并允许忽略开始过程中的温度尖峰,如上面关于等温工艺的讨论。关于在无定形硅、多晶硅和单晶硅中扩散锗及随后刻蚀富含Ge的硅材料的额外信息在以下文献中提供,参见例如Mitchell et al.,"Germanium diffusion in polysilicon emitters of SiGeheterojunction bipolar transistors fabricated by germanium implantation",J.of Appl.Phys,92(11),pp.6924-6926(1December 2002),Wu et al., "Stability and mechanism of selective etching of ultrathin Ge films on theSi(100)surface,"Phys.Rev.B,69(2004)和Bogumilowicz et al.,"Chemicalvapour etching of Si,SiGe and Ge with HCl;applications to the formation ofthin relaxed SiGe buffers and to the revelation of threading dislocations uponchlorine adsorption,"Semicond.Sci.& Tech.,no.20,pp.127-134,(2005)。 
图6示出掺杂碳的硅薄膜的无定形区的刻蚀速率随刻蚀化学药品中HCl局部压强变化的图表,该过程处于600℃的恒定温度。在某些实施例中,通过降低H2载体流量,HCl和GeH4的局部压强增大,由此显著增大无定形刻蚀速率。例如,图6显示在刻蚀化学药品(符号
Figure G2007800198311D0015080837QIETU
和▲)中包含20sccm的10% GeH4导致基本上更高的无定形刻蚀速率。 
图7示出针对不同刻蚀化学药品,刻蚀速率和无定形/外延刻蚀速率比随刻蚀化学药品中GeH4流量变化的图表,该过程处于以下条件:600℃的恒定温度、2slm的恒定H2载体流速以及64Torr的恒定腔室压强。无定形刻蚀速率在图例中用前缀“a-”指代,外延刻蚀速率在图例中用前缀“c-”指代,而刻蚀速率比在图例中用“ER”指代。增大GeH4的流量导致无定形/外延刻蚀速率比增大到某一点,超过此点,额外的GeH4降低刻蚀选择性。例如,图7显示包含200sccm的HCl和大约30-40sccm的10%GeH4的刻蚀化学药品产生一个不可能用更低或更高GeH4流量获得的无定形/外延刻蚀速率比。 
图8示出针对刻蚀化学药品中不同的GeH4流速,Si:C薄膜的无定形区的刻蚀速率随腔室压强变化的图表,该过程处于以下条件:550℃的恒定腔室温度、2slm的恒定H2载体流速以及200sccm的恒定HCl刻蚀剂流速。通过增大腔室压强超过大约80Torr,刻蚀速率对GeH4流量的依赖性降低。然而,当50sccm的10%GeH4被引入到刻蚀化学药品中时,通过使腔室压强从大约64Torr升高到大约80Torr,无定形刻蚀速率被提高大约两倍。 
图9示出掺杂碳的硅薄膜的无定形区的刻蚀速率随温度的倒数变化的图表,该过程处于以下条件:64Torr的恒定腔室压强、2slm的恒定H2载体流速、200sccm的恒定HCl刻蚀剂流速以及10%GeH4的50sccm的恒定GeH4刻蚀剂流速。即使在很低的温度下,对于这些化学制 品来说,绝对刻蚀速率也非常高。 
图10示出掺杂碳的硅薄膜的无定形区的厚度随积累的刻蚀时间变化的图表,该过程处于以下条件:64Torr的恒定腔室压强、550℃的恒定腔室温度、2slm的恒定H2载体流速以及200sccm的恒定HCl刻蚀剂流速。图10中绘制的图线的斜率对应于无定形Si:C薄膜的刻蚀速率。如所指出,所淀积薄膜的中心处的刻蚀速率大于所淀积薄膜的边缘处的刻蚀速率。因此在优选实施例中,晶片被“过刻蚀(overetch)”以增加从更慢刻蚀的晶片边缘去除无定形Si:C的可能性。通过将图10中绘制的图线外推至y轴,有可能估计初始无定形薄膜厚度和生长速率。类似地,通过将图10中绘制的图线外推至x轴,有可能估计完全刻蚀无定形材料所需要的时间。图10图示说明通过所提供的工艺参数获得了大约140
Figure G2007800198311D0016081047QIETU
min-1的刻蚀速率。 
 图11示出晶片上淀积的掺杂碳的硅薄膜的无定形区的刻蚀速率随晶片上的径向位置变化的图表,该过程处于以下条件:550℃的恒定腔室温度、64Torr的恒定腔室压强、2slm的恒定H2载体流速以及200sccm的恒定HCl刻蚀剂流速。图11显示晶片边缘处的刻蚀速率比晶片中心处的刻蚀速率稍微慢一些。 
 图12示出针对不同刻蚀循环持续时间,晶片上淀积的掺杂碳的硅薄膜的无定形区的厚度随晶片上的径向位置变化的图表,该过程处于以下条件:550℃的恒定腔室温度、80Torr的恒定腔室压强、2slm的恒定H2载体流速、200sccm的恒定HCl刻蚀剂流速以及6.5sccm的恒定GeH4刻蚀剂流速。 
 图13示出针对不同GeH4刻蚀剂的刻蚀化学药品和不同刻蚀循环持续时间,晶片上淀积的掺杂碳的硅薄膜的无定形区的厚度随晶片上的径向位置变化的图表。如图13所图示说明,更长的刻蚀循环和更高的GeH4流速导致更不均匀的刻蚀。在改进的实施例中,通过提供最终刻蚀循环的延长的持续时间来补偿这一效应,由此提供充分的“过刻蚀”以去除晶片中心处剩余的无定形Si:C。相应地,希望在每个循环中淀积和刻蚀相对小的厚度,优选在大约1nm/循环至10nm/循环之间,更优选地在大约2nm/循环至5nm/循环之间。如上所述,已经利用类似表A的条件来实现 4-11nm/min的净淀积速率。 
图14是图示说明示例性局部成形的掺杂碳的硅结构的照片,该掺杂碳的硅结构是通过在图案化衬底上实施一次淀积循环和一次刻蚀循环所产生的。如图所示,晶体Si:C:P出现在外延衬底区上,而无定形Si:C:P出现在氧化物上。在无定形/外延的界面上出现无定形袋区,因为淀积是以依赖于暴露的结晶取向的不同生长速率发生的。在图14所图示说明的结构中,无定形刻蚀速率与外延刻蚀速率之间的比率超过20。图16是图示说明示例性局部成形的掺杂碳的硅结构的照片,该掺杂碳的硅结构是通过在图案化衬底上实施多次淀积和刻蚀循环所产生的。与图14相比,基本上所有的无定形Si:C:P都已经从氧化物表面上去除,导致伪选择性外延形成。图17图示说明已经利用本文公开的某些技术选择性淀积的外延掺杂碳的硅薄膜的原子力显微分析。 
图15示出元素浓度随示例性局部成形的掺杂碳的硅薄膜的厚度变化的图表,该掺杂碳的硅薄膜是利用本文公开的一些技术形成的。如图所示,由于在刻蚀阶段使用GeH4,相对最适量的锗被合并到Si:C薄膜中。优选地,锗的合并量小于大约5%的原子数百分比,更优选地小于大约2%的原子数百分比,而最优选地小于大约1%的原子数百分比。 
 图18示出利用本文公开的某些技术淀积的掺杂碳的硅薄膜的X射线衍射摇摆曲线。这些曲线指示不同量的淀积/刻蚀循环,并且对应于增大的甲基硅甲烷(monomethylsilane)(“MMS”)流量,这对应于硅外延薄膜中更高的取代性C浓度。 
本文公开的用于选择性外延淀积Si:C薄膜的技术提供超越传统技术的若干优点。例如,从绝缘体区循环去除多晶的或无定形的Si:C有助于改善无定形Si:C和外延Si:C之间的界面。特别地,循环工艺允许外延生长发生在界面区,否则在界面区将发生非外延生长。此外,在一些实施例中刻蚀过程中的温度尖峰被忽略,从而在等于或仅稍微高于淀积循环温度的温度下进行刻蚀循环,这时更低的温度带来很多优点。通过最小化温度(和/或压强)斜坡和稳定时间来提高产量。淀积温度可以仍然足够低以实现高的(例如,1.0-3.6%C百分含量)替代碳含量,且在刻蚀过程中大部分取代性碳和电活性掺杂剂保留在原位置,由此导致所得 的薄膜中高的取代性碳浓度和掺杂剂浓度。 
 一些特征有助于高生产能力。例如,已经发现使用丙硅烷可以在非常低的温度下提高淀积速率,因此最小化淀积阶段的持续时间,而不牺牲例如由更低温度和更高淀积速率所导致淀积的高取代性掺杂剂浓度。前体的次序和选择也促进无定形绝缘区上的大部分或甚至完全的无定形淀积,同时还导致单晶区和无定形区上相对均匀的厚度(厚度比小于1.6:1),由此最小化刻蚀阶段中的整体刻蚀时间。 
 虽然前述详细的说明公开了本发明的若干实施例,但应该理解这一公开是示例性的,并不限制本发明。应该了解所公开的具体构型和操作可以不同于上述的那些,且本文所述的这些方法可以用于除半导体器件的制作以外的其它背景中。

Claims (46)

1.一种在半导体窗口中选择性形成半导体材料的方法,其包括:
在化学气相淀积室中提供衬底,所述衬底包括绝缘表面和单晶半导体表面;
在所述衬底的所述绝缘表面和所述单晶半导体表面上均厚淀积半导体材料,从而使所述绝缘表面上的非外延半导体材料与所述单晶半导体表面上的外延半导体材料的厚度比率小于1.6∶1;以及
通过将所述均厚淀积的半导体材料暴露于包含锗源的刻蚀化学物质,从所述绝缘表面上选择性去除所述非外延半导体材料,
其中在所述化学气相淀积室中进行均厚淀积和选择性去除。
2.如权利要求1所述的方法,其进一步包括在多个循环中重复均厚淀积和选择性去除,其中每个循环在所述单晶半导体表面上添加一定厚度的外延材料。
3.如权利要求2所述的方法,其中所述半导体材料包括掺杂碳的硅。
4.如权利要求3所述的方法,其中所述掺杂碳的硅包括原子数百分比为0.1%至3.6%的替代碳。
5.如权利要求2所述的方法,其中所述单晶半导体表面包括凹陷的源/漏区,且所述外延材料对中间沟道区施加应变。
6.如权利要求5所述的方法,其中选择性去除包括从所述凹陷的源/漏区的侧壁去除外延材料,而留下所述凹陷的源/漏区底部的外延材料。
7.如权利要求2所述的方法,其中均厚淀积包括非选择性淀积。
8.如权利要求2所述的方法,其中均厚淀积包括使不含卤化物的蒸汽源流动。
9.如权利要求2所述的方法,其中均厚淀积包括在所述绝缘表面上主要形成无定形半导体材料。
10.如权利要求2所述的方法,其中每次均厚淀积步骤在所述绝缘表面上淀积的非外延材料厚度与在所述单晶半导体表面上淀积的外延材料厚度之比在1.0∶1至1.3∶1范围内。
11.如权利要求2所述的方法,其中所述外延材料包括原位掺杂磷和碳的硅。
12.如权利要求11所述的方法,其中所述外延材料具有在0.4mΩ-cm至2mΩ-cm之间的电阻系数。
13.如权利要求2所述的方法,其中均厚淀积和选择性去除均在相互之间温差在±10℃内的条件下进行。
14.如权利要求2所述的方法,其中均厚淀积和选择性去除均在相互之间温差在±5℃内的条件下进行。
15.如权利要求1所述的方法,其中选择性去除包括使锗源和卤化物源流动进入所述化学气相淀积室。
16.如权利要求1所述的方法,其中均厚淀积包括使丙硅烷流动进入所述化学气相淀积室。
17.如权利要求16所述的方法,其中均厚淀积进一步包括使适当的碳源和适当的电掺杂剂源流动进入所述化学气相淀积室。
18.一种选择性形成外延半导体材料的方法,其包括:
均厚淀积半导体材料,以在衬底的单晶半导体区上形成外延材料,并在所述衬底的绝缘区上形成非外延材料;以及
通过将所述均厚淀积的半导体材料暴露于刻蚀化学物质以从所述绝缘区上选择性去除所述非外延材料,所述刻蚀化学物质包括卤化物源和锗源;以及
重复至少一次均厚淀积和选择性去除。
19.如权利要求18所述的方法,其中均厚淀积包括使丙硅烷流动进入容纳所述衬底的化学气相淀积室中。
20.如权利要求18所述的方法,其中均厚淀积包括在每次循环中淀积1nm至10nm。
21.如权利要求18所述的方法,其中均厚淀积和选择性去除是在化学气相淀积室中进行的等温和等压处理。
22.如权利要求18所述的方法,其中所述半导体区包括凹陷区。
23.如权利要求22所述的方法,其中所述凹陷区中的所述外延材料对相邻的所述衬底的区域施加张力。
24.如权利要求18所述的方法,其中所述半导体材料包括掺杂碳的硅。
25.一种在衬底上的选择位置中形成含硅材料的方法,所述方法包括:
提供衬底,所述衬底具有暴露在场氧隔离区之中的单晶半导体窗口;
通过使丙硅烷流动经过所述衬底,在单晶材料的所述窗口和所述场氧隔离区之上均厚淀积含硅材料;以及
通过将所述均厚淀积的半导体材料暴露于包含锗源的刻蚀化学物质,从所述场氧隔离区上选择性去除所述含硅材料;以及
在多个循环中重复均厚淀积和选择性去除。
26.如权利要求25所述的方法,其中均厚淀积所述含硅材料包括使带有丙硅烷的碳源蒸汽流动经过所述衬底,且所述含硅材料包括掺杂碳的硅。
27.如权利要求26所述的方法,其中选择性去除包括以比从所述单晶半导体窗口上去除外延材料更快的速率从所述场氧隔离区上刻蚀非外延材料。
28.如权利要求27所述的方法,其中所述窗口包括凹陷区,且选择性去除进一步包括从所述凹陷区的侧壁上去除外延材料,而在所述凹陷区的底部留下外延材料。
29.如权利要求25所述的方法,其中选择性去除进一步包括使所述衬底暴露于卤化物刻蚀剂。
30.如权利要求25所述的方法,其中所述单晶半导体窗口包括相对于所述场氧隔离区的上表面的凹陷区。
31.如权利要求25所述的方法,其中均厚淀积和选择性去除在等温和/或等压条件下进行。
32.如权利要求25所述的方法,其中均厚淀积和选择性去除产生在4nm/min至11nm/min之间的所述含硅材料的净生长速率。
33.一种选择性形成外延半导体材料的方法,其包括:
提供带有绝缘区和其中形成有半导体窗口的衬底;
在所述绝缘区上淀积无定形半导体材料,并在所述半导体窗口上淀积外延半导体材料;以及
通过将所述均厚淀积的半导体材料暴露于包含锗源的刻蚀化学物质,从所述绝缘区上选择性刻蚀所述无定形半导体材料,而在所述半导体窗口中留下至少一些外延半导体材料;以及
在多个循环中重复均厚淀积和选择性去除。
34.如权利要求33所述的方法,其中所述半导体窗口包括在所述绝缘区的上表面之下的凹陷区,且重复包括用外延半导体材料填充所述凹陷区。
35.如权利要求34所述的方法,其中淀积包括用掺杂碳的硅填充所述凹陷区以形成凹陷的源/漏结构。
36.如权利要求35所述的方法,其中淀积进一步包括原位提供电掺杂剂给所述凹陷的源/漏结构。
37.如权利要求35所述的方法,其中淀积包括形成掺杂碳的硅。
38.如权利要求37所述的方法,其中淀积进一步包括在所述掺杂碳的硅上形成无碳覆盖层。
39.如权利要求33所述的方法,其中重复包括形成升高的源/漏结构。
40.如权利要求33所述的方法,其中淀积包括淀积掺杂磷和碳的硅。
41.如权利要求33所述的方法,其中所述半导体窗口包括在所述绝缘区的上表面之下的凹陷区,且所述凹陷区中的所述外延半导体材料对相邻区域施加横向的拉伸应变。
42.如权利要求41所述的方法,其中所述相邻区域是晶体管沟道区。
43.如权利要求41所述的方法,其中选择性去除进一步包括从所述凹陷区的侧壁上去除外延材料,而在所述凹陷区的底部留下外延材料。
44.如权利要求33所述的方法,其中选择性刻蚀包括将所述衬底暴露于氯源和锗源。
45.如权利要求33所述的方法,其中在每个淀积步骤,所述绝缘区上的无定形半导体材料与所述半导体窗口上的所述外延半导体材料的厚度比率小于1.6∶1。
46.如权利要求45所述的方法,其中所述比率在1.0∶1至1.3∶1之间。
CN2007800198311A 2006-06-07 2007-05-11 半导体薄膜的选择性外延形成 Active CN101454874B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US81170306P 2006-06-07 2006-06-07
US60/811,703 2006-06-07
US11/536,463 US8278176B2 (en) 2006-06-07 2006-09-28 Selective epitaxial formation of semiconductor films
US11/536,463 2006-09-28
PCT/US2007/011464 WO2007145758A2 (en) 2006-06-07 2007-05-11 Selective epitaxial formation of semiconductor films

Publications (2)

Publication Number Publication Date
CN101454874A CN101454874A (zh) 2009-06-10
CN101454874B true CN101454874B (zh) 2011-03-23

Family

ID=38895787

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800198311A Active CN101454874B (zh) 2006-06-07 2007-05-11 半导体薄膜的选择性外延形成

Country Status (7)

Country Link
US (2) US8278176B2 (zh)
EP (1) EP2022083A2 (zh)
JP (1) JP2009540565A (zh)
KR (2) KR101544931B1 (zh)
CN (1) CN101454874B (zh)
TW (1) TWI404123B (zh)
WO (1) WO2007145758A2 (zh)

Families Citing this family (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
JP2009521801A (ja) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US20080303060A1 (en) * 2007-06-06 2008-12-11 Jin-Ping Han Semiconductor devices and methods of manufacturing thereof
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
WO2011017339A2 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8343872B2 (en) * 2009-11-06 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained structures with compound profiles in semiconductor devices
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
KR101674179B1 (ko) * 2010-04-06 2016-11-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법
US8466045B2 (en) 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
FR2973566A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Crolles 2 Procédé de formation d'une couche epitaxiee, en particulier sur des régions de source et de drain de transistor a appauvrissement total
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2012170087A1 (en) * 2011-06-10 2012-12-13 Massachusetts Institute Of Technology High-concentration active doping in semiconductors and semiconductor devices produced by such doping
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9093269B2 (en) 2011-12-20 2015-07-28 Asm America, Inc. In-situ pre-clean prior to epitaxy
CN103187269B (zh) * 2011-12-30 2016-02-17 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US20130193492A1 (en) * 2012-01-30 2013-08-01 International Business Machines Corporation Silicon carbon film structure and method
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
JP5931780B2 (ja) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 選択エピタキシャル成長法および成膜装置
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US20140264612A1 (en) * 2013-03-15 2014-09-18 International Business Machines Corporation Growth of epitaxial semiconductor regions with curved top surfaces
TWI618120B (zh) * 2013-05-27 2018-03-11 聯華電子股份有限公司 磊晶製程
US8927431B2 (en) 2013-05-31 2015-01-06 International Business Machines Corporation High-rate chemical vapor etch of silicon substrates
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US20150001628A1 (en) * 2013-06-27 2015-01-01 Global Foundries Inc. Semiconductor structure with improved isolation and method of fabrication to enable fine pitch transistor arrays
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN104465657B (zh) * 2013-09-22 2017-10-20 中芯国际集成电路制造(上海)有限公司 互补tfet 及其制造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9704708B2 (en) * 2014-07-11 2017-07-11 Applied Materials, Inc. Halogenated dopant precursors for epitaxy
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9853133B2 (en) * 2014-09-04 2017-12-26 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN105529266A (zh) * 2014-10-21 2016-04-27 上海华力微电子有限公司 嵌入式锗硅外延位错缺陷的改善方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
US9761693B2 (en) 2014-11-27 2017-09-12 United Microelectronics Corp. Method for fabricating semiconductor device
US9755031B2 (en) * 2014-12-19 2017-09-05 Stmicroelectronics, Inc. Trench epitaxial growth for a FinFET device having reduced capacitance
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9378950B1 (en) * 2015-05-22 2016-06-28 Stratio Methods for removing nuclei formed during epitaxial growth
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9536945B1 (en) * 2015-07-30 2017-01-03 International Business Machines Corporation MOSFET with ultra low drain leakage
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741852B2 (en) * 2015-08-05 2017-08-22 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6606476B2 (ja) * 2016-08-02 2019-11-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102287788B1 (ko) * 2017-02-14 2021-08-10 에이에스엠 아이피 홀딩 비.브이. 알루미늄 및 질소 함유 재료의 선택적 증착
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6778139B2 (ja) * 2017-03-22 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019132858A1 (en) * 2017-12-26 2019-07-04 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nmos transistors
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10811498B2 (en) 2018-08-30 2020-10-20 Atomera Incorporated Method for making superlattice structures with reduced defect densities
US10566191B1 (en) 2018-08-30 2020-02-18 Atomera Incorporated Semiconductor device including superlattice structures with reduced defect densities
CN109192654A (zh) * 2018-08-31 2019-01-11 上海华力微电子有限公司 硅的外延生长方法、半导体器件及其形成方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109698130A (zh) * 2018-12-24 2019-04-30 上海华力集成电路制造有限公司 锗硅源漏极的制备方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7313201B2 (ja) * 2019-06-14 2023-07-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
FR3105571B1 (fr) * 2019-12-18 2023-01-13 Commissariat Energie Atomique Procédé de formation d’une couche par épitaxie cyclée
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230307506A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation

Family Cites Families (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
JPS594040Y2 (ja) 1978-08-14 1984-02-04 住友金属工業株式会社 高炉用羽口
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4521952A (en) 1982-12-02 1985-06-11 International Business Machines Corporation Method of making integrated circuits using metal silicide contacts
US4605947A (en) 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
JPS6010673A (ja) 1983-06-30 1985-01-19 Fujitsu Ltd 半導体装置
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPS61121433A (ja) * 1984-11-19 1986-06-09 Sharp Corp 半導体基板
JPS61166071A (ja) 1985-01-17 1986-07-26 Toshiba Corp 半導体装置及びその製造方法
US4698316A (en) 1985-01-23 1987-10-06 Rca Corporation Method of depositing uniformly thick selective epitaxial silicon
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4735918A (en) * 1985-05-24 1988-04-05 Hughes Aircraft Company Vertical channel field effect transistor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4778775A (en) 1985-08-26 1988-10-18 Intel Corporation Buried interconnect for silicon on insulator structure
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0214690B1 (en) * 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US4857479A (en) 1985-10-08 1989-08-15 Motorola Method of making poly-sidewall contact transistors
US4891092A (en) * 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
US4704186A (en) 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
FR2595509B1 (fr) 1986-03-07 1988-05-13 Thomson Csf Composant en materiau semiconducteur epitaxie sur un substrat a parametre de maille different et application a divers composants en semiconducteurs
DE3784537T2 (de) * 1986-04-11 1993-09-30 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht.
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
EP0251767A3 (en) 1986-06-30 1988-09-07 Canon Kabushiki Kaisha Insulated gate type semiconductor device and method of producing the same
US4728623A (en) * 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US4994402A (en) * 1987-06-26 1991-02-19 Hewlett-Packard Company Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4758531A (en) 1987-10-23 1988-07-19 International Business Machines Corporation Method of making defect free silicon islands using SEG
US4873205A (en) 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (ja) 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US5164813A (en) 1988-06-24 1992-11-17 Unitrode Corporation New diode structure
US5059544A (en) 1988-07-14 1991-10-22 International Business Machines Corp. Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02163942A (ja) * 1988-12-17 1990-06-25 Sony Corp Misトランジスタの製造方法
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5146304A (en) 1988-12-22 1992-09-08 Honeywell Inc. Self-aligned semiconductor device
US5416354A (en) * 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US5004705A (en) * 1989-01-06 1991-04-02 Unitrode Corporation Inverted epitaxial process
US4897366A (en) * 1989-01-18 1990-01-30 Harris Corporation Method of making silicon-on-insulator islands
JPH0722338Y2 (ja) 1989-04-17 1995-05-24 株式会社三ツ葉電機製作所 減速装置付駆動装置
KR920008886B1 (ko) 1989-05-10 1992-10-10 삼성전자 주식회사 디램셀 및 그 제조방법
US5175121A (en) 1989-05-10 1992-12-29 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
US4923826A (en) * 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2802449B2 (ja) 1990-02-16 1998-09-24 三菱電機株式会社 半導体装置の製造方法
FR2658951B1 (fr) * 1990-02-23 1992-05-07 Bonis Maurice Procede de fabrication d'un circuit integre pour filiere analogique rapide utilisant des lignes d'interconnexions locales en siliciure.
US4981811A (en) * 1990-04-12 1991-01-01 At&T Bell Laboratories Process for fabricating low defect polysilicon
US5043300A (en) 1990-04-16 1991-08-27 Applied Materials, Inc. Single anneal step process for forming titanium silicide on semiconductor wafer
DE4016471A1 (de) 1990-05-22 1991-11-28 Bosch Gmbh Robert Mikromechanischer neigungssensor
JP2590295B2 (ja) * 1990-06-06 1997-03-12 株式会社東芝 半導体装置及びその製造方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
KR930007190B1 (ko) 1990-08-21 1993-07-31 삼성전자 주식회사 화합물 반도체 장치
US5032233A (en) 1990-09-05 1991-07-16 Micron Technology, Inc. Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point metal as an anti-reflective coating during laser planarization
US5196360A (en) * 1990-10-02 1993-03-23 Micron Technologies, Inc. Methods for inhibiting outgrowth of silicide in self-aligned silicide process
DE4106287A1 (de) * 1990-10-25 1992-04-30 Bosch Gmbh Robert Verfahren zum anisotropen aetzen von monokristallinen, scheibenfoermigen traegern
US5403751A (en) * 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5236865A (en) 1991-01-16 1993-08-17 Micron Technology, Inc. Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer
US5094977A (en) * 1991-01-25 1992-03-10 Micron Technology, Inc. Stress reduction in metal films by laser annealing
JPH04260325A (ja) * 1991-02-15 1992-09-16 Toshiba Corp 半導体装置の製造方法
US5147819A (en) 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
KR100228619B1 (ko) * 1991-03-05 1999-11-01 아치 케이. 말론 자기-정합 접점 형성 방법 및 구조
KR920018987A (ko) 1991-03-23 1992-10-22 김광호 캐패시터의 제조방법
US5234857A (en) 1991-03-23 1993-08-10 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
US5084406A (en) * 1991-07-01 1992-01-28 Micron Technology, Inc. Method for forming low resistance DRAM digit-line
US5389575A (en) * 1991-07-12 1995-02-14 Hughes Aircraft Company Self-aligned contact diffusion barrier method
US5182619A (en) * 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
JP2855908B2 (ja) 1991-09-05 1999-02-10 日本電気株式会社 半導体装置及びその製造方法
FR2682128B1 (fr) 1991-10-08 1993-12-03 Thomson Csf Procede de croissance de couches heteroepitaxiales.
JPH05175216A (ja) * 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5201995A (en) * 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US5425842A (en) 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
US5285089A (en) * 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
US5378641A (en) * 1993-02-22 1995-01-03 Micron Semiconductor, Inc. Electrically conductive substrate interconnect continuity region and method of forming same with an angled implant
JP2791260B2 (ja) 1993-03-01 1998-08-27 株式会社東芝 半導体装置の製造方法
JP3299807B2 (ja) * 1993-04-07 2002-07-08 シャープ株式会社 ヘテロ接合バイポーラトランジスタ
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (ja) 1993-05-12 2002-06-17 日本電信電話株式会社 集積化受光回路
US5341016A (en) 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
JP3009979B2 (ja) * 1993-07-05 2000-02-14 シャープ株式会社 半導体装置及びその製造方法
EP0637063B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5422502A (en) 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (ja) * 1993-12-16 1995-07-04 Mitsubishi Electric Corp 横型減圧cvd装置及びそのクリーニング方法
JP2611640B2 (ja) 1993-12-20 1997-05-21 日本電気株式会社 ヘテロ接合バイポーラトランジスタ
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
DE69534688D1 (de) 1994-10-31 2006-01-19 St Microelectronics Inc Verfahren zur Bildung von erhöhten Source- und Drainzonen in integrierten Schaltungen
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FR2728388A1 (fr) * 1994-12-19 1996-06-21 Korea Electronics Telecomm Procede de fabrication d'un transistor bipolaire
US5480814A (en) * 1994-12-27 1996-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Process of making a polysilicon barrier layer in a self-aligned contact module
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5656519A (en) 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
JPH08236540A (ja) 1995-03-01 1996-09-13 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
JP2914213B2 (ja) * 1995-03-28 1999-06-28 日本電気株式会社 半導体装置及びその製造方法
JPH08306700A (ja) * 1995-04-27 1996-11-22 Nec Corp 半導体装置及びその製造方法
US5508212A (en) * 1995-04-27 1996-04-16 Taiwan Semiconductor Manufacturing Co. Salicide process for a MOS semiconductor device using nitrogen implant of titanium
US5665614A (en) * 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5888903A (en) * 1995-06-07 1999-03-30 Texas Instruments Incorporated Self-aligned silicide process
US6060397A (en) 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US5756394A (en) * 1995-08-23 1998-05-26 Micron Technology, Inc. Self-aligned silicide strap connection of polysilicon layers
US5656546A (en) 1995-08-28 1997-08-12 Taiwan Semiconductor Manufacturing Company Ltd Self-aligned tin formation by N2+ implantation during two-step annealing Ti-salicidation
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE19533313A1 (de) 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US5637518A (en) 1995-10-16 1997-06-10 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US6096638A (en) 1995-10-28 2000-08-01 Nec Corporation Method for forming a refractory metal silicide layer
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
JP3734559B2 (ja) 1996-03-15 2006-01-11 富士通株式会社 半導体装置の製造方法
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6058945A (en) 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
US5670404A (en) 1996-06-21 1997-09-23 Industrial Technology Research Institute Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
NL1003538C2 (nl) 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US6177761B1 (en) * 1996-07-17 2001-01-23 Teledyne Lighting And Display Products, Inc. LED with light extractor
JP2839018B2 (ja) 1996-07-31 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6043519A (en) * 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
US5945350A (en) 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5683922A (en) 1996-10-04 1997-11-04 United Microelectronics Corporation Method of fabricating a self-aligned contact
KR100236069B1 (ko) * 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
JP2950272B2 (ja) 1997-01-24 1999-09-20 日本電気株式会社 半導体薄膜の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348096B1 (en) * 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
JP3938610B2 (ja) 1997-03-14 2007-06-27 昌之 都田 基体の浮上装置並びに基体浮上型の加熱装置及び製膜装置
EP0874405A3 (en) 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
CA2258080C (en) 1997-04-11 2007-06-05 Nichia Chemical Industries, Ltd. Nitride semiconductor growth method, nitride semiconductor substrate, and nitride semiconductor device
US5859447A (en) * 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2764118B1 (fr) 1997-05-30 2000-08-04 Thomson Csf Transistor bipolaire stabilise avec elements isolants electriques
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6117761A (en) 1997-08-23 2000-09-12 Micron Technology, Inc. Self-aligned silicide strap connection of polysilicon layers
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
JP2967477B2 (ja) * 1997-11-26 1999-10-25 日本電気株式会社 半導体装置の製造方法
JPH11176822A (ja) 1997-12-05 1999-07-02 Hitachi Ltd 半導体処理装置
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6031225A (en) * 1998-02-05 2000-02-29 Robotic Vision Systems, Inc. System and method for selective scanning of an object or pattern including scan correction
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6147405A (en) 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6221711B1 (en) 1998-05-11 2001-04-24 Micron Technology, Inc. Methods of electrically contacting to conductive plugs, methods of forming contact openings, and methods of forming dynamic random access memory circuitry
KR100296117B1 (ko) 1998-05-27 2001-10-26 윤덕용 화학기상증착법에의한코발트다이실리사이드콘택형성방법
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6221168B1 (en) * 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
EP1114464A1 (en) 1998-08-20 2001-07-11 THE UNITED STATES OF AMERICA as represented by THE SECRETARY OF THE NAVY Electronic devices with barrier film and process for making same
KR100332364B1 (ko) 1998-09-01 2002-09-18 지니텍 주식회사 금속막의형성방법
CN1250945A (zh) 1998-09-04 2000-04-19 佳能株式会社 半导体基片及其制造方法
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100566905B1 (ko) 1998-09-11 2006-07-03 에이에스엠지니텍코리아 주식회사 표면 촉매를 이용한 화학 증착방법_
KR100273474B1 (ko) 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
JP3478141B2 (ja) 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100280102B1 (ko) 1998-10-13 2001-03-02 윤덕용 코발트-카본 합금박막을 이용한 단결정 코발트다이실리사이드콘택 형성방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6454854B1 (en) 1998-10-29 2002-09-24 Shin-Etsu Handotai Co., Ltd. Semiconductor wafer and production method therefor
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
JP2000208437A (ja) 1999-01-08 2000-07-28 United Microelectronics Corp ケイ化物層の形成方法
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
US6207567B1 (en) * 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) * 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP4010724B2 (ja) * 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
US6365453B1 (en) * 1999-06-16 2002-04-02 Micron Technology, Inc. Method and structure for reducing contact aspect ratios
US6539891B1 (en) 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6415198B1 (en) * 1999-06-25 2002-07-02 Applied Materials, Inc. Plasma etching of silicon using a chlorine chemistry augmented with sulfur dioxide
KR100301246B1 (ko) * 1999-06-30 2001-11-01 박종섭 반도체 소자의 제조 방법
US6190453B1 (en) * 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
EP1247292B1 (en) 1999-12-15 2009-02-04 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
JP2001274387A (ja) 2000-03-28 2001-10-05 Seiko Epson Corp 半導体装置およびその製造方法
JP3492973B2 (ja) * 2000-03-30 2004-02-03 株式会社東芝 半導体装置の製造方法
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US7141278B2 (en) 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6372584B1 (en) * 2000-08-01 2002-04-16 Advanced Micro Devices, Inc. Method for making raised source/drain regions using laser
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3557457B2 (ja) 2001-02-01 2004-08-25 東北大学長 SiC膜の製造方法、及びSiC多層膜構造の製造方法
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP2004533118A (ja) * 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド 低温搬入出およびベーク
US6614695B2 (en) 2001-08-24 2003-09-02 Micron Technology, Inc. Non-volatile memory with block erase
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6743721B2 (en) 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP4695824B2 (ja) 2003-03-07 2011-06-08 富士電機ホールディングス株式会社 半導体ウエハの製造方法
US7153772B2 (en) 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7057216B2 (en) 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
JP2005158786A (ja) 2003-11-20 2005-06-16 Seiko Epson Corp 半導体装置及びその製造方法
US6974730B2 (en) 2003-12-17 2005-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
CN100521114C (zh) * 2004-01-23 2009-07-29 Nxp股份有限公司 制造单晶发射区的方法
WO2005116304A2 (en) 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
KR20050104228A (ko) * 2004-04-28 2005-11-02 주식회사 하이닉스반도체 반도체 소자의 콘택플러그 형성방법
KR20050104229A (ko) * 2004-04-28 2005-11-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7135391B2 (en) * 2004-05-21 2006-11-14 International Business Machines Corporation Polycrystalline SiGe junctions for advanced devices
KR100547934B1 (ko) * 2004-08-20 2006-01-31 삼성전자주식회사 트랜지스터 및 그의 제조 방법
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7226820B2 (en) 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
US7405131B2 (en) 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US20070048956A1 (en) 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
WO2007137599A1 (en) 2006-05-26 2007-12-06 Airbus Deutschland Gmbh Method for repairing a damaged outer skin region on an aircraft
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch

Also Published As

Publication number Publication date
WO2007145758A3 (en) 2008-02-07
US20120244688A1 (en) 2012-09-27
TWI404123B (zh) 2013-08-01
US8278176B2 (en) 2012-10-02
KR20090037424A (ko) 2009-04-15
CN101454874A (zh) 2009-06-10
EP2022083A2 (en) 2009-02-11
KR20140089404A (ko) 2014-07-14
KR101544931B1 (ko) 2015-08-17
JP2009540565A (ja) 2009-11-19
KR101521878B1 (ko) 2015-05-20
TW200805460A (en) 2008-01-16
WO2007145758A2 (en) 2007-12-21
US20070287272A1 (en) 2007-12-13
US9312131B2 (en) 2016-04-12

Similar Documents

Publication Publication Date Title
CN101454874B (zh) 半导体薄膜的选择性外延形成
US11495459B2 (en) Methods for selective deposition using a sacrificial capping layer
US20220310825A1 (en) Method for depositing a group iv semiconductor and related semiconductor device structures
US7759199B2 (en) Stressor for engineered strain on channel
TWI385714B (zh) 含矽薄膜的選擇性沉積
US20140120678A1 (en) Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
JP4117914B2 (ja) 半導体層中のドーパント拡散制御プロセス及びそれにより形成された半導体層
US8367528B2 (en) Cyclical epitaxial deposition and etch
KR20190009700A (ko) Iv족 반도체의 선택적 증착 방법 및 이와 관련된 반도체 소자 구조
US8759200B2 (en) Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US20150247259A1 (en) Low-temperature selective epitaxial growth of silicon for device integration
US20130320429A1 (en) Processes and structures for dopant profile control in epitaxial trench fill
US20120024223A1 (en) Thin films and methods of making them using cyclohexasilane
JPH1167666A (ja) UHV−CVDによるSi及びSiGe皮膜中の断続的「デルタ状」ドーピング
KR20090015138A (ko) 클로로폴리실란들을 이용한 실리콘-포함 막들의 선택적 증착 방법들 및 시스템들
CN101714528A (zh) 半导体装置及其制造方法
WO2008054957A1 (en) System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
TWI765765B (zh) 包含具氧單層及碳單層之超晶格之半導體元件及相關方法
WO2006039715A1 (en) Semiconductor devices having bonded interfaces and methods for making the same
JP2003318110A (ja) 半導体基板とその製法,半導体装置の製法
WO2024091413A1 (en) Low temperature co-flow epitaxial deposition process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210409

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.