TWI272680B - Semiconductor device and its manufacturing method - Google Patents

Semiconductor device and its manufacturing method Download PDF

Info

Publication number
TWI272680B
TWI272680B TW091138088A TW91138088A TWI272680B TW I272680 B TWI272680 B TW I272680B TW 091138088 A TW091138088 A TW 091138088A TW 91138088 A TW91138088 A TW 91138088A TW I272680 B TWI272680 B TW I272680B
Authority
TW
Taiwan
Prior art keywords
effect transistor
field effect
insulating film
channel
type field
Prior art date
Application number
TW091138088A
Other languages
English (en)
Other versions
TW200304680A (en
Inventor
Seita Hachimine
Akihiro Shimizu
Nagatoshi Ooki
Satoru Sakai
Naoki Yamamoto
Original Assignee
Hitachi Ltd
Hitachi Ulsi Sys Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi Ulsi Sys Co Ltd filed Critical Hitachi Ltd
Publication of TW200304680A publication Critical patent/TW200304680A/zh
Application granted granted Critical
Publication of TWI272680B publication Critical patent/TWI272680B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate

Description

經濟部智慧財產局g(工消費合作社印製 1272680 A7 _B7 五、發明説明(1 ) 【發明領域】 本發明是關於半導體裝置及其製造技術,特別是關於 適用於在同一基板具有η通道導電型場效電晶體以及p通 道導電型場效電晶體的半導體裝置及其製造型FET之有效 技術。 【發明背景】 【習知技藝之說明】 搭載於半導體裝置的場效電晶體已知有例如稱爲 MISFET(金屬-絕緣體·半導體場效電晶體,Metal Insulator Semiconductor Field Effect Transistor)的絕緣聞型場效電晶 體。此MISFET因具有容易高積集化的特徵,故作爲構成積 體電路的電路元件廣泛被使用。 MISFET不問η通道導電型以及p通道導電型,一般成 爲具有通道形成區域、閘絕緣膜、閘電極、源極區域以及 汲極區域等的構成。閘絕緣膜配設於半導體基板的電路形 成面(一主面)的元件形成區域,例如以氧化矽膜形成。閘電 極是在半導體基板的電路形成面的元件形成區域上隔著閘 絕緣膜而配設,例如以導入有降低電阻値的雜質的多晶矽 膜形成。通道形成區域配設於面對閘電極的半導體基板的 區域(閘電極正下方)。源極區域以及汲極區域是在配設於通 道形成區域的通道長方向中的兩側的半導體區域(雜質擴散 區域)形成。 此外,在MISFET中閘絕緣膜由氧化矽膜構成者通常被 -----------* 裝----;---訂----------, I (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -5- 1272680 A7 B7 五、發明説明(2) 稱爲MOSFET (金屬·氧化物·半導體場效電晶體,Metal Oxide Semiconductor Field Effect Transistor)。而且,通道形 成區域是指形成有連結源極區域與汲極區域的電流通路(通 道(Channel))的區域。而且,稱電流流過半導體基板的厚度 方向(深度方向)者爲縱型,電流流過半導體基板的平面方向 (表面方向)者爲橫型。而且,稱在源極區域與汲極區域之間 (閘電極下)的通道形成區域產生電子的通道(導電通路)者爲 η型(或η通道導電型);稱產生電洞的通道者爲p型(或p通 道導電型)。 【發明槪要】 但是,在0.1// m水平時代的超微細CMIS(互補型金屬· 絕緣體-半導體,Complementary MIS)製程,由新材料的導 入、MISFET的短通道效應抑制等的理由低溫化持續進行。 此點在元件中容易殘留製程起因的殘留應力。製程起因的 殘留應力是在半導體基板的電路形成面的表層部即MISFET 的通道形成區域作用。 在一般的CMIS(互補型MIS)製程中,例如在半導體基 板的電路形成面上形成層間絕緣膜(Interlayer dielectric film)的情形,在η通道導電型MISFET以及p通道導電型 MISFET上使用同一材料的結果在同一晶片內於MISFET的 通道形成區域作用的應力大致相同。而且,通常藉由製程 的工夫謀求在η通道導電型MISFET以及p通道導電型 MISFET的通道形成區域作用的應力的降低化。 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297公釐) (請先閱讀背面之注意事項再填寫本頁) -項再填办 經濟部智慧財產局B(工消費合作社印製 -6 - 1272680 A7 B7 五、發明説明(3) (請先閲讀背面之注意事項再填寫本頁) 而且,針對對通道形成區域的應力的電晶體特性的變 化,在與汲極電流(Id)的流動方向(閘極長方向)相同方向施 加應力的情形已知 (1) 、η通道導電型MISFET的汲極電流在壓縮應力減少 在拉伸應力增加。 (2) 、ρ通道導電型MISFET的汲極電流在壓縮應力增加 在拉伸應力減少。 但是,其變化爲頂多數%以下(參照文獻:ieee TRANSACTIONS ON ELECTRON DEVICE. VOL.38.NO.APRIL 1991 p89 8〜p900)。此爲在像例如閘極長尺寸爲1/z m的長度 尺寸的製程世代,也有取決於充分高溫長時間的回火 (Anneal)被進行。 如果依照本發明者等的檢討,得知若微細化MISFET的 閘極長到0.1 // m附近使製程低溫化的話則殘留應力增大, 因通道形成區域的應力造成的對電晶體特性的影響非常大 〇 經濟部智慧財產局工消費合作社印製 例如得知若在MISFET的形成後改變兼具層間絕緣膜的 自對準接觸(Self-aligned contact)用的電漿CVD氮化膜(由電 漿CVD法形成的氮化膜)的形成條件的話,膜中的應力由壓 縮方向朝拉伸方向大大地變化,根據此變化MISFET的電晶 體特性也大大地變化。此點顯示於圖2的汲極電流變動率 的膜應力依存性。但是,圖中的應力値並非顯示MISFET的 通道形成區域的內部應力,而是由被覆層間絕緣膜後的晶 圓的翹曲換算求得的層間絕緣膜自身的値。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 kl B7 五、發明説明(4 ) " (請先閲讀背面之注意事項再填寫本頁) 由應力造成的影響雖然爲與前述文獻相同的傾向,但 其大小若爲土 10〜20%則會變大到一位數以上。再者,在η 通道導電型MISFET與ρ通道導電型MISFET,依照膜的應 力汲極電流的增減明顯地顯示相反的方向。 因此,若改變層間絕緣膜等的形成條件使內部應力的 大小變化的話,η通道導電型MISFET以及ρ通道導電型 MISFET的汲極電流顯示相反的動向,有無法同時提高兩元 件的汲極電流的問題。 而且再者,在0.1 // m水平以後由此應力造成的汲極電 流的變動也爲± 10〜20%以上,有η通道導電型MISFET與ρ 通道導電型MISFET的汲極電流的平衡變化的問題。 本發明的目的爲提供可謀求η通道導電型場效電晶體 以及Ρ通道導電型場效電晶體的汲極電流的增加(謀求電流 驅動能力的增加)的技術。 本發明的其他目的爲提供可自由設定η通道導電型場 效電晶體以及ρ通道導電型場效電晶體的汲極電流比的技 術。 經濟部智慧財產局員工消費合作社印製 在本案中所揭示的發明之中,若簡單地說明代表的發 明的槪要的話,如以下所示。 本發明的主旨爲藉由膜的應力控制在η通道導電型場 效電晶體以及ρ通道導電型場效電晶體的各個通道形成區 域作用的應力於各個汲極電流增加的方向。在η通道導電 型場效電晶體藉由沿著汲極電流的流動方向(閘極長方向)的 拉伸應力在通道形成區域作用使汲極電流增加。在ρ通道 本纸張尺度適用中國國家標準(CNS ) Α4規格(21〇Χ;297公釐) -8- 1272680 A7 B7 五、發明説明(6 ) 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,包含: (請先閲讀背面之注意事項再填寫本頁) 在以絕緣膜覆蓋前述η通道導電型場效電晶體的閘電 極與前述半導體基板的元件分離區域之間的半導體區域的 狀態下,用以在前述η通道導電型場效電晶體以及前述ρ 通道導電型場效電晶體上覆蓋這些電晶體的閘電極,在前 述Ρ通道導電型場效電晶體的通道形成區域形成使壓縮應 力產生的第一絕緣膜的(a)製程; 實施蝕刻處理,選擇性地除去前述η通道導電型場效 電晶體上的前述第一絕緣膜的(b)製程; 用以在前述η通道導電型場效電晶體以及前述ρ通道 導電型場效電晶體上覆蓋這些電晶體的閘電極,在前述η 通道導電型場效電晶體的通道形成區域形成使拉伸應力產 生的第二絕緣膜的(c)製程;以及 選擇性地除去前述Ρ通道導電型場效電晶體上的前述 第二絕緣膜的(d)製程。 經濟部智慧財產局員工消費合作社印製 (3) 、在前述手段(1)或(2)中,覆蓋前述半導體區域的絕 緣膜包含形成於前述閘電極側壁的側壁間隙壁,與用以覆 蓋前述側壁間隙壁而形成的沉積膜。 (4) 、在前述手段(1)或(2)中,覆蓋前述半導體區域的絕 緣膜包含形成於前述閘電極側壁的側壁間隙壁,與用以覆 蓋前述側壁間隙壁而形成的沉積膜, 在前述半導體區域的表面配設有對準前述側壁間隙壁 而形成的金屬/半導體反應層。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -10- 1272680 Α7 Β7 五、發明説明(7 ) (請先閲讀背面之注意事項再填寫本頁) (5) 、在前述手段(1)或(2)中,覆蓋前述半導體區域的絕 緣膜包含形成於前述閘電極側壁的側壁間隙壁,與形成於 前述側壁間隙壁與前述兀件分離區域之間的熱氧化膜。 (6) 、在前述手段(1)或(2)中,覆蓋前述半導體區域的絕 緣膜包含形成於前述閘電極側壁的側壁間隙壁,與形成於 前述側壁間隙壁與前述元件分離區域之間的熱氧化膜, 在前述半導體區域的表面配設有對準前述側壁間隙壁 而形成的金屬/半導體反應層。 (7) 、在前述手段(1)或(2)中,前述第一以及第二絕緣膜 爲以LP-CVD(低壓化學氣相成長:l〇w Pressure-Chemical Vapor Deposition)法、電漿CVD法或單片熱CVD法等形成 的氮化矽膜。 (8) 、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,包含: 經濟部智慧財產局員工消費合作社印製 在前述η通道導電型以及ρ通道導電型場效電晶體的 閘電極與前述半導體基板的元件分離區域之間的半導體區 域上對準前述閘電極,形成第一側壁間隙壁的(a)製程; 在前述半導體區域的表面對準前述第一側壁間隙壁, 形成金屬/半導體反應層的(b)製程; 在前述金屬/半導體反應層上對準前述第一側壁間隙壁 ,形成第二側壁間隙壁的(c)製程; 用以在前述η通道導電型以及ρ通道導電型場效電晶 體上覆蓋這些電晶體的閘電極,在前述η通道導電型場效 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -11 - 1272680 A7 B7 五、發明説明(8 ) 電晶體的通道形成區域形成使拉伸應力產生的第一絕緣膜 的(d)製程; (請先聞讀背面之注意事項再填寫本頁) 實施鈾刻處理,選擇性地除去前述P通道導電型場效 電晶體上的前述第一絕緣膜的(e)製程; 用以在前述η通道導電型場效電晶體以及前述p通道 導電型場效電晶體上覆蓋這些電晶體的閘電極,在前述ρ 通道導電型場效電晶體的通道形成區域形成使壓縮應力產 生的第二絕緣膜的(f)製程;以及 選擇性地除去前述η通道導電型場效電晶體上的前述 第二絕緣膜的(g)製程。 (9)、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及ρ通道導電型場效電 晶體,包含: 在前述η通道導電型以及ρ通道導電型場效電晶體的 閘電極與前述半導體基板的元件分離區域之間的半導體區 域上對準前述閘電極,形成第一側壁間隙壁的(a)製程; 經濟部智慧財產局員工消費合作社印製 在前述半導體區域的表面對準前述第一側壁間隙壁, 形成金屬/半導體反應層的(b)製程; 在前述金屬/半導體反應層上對準前述第一側壁間隙壁 ,形成第二側壁間隙壁的(c)製程; 用以在前述η通道導電型以及ρ通道導電型場效電晶 體上覆蓋這些電晶體的閘電極,在前述ρ通道導電型場效 電晶體的通道形成區域形成使壓縮應力產生的第一絕緣膜 的(d)製程; 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12- 1272680 Α7 Β7 五、發明説明(9 ) 實施蝕刻處理,選擇性地除去前述η通道導電型場效 電晶體上的前述第一絕緣膜的(e)製程; (請先閲讀背面之注意事項再填寫本頁) 用以在前述η通道導電型場效電晶體以及前述p通道 導電型場效電晶體上覆蓋這些電晶體的閘電極,在前述η 通道導電型場效電晶體的通道形成區域形成使拉伸應力產 生的第二絕緣膜的(f)製程;以及 選擇性地除去前述P通道導電型場效電晶體上的前述 第二絕緣膜的(g)製程。 (10) 、在前述手段(8)或(9)中,前述第一以及第二絕緣 膜爲以LP-CVD法、電漿CVD法或單片熱CVD法等形成的 氮化矽膜。 (11) 、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,包含: 在前述η通道導電型場效電晶體以及前述ρ通道導電 型場效電晶體上用以覆蓋這些電晶體的閘電極形成具有拉 伸應力的第一絕緣膜的(a)製程; 經濟部智慧財產局員工消費合作社印製 在前述η通道導電型場效電晶體以及前述ρ通道導電 型場效電晶體上用以覆蓋這些電晶體的閘電極形成具有絕 對値比前述第一絕緣膜的拉伸應力還大的壓縮應力的第二 絕緣膜的(b)製程;以及 實施蝕刻處理,選擇性地除去前述η通道導電型場效 電晶體上的前述第二絕緣膜的(c)製程; 前述第二絕緣膜的壓縮應力爲前述第一絕緣膜的拉伸 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -13- 1272680 Α7 Β7 五、發明説明(10 ) 應力的兩倍以上。 (請先閲讀背面之注意事項再填寫本頁) 前述第一以及第二絕緣膜爲以LP-CVD法、電漿CVD 法或單片熱JVD法等形成的氮化矽膜。 (12) 、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,包含: 在前述η通道導電型場效電晶體以及前述ρ通道導電 型場效電晶體上用以覆蓋這些電晶體的閘電極形成具有壓 縮應力的第一絕緣膜的U)製程; 在前述η通道導電型場效電晶體以及前述ρ通道導電 型場效電晶體上用以覆蓋這些電晶體的閘電極形成具有絕 對値比前述第一絕緣膜的壓縮應力還大的拉伸應力的第二 絕緣膜的(b)製程;以及 實施蝕刻處理,選擇性地除去前述ρ通道導電型場效 電晶體上的前述第二絕緣膜的(c)製程。 前述第二絕緣膜的拉伸應力爲前述第一絕緣膜的壓縮 應力的兩倍以上。 經濟部智慧財產局員工消費合作社印製 前述第一以及第二絕緣膜爲以LP-CVD法、電漿CVD 法或單片熱CVD法等形成的氮化矽膜。 (13) 、一種半導體裝置,具有形成於半導體基板的η通 道導電型場效電晶體以及ρ通道導電型場效電晶體,其中 具有拉伸應力的第一絕緣膜是在前述η通道導電型以 及Ρ通道導電型場效電晶體上用以覆蓋這些電晶體的閘電 極而形成, 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ29?公釐) -14- 經濟部智慧財產局員工消費合作社印製 1272680 A7 __B7 五、發明説明(11) 具有絕對値比前述第一絕緣膜的拉伸應力還大的壓縮 應力的第二絕緣膜是在前述P通道導電型場效電晶體上用 以覆蓋此閘電極而選擇性地形成。 前述第二絕緣膜的壓縮應力爲前述第一絕緣膜的拉伸 應力的兩倍以上。 前述第一以及第二絕緣膜爲以LP-CVD法、電漿CVD 法或單片熱CVD法等形成的氮化矽膜。 (14) 、一種半導體裝置,具有形成於半導體基板的η通 道導電型場效電晶體以及ρ通道導電型場效電晶體,其中 具有壓縮應力的第一絕緣膜是在前述η通道導電型以 及Ρ通道導電型場效電晶體上用以覆蓋這些電晶體的閘電 極而形成, 具有絕對値比前述第一絕緣膜的壓縮應力還大的拉伸 應力的第二絕緣膜是在前述η通道導電型場效電晶體上用 以覆蓋此閘電極而選擇性地形成。 前述第二絕緣膜的拉伸應力爲前述第一絕緣驊的壓縮 應力的兩倍以上。 前述第一以及第二絕緣膜爲以LP-CVD法、電漿CVD 法或單片熱CVD法等形成的氮化矽膜。 (15) 、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及ρ通道導電型場效電 晶體,包含: 在前述η通道導電型場效電晶體以及ρ通道導電型場 效電晶體上用以覆蓋這些電晶體的閘電極形成具有拉伸應 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) ,ς ----------^-裝----;---訂----- (請先閲讀背面之注意事項再填寫本頁) 1272680 Α7 Β7 五、發明説明(12) 力的絕緣膜的製程;以及 對前述P通道導電型場效電晶體上的前述絕緣膜導入 元素,將前述絕緣膜變換成在前述P通道導電型場效電晶 體的通道形成區域使壓縮應力產生的膜的製程。 前述元素爲與包含於前述絕緣膜的元素同一的元素。 前述元素的導入是以對前述半導體基板垂直地離子植 入前述元素的方法或對前述半導體基板斜斜地離子植入前 述元素的方法來進行。 前述絕緣膜爲以LP-CVD法、電漿CVD法或單片熱 CVD法等形成的氮化矽膜。 (16)、一種半導體裝置,具有形成於半導體基板的η通 道導電型場效電晶體以及ρ通道導電型場效電晶體,其中 在前述η通道導電型以及ρ通道導電型場效電晶體上 用以覆蓋這些電晶體的閘電極而形成有膜, 則述膜具有在則述η通道導電型場效電晶體的通道形 成區域使拉伸應力產生的膜應力的第一部分,與在前述ρ 通道導電型場效電晶體的通道形成區域使壓縮應力產生的 膜應力的第二部分, 則述膜的第一部分爲膜中的兀素濃度比前述第一部分 尚。 前述膜爲以LP-CVD法、電漿CVD法或單片熱CVC)法 等形成的氮化矽膜。 如果依照前述手段,分別對η通道導電型場效電晶體 的通道形成區域、ρ通道導電型場效電晶體的通道形成區域 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) I— I - -1 r: lit =:=· -- — n (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局Μ工消費合作社印製 -16- 1272680 A7 ____B7 五、發明説明(13) (請先閱讀背面之注意事項再填寫本頁) 給予拉伸應力、壓縮應力的結果,如圖2所示根據η通道 導電型場效電晶體以及ρ通道導電型場效電晶體的各通道 形成區域作用的應力的大小,在η通道導電型場效電晶體 以及Ρ通道導電型場效電晶體汲極電流都增加。 而且,因可個別控制在η通道導電型場效電晶體以及ρ 通道導電型場效電晶體的通道形成區域作用的應力,故可 自由控制η通道導電型場效電晶體與ρ通道導電型場效電 晶體的汲極電流比。 此處定義幾個用語。 在場效電晶體的通道形成區域作用的拉伸應力是指通 道形成區域爲矽(Si)的情形,Si的晶格常數比平衡狀態大的 應力。 在場效電晶體的通道形成區域作用的壓縮應力是指通 道形成區域爲矽(Si)的情形,Si的晶格常數比平衡狀態小的 應力。 膜所具有的拉伸應力是指在場效電晶體的通道形成區 域使拉伸應力產生的應力。 經濟部智慧財產局S工消費合作社印製 膜所具有的壓縮應力是指在場效電晶體的通道形成區 域使壓縮應力產生的應力。 因此,本發明的主旨爲通道形成區域中的矽原子的原 子間距離在η通道導電型場效電晶體與ρ通道導電型場效 電晶體不同的換言之應變的大小不同,再者矽原子間距離 意味著在η通道導電型場效電晶體的通道形成區域比ρ通 道導電型場效電晶體的通道形成區域還大。 -17- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(14) 本發明的前述以及其他目的與新穎的特徵可由本說明 書的記述以及添付圖面而明瞭。 此外,本發明者在構成本發明的過程發現新的問題點 。針對此問題點與適用本發明的實施形態一起說明。 【圖式之簡單說明】 圖1是顯示本發明的實施形態1的半導體裝置的槪略 構成的模式的剖面圖。 圖2是顯示汲極電流變動率的膜應力依存性的特性圖 〇 圖3是顯示電流方向與膜應力方向的關係的模式的剖 面圖。 圖4是顯示電流方向與膜應力方向的關係的模式的俯 視圖。 圖5是本發明的實施形態1的半導體裝置的製程中的 模式的剖面圖。 圖6是接著圖5的半導體裝置的製程中的模式的剖面 圖。 圖7是接著圖6的半導體裝置的製程中的模式的剖面 圖。 圖8是接著圖7的半導體裝置的製程中的模式的剖面 圖。 圖9是接著圖8的半導體裝置的製程中的模式的剖面 圖。 (請先閱讀背面之注意事 4 項再填. 裝— :寫本頁) 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -18- 1272680 A7 B7 五、發明説明(15) 圖10是接著圖9的半導體裝置的製程中的模式的剖面 圖。 圖11是接著圖10的半導體裝置的製程中的模式的剖 面圖。 圖12是接著圖11的半導體裝置的製程中的模式的剖 面圖。 圖13是接著圖12的半導體裝置的製程中的模式的剖 面圖。 圖14是接著圖13的半導體裝置的製程中的模式的剖 面圖。 圖15是接著圖14的半導體裝置的製程中的模式的剖 面圖。 圖1 6是接著圖1 5的半導體裝置的製程中的模式的剖 面圖。 圖17是接著圖16的半導體裝置的製程中的模式的剖 面圖。 圖18是接著圖17的半導體裝置的製程中的模式的剖 面圖。 圖19是接著圖18的半導體裝置的製程中的模式的剖 面圖。 圖20是用以說明在構成本發明的過程由本發明者發現 的問題點的模式的剖面圖。 圖21是用以說明在構成本發明的過程之中由本發明者 發現的問題點的模式的剖面圖。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 項再填 經濟部智慧財產局員工消費合作社印製 •19- 1272680 A7 B7 五、發明説明(16) 圖22是用以說明在構成本發明的過程之中由本發明者 發現的問題點的模式的剖面圖。 圖23是用以說明在構成本發明的過程之中由本發明者 發現的問題點的模式的剖面圖。 圖24是顯示本發明的實施形態1的變形例的模式的剖 面圖。 圖25是顯示本發明的實施形態2的半導體裝置的槪略 構成的模式的剖面圖。 圖26A、B是本發明的實施形態2的半導體裝置的製程 中的模式的剖面圖。 圖27是本發明的實施形態2的半導體裝置的製程中的 模式的剖面圖。 圖28是本發明的實施形態3的半導體裝置的製程中的 模式的剖面圖。 圖29是本發明的實施形態4的半導體裝置的製程中的 模式的剖面圖。 圖30A、B是顯示本發明的實施形態5的半導體裝置的 槪略構成的模式的剖面圖。 圖31是顯示本發明的實施形態6的半導體裝置的槪略 構成的模式的剖面圖。 圖32是本發明的實施形態6的半導體裝置的製程中的 模式的剖面圖。 圖33是接著圖32的半導體裝置的製程中的模式的剖 面圖。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 項再填办 經濟部智慧財產局員工消費合作钍印製 -20- 1272680 A7 B7 五、發明説明(17) 圖34是接著圖33的半導體裝置的製程中的模式的剖 面圖。 圖35是接著圖34的半導體裝置的製程中的模式的剖 面圖。 圖36是顯示本發明的實施形態6的變形例的模式的剖 面圖。 圖37是顯示本發明的實施形態7的半導體裝置的槪略 構成的模式的剖面圖。 圖38是本發明的實施形態7的半導體裝置的製程中的 模式的剖面圖。 圖39是接著圖38的半導體裝置的製程中的模式剖面 圖。 圖40是顯示本發明的實施形態7的變形例的模式的剖 面圖。 圖41是顯示本發明的實施形態8的半導體裝置的槪略 構成的模式的剖面圖。 圖42是顯示本發明的實施形態9的半導體裝置的槪略 構成的模式的俯視圖。 圖43是沿著圖42的A-A線的模式的剖面圖。 圖44是顯示本發明的實施形態10的半導體裝置的槪 略構成的模式的剖面圖。 【符號說明】 1: p型半導體基板 (請先閲讀背面之注意事項再填寫本頁) 項再填办 經濟部智慧財產局8工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) -21 - 1272680 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(18) 2: p型并區域 3: η型井區域 4:淺渠溝隔離區域 5:閘絕緣膜 6:閘電極 7、 10: η型半導體區域 8、 11: ρ型半導體區域 9、 22:側壁間隙壁 1 2:金屬矽化物層 1 2 a:高熔點金屬膜 1 3、1 5、2 1、4 1:絕緣膜 14a、14b、24:氮化矽膜 16:層間絕緣膜 17:雜質 18:源極/汲極用接觸孔 19:導電性插塞 20:配線 24a:第一部分 24b:第二部分 30:通道形成區域 3 1:汲極電流方向 32、33:半導體區域 34:膜 35a、35b:層差部 ί 批衣-- (請先閲讀背面之注意事項再填寫本頁) > -- Γ · 、11 本纸張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) -22- 1272680 A7 B7 經濟部智慧財產局g(工消費合作社印製 五、發明説明(19) X:閘極長方向 γ:閘極寬方向 40:半導體基板 40a、40c:半導體層 40b:絕緣層 【較佳實施例之詳細說明】 以下參照圖面詳細說明本發明的實施形態。此外,在 用以說明發明的實施形態的全圖中,對具有同一功能的構 件附加同一符號,省略其重複說明。而且,爲了使圖面易 見起見,顯示剖面的陰影線省略一部分。 (實施形態1) 在本實施形態1是說明關於使適用本發明於具有電源 電壓爲1〜1.5V、閘極長爲 0.1〜0.14 // m左右的互補型 MISFET的半導體裝置的例子。 圖1是顯示本發明的實施形態1的半導體裝置的槪略 構成的模式的剖面圖。 圖2是顯示汲極電流變動率的膜應力依存性的特性圖 〇 圖3以及圖4是顯示電流方向與膜應力方向的關係的 模式的俯視圖以及模式的剖面圖。 圖5至圖19是圖1的半導體裝置的製程中的模式的剖 面圖。 (請先閱讀背面之注意事- 項再填· :寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -23- 1272680 Α7 Β7 五、發明説明(2Q) 圖20至圖23是用以說明在構成本發明的過程由本發 明者發現的問題點的模式的剖面圖。 (請先閱讀背面之注意事項再填寫本頁) 在圖1、圖5至圖19面向前左側爲η通道導電型 MISFET(n-ch MISFET),右側爲 ρ 通道導電型 MISFET(p-ch MISFET)。 經濟部智慧財產局員工消費合作社印製 如圖1所示本實施形態的半導體裝置,半導體基板是 以例如由單晶矽構成的ρ型矽基板(以下僅稱爲ρ型基板)1 爲主體而構成。ρ型基板1的電路形成面(一主面)具有nMIS 形成區域(第一元件形成區域)ln以及pMIS形成區域(第二 元件形成區域)1P,此nMIS形成區域1 η以及pMIS形成區 域lp是由元件分離區域的例如淺渠溝隔離(SGI: Shallow Groove Isolation)區域4互相區分。在nMIS形成區域In形 成有P型井區域2以及η通道導電型MISFET(以下僅稱爲η 型MISFET),在pMIS形成區域lp形成有η型井區域3以 及Ρ通道導電型MISFET(以下僅稱爲ρ型MISFET)。淺渠溝 隔離區域4是藉由在ρ型基板1的電路形成面形成淺渠溝 ,然後在淺渠溝的內部選擇性地埋入絕緣膜(例如氧化矽膜) 而形成。本實施形態的η型以及ρ型MISFET是成爲電流流 過P型基板1的平面方向的橫型構造。 η型MISFET主要成爲具有通道形成區域、閘絕緣膜5 、閘電極6、側壁間隙壁(Sidewall spacer)9、源極區域以及 汲極區域的構成。源極區域以及汲極區域爲成爲具有η型 半導體區域(延伸(Extension)區域)7以及η型半導體區域10 的構成。η型半導體區域7爲對閘電極6自對準地形成,η -24- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X29*7公釐) 1272680 A7 B7 五、發明説明(21) 型半導體區域10是對配設於閘電極6的側壁的側壁間隙壁 9自對準地形成。η型半導體區域10是以比η型半導體區 域7還高的雜質濃度形成。 (請先閲讀背面之注意事項再填寫本頁) ρ型MISFET主要成爲具有通道形成區域、閘絕緣膜5 、閘電極6、側壁間隙壁9、源極區域以及汲極區域的構成 。源極區域以及汲極區域爲成爲具有ρ型半導體區域(延伸 區域)8以及ρ型半導體區域11的構成。ρ型半導體區域8 爲對閘電極6自對準地形成,ρ型半導體區域11是對配設 於閘電極6的側壁的側壁間隙壁9自對準地形成。ρ型半導 體區域11是以比Ρ型半導體區域8還高的雜質濃度形成。 經濟部智慧財產局員工消費合作社印製 在閘電極6、η型半導體區域10、ρ型半導體區域11 的各個表面形成有用以謀求低電阻化的金屬矽化物 (Silicide)層(金屬/半導體反應層)12。配設於閘電極6表面 的金屬矽化物層12、配設於η型半導體區域10以及ρ型半 導體區域11表面的金屬矽化物層12是對配設於閘電極6 的側壁間隙壁9自對準地形成。這些金屬矽化物層12例如 藉由自對準矽化物(Salicide: Self Aligned Silicide)技術形成 。即本實施形態的η型以及ρ型MISFET成爲自對準矽化物 構造。 在P型基板1的電路形成面上形成有由例如氧化矽膜 構成的層間絕緣膜16。層間絕緣膜16是用以覆蓋ρ型基板 1的電路形成面而形成。在η型MISFET與層間絕緣膜16 之間形成有第一氮化膜的例如氮化矽膜14a,作爲在ρ型基 板1的電路形成面使拉伸應力產生的膜。在ρ型MISFET與 -25- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 A7 _B7_ 五、發明説明(22) (請先閲讀背面之注意事項再填寫本頁) 層間絕緣膜16之間形成有第二氮化膜的例如氮化矽膜14b ,作爲在P型基板1的電路形成面使壓縮應力產生的膜。 在本實施形態中氮化矽膜14a是在η型MISFET上用以覆蓋 其閘電極6而選擇性地形成,氮化矽膜14b是在ρ型 MISFET上用以覆蓋其閘電極6而選擇性地形成。 在η型MISFET與氮化矽膜14a之間以及ρ型MISFET 與氮化矽膜14b之間形成有由例如氧化矽膜構成的絕緣膜 13。絕緣膜13是在ρ型基板1的電路形成面上用以覆蓋η 型以及Ρ型MISFET而形成。 在氮化矽膜14a與層間絕緣膜16之間形成有由例如氧 化矽膜構成的絕緣膜15。此絕緣膜15是在氮化矽膜14a上 用以覆蓋此氮化矽膜14a而選擇性地形成。 在η型半導體區域10以及ρ型半導體區域11上形成 有由層間絕緣膜16的表面到達金屬矽化物層12的源極/汲 極用接觸孔1 8,在此源極/汲極用接觸孔1 8的內部埋入有 導電性插塞(Plug) 19。η型半導體區域10以及ρ型半導體區 域11經由金屬矽化物層1 2以及導電性插塞1 9與延伸於層 間絕緣膜16上的配線20電氣連接。 經濟部智慧財4局a(工消費合作社印製 在閘電極6上未圖示,形成有由層間絕緣膜16的表面 到達金屬矽化物層1 2的閘極用接觸孔,在此閘極用接觸孔 的內部埋入有導電性插塞1 9。閘電極6經由金屬矽化物層 12以及閘極用接觸孔內部的導電性插塞19與延伸於層間絕 緣膜16上的配線20電氣連接。 源極/汲極用接觸孔1 8以及閘極用接觸孔是藉由以氮化 -26- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 A7 B7 五、發明説明(23) 砂膜14a以及14b爲鈾刻中止(Etching stopper)膜使用的 SAC(自對準接觸孔,Self Aligned Contact hole)技術而形成 。即氮化矽膜14a以及14b是作爲自對準接觸用絕緣膜使用 〇 氮化矽膜14a以及14b例如由電漿CVD(化學氣相沉積 ,Chemical Vapor Deposition)法形成。此氮化砂膜14a以及 14b藉由改變其形成條件(反應氣體、壓力、溫度、高頻功 率等),可控制在P型基板1的電路形成面產生的應力。在 本實施形態中氮化矽膜14a爲例如膜形成時的高頻功率爲 300〜400W的低功率化,控制在p型基板1的電路形成面產 生的應力於拉伸方向。氮化矽膜14b爲例如膜形成時的高 頻功率爲600〜700W的高功率化,控制在p型基板1的電路 形成面產生的應力於壓縮方向。 因在如此形成的氮化矽膜14a存在+700〜+ 800MPa左右 的拉伸應力,在氮化矽膜14b存在-900〜-lOOOMPa左右的壓 縮應力,故在η型MISFET的通道形成區域產生拉伸應力, 在ρ型MISFET的通道形成區域產生壓縮應力。此結果如圖 2所示,與不被覆氮化矽膜14a以及14b的情形比較,η型 MISFET的汲極電流提高10〜15%,ρ型MISFET的汲極電流 提高15〜20%。此外,這些應力如前述主要施加於與流過通 道形成區域的汲極電流(Id)的方向(閘極長方向)相同方向。 此處針對在MISFET的通道形成區域產生的應力使用簡 略的圖以及與本實施形態一部分不同的符號來說明。圖3 以及圖4所示的MISFET與本實施形態一樣爲自對準矽化物 (請先閱讀背面之注意事_ ▼項再填. :寫本頁) 經濟部智慧財產局員工消費合作社印製 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -27- 1272680 A7 _B7 五、發明説明(24) (請先閲讀背面之注意事項再填寫本頁) 構造,符號30爲MISFET的通道形成區,符號31爲流過通 道形成區域30的汲極電流的方向,符號32爲對準閘電極6 形成的半導體區域、符號3 3爲對準側壁間隙壁9而形成的 半導體區域、符號34爲在通道形成區30用以使應力產生 的膜,符號35a以及35b爲層差部。 經濟部智慈財產局員工消費合作社印¾ 如圖3以及圖4所示,MISFET爲用以在閘電極6的側 壁包圍閘電極6而配設有側壁間隙壁9的構造。因閘電極6 以及側壁間隙壁9由基板突出,故形成有由閘電極6以及 側壁間隙壁9造成的層差部(35a、35b)。在這種構造的 MISFET上用以覆蓋其閘電極6而在通道形成區域30形成 使應力(拉伸應力或壓縮應力)產生的膜34的情形,因在閘 極長方向X中的層差部35a的最下部以及閘極寬方向Y中 的層差部35b的最下部,由膜34造成的應力集中,故以閘 極長方向X中的層差部35a的最下部爲起點的閘極長方向 的膜應力在通道形成區域30作用,並且以閘極寬方向Y中 的層差部35b的最下部爲起點的閘極寬方向的膜應力在通 道形成區域30作用。即由膜34造成的應力爲拉伸應力的 情形,在通道形成區域30產生閘極長方向以及閘極寬方向 的拉伸應力,由膜34造成的應力爲壓縮應力的情形,在通 道形成區域30產生閘極長方向以及閘極寬方向的壓縮應力 〇 但是,由於閘電極6的閘極長方向X中的長度與其閘 極寬方向Y中的長度比較壓倒地小,故集中於閘極寬方向 Y中的層差部3 5b的最下部的拉伸應力或因壓縮應力在通道 -28- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 A7 B7 五、發明説明(25) 形成區域30產生的閘極寬方向的拉伸應力或壓縮應力極度 地小。因此,因膜34在通道形成區域30產生的應力實質 上可僅視爲閘極長方向的拉伸應力或壓縮應力,換言之沿 著汲極電流方向3 1的拉伸應力或壓縮應力。 在p型MISFET中對通道形成區域30施加閘極寬方向 的壓縮應力的情形汲極電流減少被報告。在利用膜34的通 道形成區域30的應力控制如前述因在通道形成區域30產 生的閘極寬方向的壓縮應力極度小,故可有效地進行P型 MISFET的汲極電流增加。因此,利用膜34的通道形成區 域30的應力控制對p型場效電晶體特別有效。 此外,因膜34的應力使在通道形成區域30產生的應 力隨著膜應力的起點離開(遠離)通道形成區域30而減少, 故膜應力的起點盡可能接近通道形成區域30較佳。在前述 的說明,由閘電極6以及側壁間隙壁9造成的層差部(35a、 35b)的最下部成爲膜應力的起點,惟不具有側壁間隙壁9的 MISFET的情形爲閘電極6的側壁的最下部成爲膜應力的起 點。 其次,針對本實施形態1的半導體裝置的製造使用圖5 至圖9來說明。 首先準備由具有電阻率1〇〇 Ω cm的單晶矽構成的p型 基板1,然後如圖5所示,在P型基板1的電路形成面選擇 性地形成P型井區域2以及η型井區域3。 其次如圖5所示,在Ρ型基板1的電路形成面形成淺 渠溝隔離區域4作爲區分nMIS形成區域(第一元件形成區 (請先閱讀背面之注意事 ,項再填. :寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS )八4規格(210X29*7公釐) -29- 1272680 A7 B7 五、發明説明(26) 域Πη以及pMIS形成區域(第二元件形成區域)lp的元件分 離區域。此淺渠溝隔離區域4是在p型基板1的電路形成 面形成淺渠溝(例如300[nm]左右的深度的溝槽),然後在p 型基板1的電路形成面上以CVD法形成例如由氧化矽膜構 成的絕緣膜,然後使絕緣膜僅殘留於淺渠溝的內部藉由以 CMP(化學機械硏磨:Chemical Mechanical Polishing)法平坦 化來形成。 其次如圖6所示實施熱處理在p型基板1的電路形成 面的nMIS形成區域In以及pMIS形成區域lp形成由例如 厚度2〜3nm左右的氧化矽膜構成的閘絕緣膜5,然後在p型 基板 1的電路形成面上的全面以 CVD法形成例如 150〜200nm左右的厚度的多晶矽膜,然後對多晶矽膜實施圖 案形成(Patterning)以形成閘電極6。降低電阻値的雜質在其 沉積中或沉積後被導入多晶矽膜。 其次如圖6所示在未形成有閘電極6的p型井區域2 的部分以離子打入法選擇性地導入雜質例如砷(As),形成一 對η型半導體區域(延伸區域)7,然後在未形成有閘電極6 的η型并區域3的部分以離子打入法選擇性地導入雜質例 如二氟化硼(BF2),形成一對ρ型半導體區域(延伸區域)8。η 型半導體區域7的形成是在以光阻罩幕(Photoresist mask)覆 蓋pMIS形成區域lp的狀態下進行。而且,p型半導體區域 8的形成是在以光阻罩幕覆蓋nMIS形成區域In的狀態下進 行。砷的導入是在加速能量1〜5KeV、劑量(Dose) 1〜 2x1 015/cm2的條件下進行。而且,二氟化硼的導入是在加速 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) (請先閲讀背面之注意事項再填寫本頁) ,項再填六 經濟部智慧財產局員工消費合作社印製 -30- 1272680 Α7 Β7 五、發明説明(27) 能量1〜5KeV、劑量1〜2x 1 015/cm2的條件下進行。η型半導體 區域7以及ρ型半導體區域8是對準閘電極6而形成。 (請先閱讀背面之注意事項再填寫本頁) 此外,在導入雜質形成半導體區域(7、8)後實施活化此 半導體區域(7、8)的熱處理。 其次如圖6所示在閘電極6的側壁形成例如閘極長方 向的膜厚爲50〜7Onm左右的側壁間隙壁9。側壁間隙壁9是 在P型基板1的電路形成面上的全面以CVD法形成由例如 氧化矽膜或氮化矽膜構成的絕緣膜,然後藉由對絕緣膜實 施RIE(反應性離子蝕刻:Reactive Ion Etching)等的非等向性 蝕刻(Anisotropic etch)而形成。側壁間隙壁9是對準閘電極 6而形成。 經濟部智慧財產局員工消費合作社印製 其次如圖6所示在未形成有閘電極6以及側壁間隙壁9 的P型井區域2的部分以離子打入法選擇性地導入雜質例 如砷(As),形成一對η型半導體區域10,然後在未形成有 閘電極6以及側壁間隙壁9的η型井區域3的部分以離子 打入法選擇性地導入雜質例如二氟化硼(BF2),形成一對ρ 型半導體區域11。η型半導體區域10的形成是在以光阻罩 幕覆蓋PMIS形成區域lp的狀態下進行。而且,ρ型半導體 區域11的形成是在以光阻罩幕覆蓋nMIS形成區域In的狀 態下進行。砷的導入是在加速能量 35〜45KeV、劑量 2〜4xl015/cm2的條件下進行。而且,二氟化硼的導入是在加 速能量40〜5 0KeV、劑量2〜4xl015/cm2的條件下進行。η型半 導體區域10以及Ρ型半導體區域11是對準側壁間隙壁9 而形成。 -31 - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 1272680 A7 B7 五、發明説明(28) 此外,在導入雜質形成半導體區域(10、11)後實施活化 此半導體區域(10、11)用的熱處理。 (請先閲讀背面之注意事項再填寫本頁) 在此製程中形成有具有對準閘電極6而形成的η型半 導體區域7以及對準側壁間隙壁9而形成的η型半導體區 域1 0的源極區域以及汲極區域。而且,形成有具有對準閘 電極6而形成的ρ型半導體區域8以及對準側壁間隙壁9 而形成的Ρ型半導體區域11的源極區域以及汲極區域。而 且形成有橫型的η型以及ρ型MISFET。 經濟部智慧財產局員工消費合作社印製 其次,除去自然氧化膜等使閘電極6以及半導體區域 (10、11)的表面露出後如圖7所示,在包含這些的表面上之 Ρ型基板1的電路形成面上的全面以濺鍍(Sputtering)法形成 例如鈷(Co)膜12a當作高熔點金屬膜,然後如圖8所示實施 熱處理,使閘電極6的矽(Si)與鈷膜12a的Co反應在閘電 極6的表面形成金屬/半導體反應層的金屬矽化物(CoSU)層 12,並且使半導體區域(10、11)的Si與鈷膜12a的Co反應 在半導體區域(10、11)的表面形成金屬矽化物(CoSix)層12 ,然後如圖9所示選擇性地除去形成有金屬矽化物層1 2的 區域以外的未反應的鈷膜12a,然後實施熱處理活化金屬矽 化物層12。 在此製程中配設於閘電極6表面的金屬矽化物層1 2以 及配設於半導體區域(10、11)表面的金屬矽化物層12是對 準側壁間隙壁9而形成。而且形成有自對準矽化物構造的η 型以及Ρ型MISFET。 其次如圖10所示在包含η型以及ρ型MISFET上的ρ -32- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 A7 _B7__ 五、發明説明(29) 型基板1的電路形成面上的全面以CVD法形成由例如 5〜10nm左右厚的氧化矽膜構成的絕緣膜13。在此製程中, 閘電極6的金屬矽化物層12、半導體區域(10、u)的金屬石夕 化物層12以及側壁間隙壁9等被絕緣膜13覆蓋。 其次如圖11所示在包含η型以及p型MISFET上的p 型基板1的電路形成面上的全面以電漿CVD法形成由例如 100〜120nm左右厚的氮化矽膜14a作爲絕緣膜。氮化矽膜 14a的形成例如以高頻功率350〜400W或反應室(Chamber)內 壓力300〜3 50Τοπ*的條件進行。 在此製程中,η型以及p型MISFET被氮化矽膜14a覆 蓋。而且閘電極6的金屬矽化物層12、半導體區域(1〇、11) 以及側壁間隙壁9等是隔著絕緣膜13被氮化矽膜14a覆蓋 〇 其次如圖12所示在包含η型以及p型MISFET上的p 型基板1的電路形成面上的全面以CVD法形成由例如50nm 左右厚的氧化矽膜構成的絕緣膜1 5。在此製程中,氮化矽 膜14a被絕緣膜15覆蓋。 其次如圖1 3所示在絕緣膜1 5上形成選擇性地覆蓋 nMIS形成區域ln(n型MISFET)上的光阻罩幕RM1。 其次令光阻罩幕RM1爲蝕刻罩幕實施蝕刻處理,如圖 14所示依次除去pMIS形成區域1ρ(ρ型MISFET上)的絕緣 膜15以及氮化矽膜14a。絕緣膜15的加工是以濕式蝕刻進 行,氮化矽膜14a的加工是以等向性乾式蝕刻進行。 在此製程中用以在η型MISFET上覆蓋其閘電極6而選 (請先閱讀背面之注意事 •項再填. :寫本頁) 經濟部智慧財產局員工消費合作社印製 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -33- 1272680 A7 __B7 五、發明説明(30) 擇性地形成氮化矽膜14a。如此藉由選擇性地形成氮化矽膜 14a,可藉由氮化矽膜14a在η型MISFET的通道形成區域 選擇性地使拉伸應力產生。 而且在此製程中,在ρ型MISFET因閘電極6的表面的 金屬矽化物層12、p型半導體區域11的表面的金屬矽化物 層12以及側壁間隙壁9被絕緣膜13覆蓋,故可抑制這些 金屬矽化物層12以及側壁間隙壁9被氮化矽膜14a的加工 時的過度蝕刻(Overetch)削去的情況不佳。即絕緣膜13是扮 演氮化矽膜14a的加工時的蝕刻中止層的角色。 此外在此製程中,絕緣膜13不存在的情形因氮化矽膜 14a的加工時的過度蝕刻而發生問題。對於此問題在後面詳 細說明。 其次,除去光阻罩幕RM1後如圖15所示在包含絕緣膜 1 5上的ρ型基板1的電路形成面上的全面以電漿CVD法形 成例如100nm左右厚的氮化矽膜14b作爲絕緣膜。氮化矽 膜14b的形成例如以高頻功率600〜700W或反應室內壓力 5〜lOTorr的條件進行。 在此製程中,η型以及ρ型MISFET被氮化矽膜14b覆 蓋。而且,η型MISFET上的氮化矽膜14a隔著絕緣膜15被 氮化矽膜14b覆蓋。 其次如圖16所示在氮化矽膜14b上形成選擇性地覆蓋 pMIS形成區域lp(p型MISFET)上的光阻罩幕RM2。 其次令光阻罩幕RM2爲蝕刻罩幕實施蝕刻處理,如圖 17所示除去nMIS形成區域ln(n型MISFET上)上的氮化砂 (請先閲讀背面之注意事 項再填. :寫本頁) 經濟部智慧財產局員工消费合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -34- 1272680 A7 B7 五、發明説明(31) 膜14b。氮化矽膜14b的加工是以等向性乾式蝕刻進行。 在此製程中用以在p型MISFET上覆蓋其閘電極6而選 擇性地形成氮化矽膜14b。如此藉由選擇性地形成氮化矽膜 14b,可藉由氮化矽膜14b在p型MISFET的通道形成區域 選擇性地使壓縮應力產生。 而且在此製程中,因η型MISFET上的氮化矽膜14a被 絕緣膜15覆蓋,故可抑制此氮化矽膜14a被氮化矽膜14b 的加工時的過度蝕刻削去的情況不佳。即絕緣膜15是扮演 氮化矽膜14b的加工時的蝕刻中止層的角色。 其次,除去光阻罩幕RM2後如圖18所示在包含η型以 及ρ型MISFET上的ρ型基板1的電路形成面上的全面以電 漿CVD法形成例如由氧化矽膜構成的層間絕緣膜1 6。然後 以CMP法平坦化層間絕緣膜1 6的表面。 其次如圖1 8所示在層間絕緣膜1 6中藉由離子打入法 導入Ar、Ge、Si、As、Sb、In、BF2等的雜質17,破壞層間 絕緣膜16中的結晶性。在此製程中因層間絕緣膜16的應 力被緩和故可抑制層間絕緣膜16的應力在MISFET的通道 形成區域作用的影響。此外,若觀察層間絕緣膜16的剖面 的話明顯地殘留破壞的痕跡。 其次如圖19所示在半導體區域(11、12)上形成由層間 絕緣膜16的表面到達金屬矽化物層12的源極/汲極用接觸 孔18。源極/汲極用接觸孔18的形成是以令氮化矽膜(14a、 14b)爲蝕刻中止層的SAC技術來進行。具體上首先在面對 半導體區域(10、11)的位置形成具有接觸孔用的開口圖案的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填‘ :寫本頁) 經濟部智慧財產局員工消費合作社印製 -35- 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(32) 光阻罩幕於層間絕緣膜1 6上,然後以該光阻罩幕爲蝕刻罩 幕依次對層間絕緣膜16、絕緣膜15、氮化矽膜(14a、14b) 以及絕緣膜1 3實施非等向性乾式蝕刻。層間絕緣膜1 6以 及絕緣膜15的蝕刻是以對氮化矽膜(14a、14b)可取選擇比 的條件來進行。氮化矽膜(14a、14b)的蝕刻是以對絕緣膜13 可取選擇比的條件來進行。絕緣膜1 3的蝕刻是以對金屬矽 化物層12以及p型基板1可取選擇比的條件來進行。此外 絕緣膜13的蝕刻以氮化矽膜(14a、14b)的加工時的過度蝕 刻來進行也可以。 其次,雖然未圖示利用與源極/汲極用接觸孔1 8的形成 同樣的方法在閘電極6上形成由層間絕緣膜1 6的表面到達 金屬矽化物層1 2的閘極用接觸孔。 其次,在源極/汲極用接觸孔1 8的內部以及鬧極用接觸 孔的內部埋入金屬等的導電物形成導電性插塞19,然後藉 由在層間絕緣膜16上形成配線20,成爲圖1所示的構造。 其次,說明在構成本發明的過程由本發明者發現的問 題點以及本發明。 以非等向性乾式蝕刻除去P型MISFET上的氮化矽膜 14a的情形,因非等向性乾式蝕刻沿著側壁間隙壁9的側壁 的氮化矽膜14a的部分的膜厚在實行上可厚厚地看見,故 如圖20所示在側壁間隙壁9的側壁殘存氮化矽膜14a的一 部分。在持續此狀態下,於p型MISFET上形成氮化矽膜 14b的情形如圖21所示,因在閘電極6、側壁間隙壁9以 及一部分的氮化矽膜14a造成的層差部35a的最下部氮化矽 (請先閱讀背面之注意事 項再填· :寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) -36- 1272680 A7 B7 五、發明説明(33) 膜14b的應力集中,故氮化矽膜14b的應力的起點藉由殘 存於側壁間隙壁9側壁的氮化矽膜14a由p型MISFET的通 道形成區域分離,使藉由氮化矽膜14b的膜應力在通道形 成區域使壓縮應力產生的效果減少。而且,因具有相反的 應力作用的氮化矽膜14a殘存於側壁間隙壁9的側壁,故 藉由氮化矽膜14b在通道形成區域使壓縮應力產生的效果 更減少。因此,在P型MISFET上的氮化矽膜14a的除去, 在層差部以蝕刻殘留不產生的等向性乾式蝕刻進行爲有效 〇 但是以等向性乾式蝕刻除去P型MISFET上的氮化矽膜 14a的情形發生新的問題。 氮化矽膜的等向性乾式蝕刻一般使用利用CF*或CF6等 的氟化氣體的等向性電漿蝕刻。在此等向性電漿触刻,對 氧化矽膜或金屬矽化物層可取選擇比,惟對矽無法取選擇 由氧化矽膜構成的側壁間隙壁9雖然對氮化矽膜14a 的等向性電漿蝕刻具有選擇性,惟因氮化矽膜14a的加工 時的過度蝕刻而被蝕刻若干,故側壁間隙壁9的全體膜厚 朝閘電極6後退。另一方面p型半導體區域11表面的金屬 矽化物層12對準側壁間隙壁9形成。因此,因氮化矽膜 14a的加工時的過度蝕刻造成的側壁間隙壁9的後退如圖22 所示,在側壁間隙壁9與金屬矽化物層1 2之間形成有矽的 露出部a 1。氮化矽膜的等向性電漿蝕刻因對矽無法取選擇 比,故因氮化矽膜14a的加工時的過度蝕刻使p型基板1 (請先閱讀背面之注意事 ▼項再填· 寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -37- 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(μ) 由露出部al被削去,發生閘電極6被剝去等的情況不佳。 而且金屬矽化物層12雖然對氮化矽膜14a的等向性電 漿蝕刻具有選擇性,惟因氮化矽膜14a的加工時的過度蝕 刻而被蝕刻若干,故金屬矽化物層12的膜厚變薄。金屬矽 化物層12爲了抑制伴隨著MISFET的微細化的閘極電阻的 增加或源極/汲極電阻的增加而配設於閘電極6的表面或p 型半導體區域11的表面。因此若因氮化矽膜14a的加工時 的過度蝕刻使金屬矽化物層12的膜厚變薄的話,抑制伴隨 著MISFET的微細化的閘極電阻的增加或源極/汲極電阻的 增加的效果就會減少。 而且,自對準矽化物構造的P型MISFET的情形因金屬 矽化物層1 2扮演鈾刻中止層的角色,故無在閘電極6中金 屬矽化物層1 2下的多晶矽膜、源極區域以及汲極區域中金 屬矽化物層12下的p型半導體區域11被氮化矽膜14a的加 工時的過度蝕刻削去,但在閘電極6的表面或p型半導體 區域11的表面不具有金屬矽化物層12構造的情形,如圖 23所示閘電極6的多晶矽膜、源極區域以及汲極區域的p 型半導體區域11被削去,因這些厚度減少故閘極電阻以及 源極/汲極電阻增加。閘極電阻的增加會招致開關速度的下 降,源極/汲極電阻的增加會招致電流驅動能力的下降。 因此,p型MISFET上的氮化矽膜14a的除去以在層差 部不產生蝕刻殘留的等向性乾式蝕刻進行爲有效,但爲了 以等向性乾式蝕刻進行氮化矽膜14a的加工,需要解決前 述的問題。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) 38- (請先閱讀背面之注意事 項再填> :寫本頁) 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(35) 如果依照本發明者的檢討,關於側壁間隙壁9的後退 問題可藉由在η型以及p型MISFET上用以覆蓋這些電晶體 的閘電極6形成氮化矽膜14a之前,以作爲蝕刻中止層功 能的絕緣膜覆蓋至少p型半導體區域11中的金屬矽化物層 12的側壁間隙壁側的端部上而解決。 而且,關於金屬矽化物層1 2的削去問題可藉由在η型 以及ρ型MISFET上用以覆蓋這些電晶體的閘電極6形成氮 化矽膜14a之前,以作爲蝕刻中止層功能的絕緣膜覆蓋金 屬矽化物層12的全體而解決。 而且,關於不具有金屬矽化物層12的構造的問題可藉 由在η型以及ρ型MISFET上用以覆蓋這些電晶體的閘電極 6形成氮化矽膜1 4a之前,以作爲蝕刻中止層功能的絕緣膜 覆蓋閘電極6的表面或ρ型半導體區域11的表面而解決。 絕緣膜爲對氮化矽膜14a的等向性電漿蝕刻具有選擇 性,例如氧化矽膜較佳。 在前述實施形態1如圖10以及圖11所示在形成氮化 矽膜14a前以CVD法形成由氧化矽膜構成的絕緣膜13。以 CVD法即沉積法形成絕緣膜13的情形,在ρ型MISFET上 可以絕緣膜1 3覆蓋閘電極6表面的金屬矽化物層1 2、ρ型 半導體區域11表面的金屬矽化物層12、ρ型半導體區域11 表面中的金屬矽化物層1 2的側壁間隙壁9側的端部以及側 壁間隙壁9。 因此,ρ型MISFET上的氮化矽膜14b的除去如圖14 所示因在以絕緣膜13覆蓋閘電極6表面的金屬矽化物層12 (請先閱讀背面之注意事 •項再填」 :寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -39 - 1272680 A7 B7 五、發明説明(36) (請先閲讀背面之注意事項再填寫本頁) 、P型半導體區域11表面的金屬矽化物層12、P型半導體 區域11表面中的金屬矽化物層12的側壁間隙壁9側的端 部以及側壁間隙壁9的狀態下進行,故可一 口氣解決關於 側壁間隙壁9的後退問題、金屬矽化物層12的削去問題。 如此,如果依照本實施形態1分別對η型MISFET的通 道形成區域、Ρ型MISFET的通道形成區域給予拉伸應力、 壓縮應力的結果,根據在η型MISFET以及ρ型MISFET的 各通道形成區域作用的應力大小,在η型MISFET以及ρ型 MISFET汲極電流都增加。 而且,因可個別控制在η型MISFET以及ρ型MISFET 的通道形成區域作用的應力,故可自由控制η型MISFET與 ρ型MISFET的汲極電流比。 而且,因可同時增加η型MISFET以及ρ型MISFET的 汲極電流,故可謀求具有η型以及ρ型MISFET的半導體裝 置的高速化。 經濟部智慧財產局員工消費合作社印製 而且,因可解決以等向性乾式蝕刻除去P型MISFET上 的氮化矽膜14a時所發生的關於側壁間隙壁9的後退問題 或關於金屬矽化物層12的削去問題,故可提供製造良率以 及可靠度高的半導體裝置。 此外,改變氮化矽膜的形成方法改變膜應力的方法除 了改變前述實施形態的高頻功率的方法外,可舉以下的方 法: (1)、改變原料氣體的方法對於氮化矽膜14a的形成使 用5旧4與NH3與N2,氮化矽膜14b的形成除了 NH3外使用 -40- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 A 7 B7 五、發明説明(37)
SiH4 與 N2 〇 (請先閱讀背面之注意事項再填寫本頁) (2) 、改變形成溫度的方法比氮化矽膜14b的形成時還 提高氮化矽膜14a的形成時的溫度。 (3) 、改變壓力的方法比氮化矽膜14b的形成時還提高 氮化矽膜14a的形成時的壓力。 等。當然使前述任意組合複合也可以。要點爲如何令 氮化矽膜14a爲拉伸應力側;令氮化矽膜14b爲壓縮應力側 才重要。 而且,使用單片熱CVD法的氮化膜的形成方法越降低 膜形成時的壓力,而且越提高溫度越可在拉伸側產生膜應 力,適合於氮化矽膜14a。 圖24是顯示本發明的實施形態1的變形例的半導體裝 置的製程中的模式的剖面圖。在圖24中面向前左側爲η型 MISFET,右側爲 ρ 型 MISFET。 經濟部智慈財產局員工消費合作社印製 在前述實施形態1雖然以比氮化矽膜14b還先形成氮 化矽膜14a爲例來說明,惟如圖24所示比氮化矽膜14a還 先形成氮化矽膜14b也可以。在這種情形中,因可分別對η 型MISFET的通道形成區域、ρ型MISFET的通道形成區域 給予拉伸應力、壓縮應力,故可同時增加η型以及ρ型 MISFET的汲極電流。 而且在以等向性電漿蝕刻除去η型MISFET上的氮化矽 膜14b時,因藉由在以絕緣膜1 3覆蓋閘電極6表面的金屬 矽化物層12、η型半導體區域10表面的金屬矽化物層12、 η型半導體區域10表面中的金屬矽化物層1 2的側壁間隙壁 -41 - 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(38) 9側的端部以及側壁間隙壁9的狀態下進行,故不發生關於 側壁間隙壁9的後退問題、關於金屬矽化物層1 2的削去問 題,可以等向性電漿蝕刻除去η型MISFET上的氮化矽膜 14b 〇 此外,在實施形態1以及其變形例雖然以由氧化矽膜 構成的絕緣膜1 3作爲氮化矽膜1 4a的加工時的蝕刻中止層 使用爲例來說明,惟並非限定於此,若爲對氮化矽膜14a 的等向性乾式蝕刻可取選擇比的話,使用其他絕緣膜也可 以。 (實施形態2) 圖25是顯示本發明的實施形態2的半導體裝置的槪略 構成的模式的剖面圖。 圖26A、B以及圖27是本發明的實施形態2的半導體 裝置的製程中的模式的剖面圖。在圖25至圖27中面向前 左側爲η型MISFET,右側爲p型MISFET。 如圖25所示本實施形態2的半導體裝置爲成爲在前述 實施形態1中除去當作蝕刻中止層使用的絕緣膜13的構成 〇 如前述實施形態1殘留絕緣膜13的情形(參照圖18)因 在由閘電極6、側壁間隙壁9以及絕緣膜1 3造成的層差部 35a的最下部氮化矽膜(14a、14b)的應力集中,故氮化矽膜 (1 4a、1 4b)的應力的起點因殘存於側壁間隙壁9側壁的絕緣 膜13而由MISFET的通道形成區域分離,因氮化矽膜(14a (請先閲讀背面之注意事 項再填. :寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -42- 1272680 A7 __B7__ 五、發明説明(39) 、14b)的膜應力在通道形成區域使應力產生的效果減少。因 此,絕緣膜13盡可能除去較佳。 但是如實施形態1對於比氮化矽膜14b還先形成氮化 矽膜14a的情形,在除去p型MISFET上的氮化矽膜14a的 製程中需要絕緣膜1 3,如實施形態1的變形例,對於比氮 化矽膜14a還先形成氮化矽膜14b的情形,因在除去η型 MISFET上的氮化矽膜14b的製程中需要絕緣膜13,故考慮 這些製程除去絕緣膜13。 比氮化矽膜14b還先形成氮化矽膜14a的情形,η型 MISFET上的絕緣膜13的除去如圖26Α所示是在形成氮化 矽膜14a的製程前進行,p型MISFET上的絕緣膜13的除去 如對應圖13的圖26B以及圖27所示是在除去p型MISFET 上的氮化矽膜14a後進行。即如圖26B以及圖27所示在除 去p型MISFET上的絕緣膜15以及氮化矽膜14a後,除去p 型MISFET上的絕緣膜13。 比氮化矽膜14a還先形成氮化矽膜14b的情形,p型 MISFET上的絕緣膜13的除去是在形成氮化矽膜14b的製 程前進行,η型MISFET上的絕緣膜13的除去是在除去η 型MISFET上的氮化矽膜14b後進行。η型MISFET上的絕 緣膜13的除去是在以例如光阻罩幕等覆蓋p型MISFET上 的狀態下進行,P型MISFET上的絕緣膜13的除去是在以 例如光阻罩幕等覆蓋η型MISFET上的狀態下進行。 η型MISFET上或p型MISFET上的絕緣膜13的除去以 在層差部不產生蝕刻殘留的等向性乾式蝕刻進行較佳。由 (請先閲讀背面之注意事 項再填· 寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -43- 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(4Q) 氧化矽膜構成的絕緣膜1 3的等向性乾式蝕刻一般使用對 CF4混合H2氣體的氣體或使用CF3氣體的等向性電漿蝕刻。 在此等向性電漿鈾刻因對矽或金屬矽化物層可充分地取選 擇比,故無像P基板1、金屬矽化物層12以及側壁間隙壁 9等大大地被削去。 此外在本實施形態2雖然以除去η型MISFET上以及p 型MISFET上的兩方的絕緣膜13爲例來說明,惟使任一方 的絕緣膜1 3殘留也可以。 (實施形態3) 圖28是顯示本發明的實施形態3的半導體裝置的製程 中的模式的剖面圖。在圖28中面向前左側爲η型MISFET ,右側爲P型MISFET。 在前述實施形態1雖然以由用沉積法形成的氧化矽膜 構成的絕緣膜1 3當作氮化矽膜14a的加工時的蝕刻中止層 使用爲例來說明,惟在本實施形態3以由用熱氧化法形成 的氧化矽膜構成的絕緣膜21當作氮化矽膜14a的加工時的 蝕刻中止層使用。利用熱氧化法的絕緣膜21的形成是在形 成自對準矽化物構造的η型以及p型MISFET的製程之後, 形成氮化矽膜14a以及14b的製程之前進行。 在熱氧化法如圖28所示,可用以在閘電極6表面的金 屬矽化物層12上以及半導體區域(10、11)表面的金屬矽化 物層12上覆蓋這些金屬矽化物層12而選擇性地形成絕緣 膜21。因此,如前述實施形態1在比氮化矽膜14b還先形 (請先閲讀背面之注意事 •項再填· :寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -44- 1272680 A7 __B7_ 五、發明説明(41) 成氮化矽膜14a的情形或如前述實施形態1的變形例比氮 化矽膜14a還先形成氮化矽膜14b的情形中,都能藉由絕緣 膜21抑制以等向性乾式蝕刻加工氮化矽膜(14a、14b)時所 發生的情況不佳。 (實施形態4) 圖29是顯示本發明的實施形態4的半導體裝置的製程 中的模式的剖面圖。在圖29中面向前左側爲η型MISFET ,右側爲Ρ型MISFET。 在前述實施形態1雖然以由用沉積法形成的氧化矽膜 構成的絕緣膜13當作氮化矽膜14a的加工時的蝕刻中止層 使用爲例來說明,惟在本實施形態4以由形成於側壁間隙 壁9側壁的氧化矽膜構成的側壁間隙壁22當作氮化矽膜 14a的加工時的鈾刻中止層使用。側壁間隙壁22的形成是 在形成自對準矽化物構造的η型以及ρ型MISFET的製程之 後,形成氮化矽膜14a以及14b的製程之前進行。側壁間隙 壁22是以與側壁間隙壁9同樣的方法形成。 如此,藉由在側壁間隙壁9的側壁形成由氧化矽膜構 成的側壁間隙壁22,因可以側壁間隙壁22覆蓋半導體區域 (10、11)表面中的金屬矽化物層12的側壁間隙壁9側的端 部以及側壁間隙壁9,故如前述實施形態1在比氮化矽膜 14b還先形成氮化矽膜14a的情形或如前述實施形態1的變 形例比氮化矽膜14a還先形成氮化矽膜14b的情形中,都能 以側壁間隙壁22抑制以等向性乾式蝕刻加工氮化矽膜(14a (請先閱讀背面之注意事 -項再填· 寫本頁) 經濟部智慧財產局8工消費合作社印^ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -45- 1272680 A7 B7 五、發明説明(42) 、14b)時所發生的情況不佳,特別是關於側壁間隙壁9的後 退的情況不佳。 (請先閲讀背面之注意事項再填寫本頁) 此外,在本實施形態4雖然以由氧化矽膜構成的側壁 間隙壁22作爲氮化砂膜(14a、14b)的加工時的餓刻中止層 使用爲例來說明,惟並非限定於此,若爲對氮化矽膜(14a、 1 4b)的加工時的等向性乾式蝕刻可取選擇比的話,使用其他 絕緣膜也可以。 (實施形態5) 圖30A、B是顯示本發明的實施形態5的半導體裝置的 槪略構成的模式的剖面圖。在圖3 0 A、B中面向前左側爲η 型MISFET,右側爲ρ型MISFET。 在前述實施形態1雖然以適用本發明於具有自對準矽 化物構造的互補型MISFET的半導體裝置爲例來說明,惟在 本實施形態5針對適用本發明於具有不具備金屬矽化物層 的互補型MISFET的半導體裝置的例子來說明。 經濟部智慧財產局員工消費合作社印製 如圖30A所示,本實施形態5的半導體裝置基本上爲 與前述實施形態1 一樣的構成,η型以及ρ型MISFET的構 成不同。即本實施形態5的η型以及ρ型MISFET成爲在閘 電極6的表面以及半導體區域(10、11)的表面不具金屬矽化 物層的構造。 本實施形態5的半導體裝置除了形成金屬矽化物層的 製程外,其餘以在前述實施形態1說明的方法形成。 以等向性乾式蝕刻除去P型MISFET上的氮化矽膜14a -46- 本紙張尺度適用中國國家標準(CNS ) A4規格(210Χ297公釐) 1272680 A7 B7 五、發明説明(43) (請先閱讀背面之注意事項再填寫本頁) 時如實施形態1,P型MISFET爲自對準矽化物構造的情形 因金屬矽化物層12扮演蝕刻中止層的角色,故無在閘電極 6中金屬矽化物層1 2下的多晶矽膜、在源極區域以及汲極 區域中金屬矽化物層1 2下的p型半導體區域11被氮化矽 膜14a的加工時的過度蝕刻削去,但如本實施形態5 p型 MISFET在閘電極的表面或p型半導體區域11的表面不具 有金屬矽化物層12的構造的情形,如圖23所示閘電極6 的多晶矽膜、源極區域以及汲極區域的P型半導體區域11 被削去。 這種問題在形成氮化矽膜1 4a的製程前可藉由以作爲 蝕刻中止層的功能的絕緣膜13覆蓋閘電極6上以及p型半 導體區域11上來解決。 在本實施形態5蝕刻中止層使用絕緣膜1 3。此絕緣膜 13是以沉積法形成。沉積法因可以絕緣膜13總括閘電極6 上以及P型半導體區域11上來覆蓋,故可同時抑制閘電極 6以及p型半導體區域11的削去。 經濟部智慧財1局Μ工消費合作社印製 此外在本實施形態5雖然以比氮化矽膜14b還先形成 氮化矽膜14a爲例來說明,惟在比氮化矽膜14a還先形成氮 化矽膜14b的情形中也可得到同樣的效果。 而且在本實施形態5雖然以蝕刻中止層使用絕緣膜1 3 的例子來說明,惟在以用熱氧化法形成的絕緣膜21當作蝕 刻中止層使用的情形也可得到同樣的效果。而且在本實施 形態5雖然以使作爲蝕刻中止層的功能的絕緣膜13殘留的 例子來說明,惟如圖30B所示絕緣膜13如實施形態2除去 -47- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) 1272680 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明説明(β) 也可以。 而且不具本實施形態5的金屬矽化物層的MISFET例如 形成於與具有前述實施形態1〜4的金屬矽化物層的MISFET 同一基板上,構成欲降低源極區域或汲極區域與基板之間 的(接合)遺漏電流(Leakage current)的MISFET以及電路。即 以不具本實施形態5的金屬矽化物層的MISFET構成降低接 合遺漏電流所需的MISFET,以具有實施形態1〜4的金屬矽 化物層的MISFET形成高速動作所需的MISFET。據此,可 謀求低消耗功率化以及高速動作。 而且,絕緣膜13因可在不具金屬矽化物層的MISFET 以及具有金屬矽化物層的MISFET上以同一製程沉積,故可 不增加製程而形成低消耗功率以及高速動作可能的半導體 裝置。 而且,形成具有金屬矽化物層的MISFET與不具金屬矽 化物層的MISFET於同一基板的情形,在具有金屬矽化物層 的MISFET中如圖25所示以不配設當作蝕刻中止層功能的 絕緣膜13的構造,在不具金屬矽化物層的MISFET中如圖 3 Ο A所示以配設當作蝕刻中止層功能的絕緣膜1 3的構造也 可以。 此情形具有金屬矽化物層的MISFET上的絕緣膜13的 除去是根據在具有金屬矽化物層的MISFET的通道形成區域 使應力產生的第一膜先形成,或者在不具金屬矽化物層的 MISFET的通道形成區域使應力產生的第二膜先形成而不同 。例如具有金屬矽化物層的MISFET爲η型,不具金屬矽化 (請先閱讀背面之注意事 項再填< 寫本頁) 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) -48- 1272680 A7 B7 五、發明説明(45) (請先閱讀背面之注意事項再填寫本頁) 物層的MISFET爲p型的情形,先形成第一膜(氮化矽膜 14a)的情形如圖26(參照將圖中右側的p型MISFET置換成 不具金屬矽化物層的P型MISFET)所示,在形成氮化矽膜 14a的製程前選擇性地除去具有金屬矽化物層的MISFET上 的絕緣膜13,先形成第二膜(氮化矽膜14b)的情形在選擇性 地除去具有金屬矽化物層的MISFET上的氮化矽膜14b的製 程之後,形成氮化矽膜14a的製程前選擇性地除去具有金 屬矽化物層的MISFET上的絕緣膜13。而且具有金屬矽化 物層的MISFET爲p型;不具金屬矽化物層的MISFET爲η 型的情形也同樣地選擇性地除去具有金屬矽化物層的 MISFET上的絕緣膜13。 經濟部智慧財產局員工消費合作社印^ 此外,形成具有金屬矽化物層的MISFET與不具金屬矽 化物層的MISFET於同一基板的情形,在具有金屬矽化物層 的MISFET中如圖25所示,在不具金屬矽化物層的MISFET 中如圖30B所示以不配設當作蝕刻中止層功能的絕緣膜的 構造也可以。此情形在具有金屬矽化物層的MISFET以及不 具金屬矽化物層的MISFET中,藉由使除去絕緣膜13的製 程共通,因可以與如實施形態2所示的絕緣膜1 3的除去製 程相同的製程數形成,故可降低製程數。 (實施形態6) 圖31是顯示本發明的實施形態6的半導體裝置的槪略 構成的模式的剖面圖,圖32至圖35是本發明的實施形態6 的半導體裝置的製程中的模式的剖面圖。在圖3 1至圖35 -49- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 1272680 A7 B7 五、發明説明(46) 中面向前左側爲η型MISFET,右側爲p型MISFET。 本實施形態6是在η型MISFET的通道形成區域使拉伸 應力產生的膜上重疊在Ρ型MISFET的通道形成區域使壓縮 應力產生的膜,謀求η型以及p型MISFET的汲極電流的增 加。 如圖31所示η型以及ρ型MISFET被氮化矽膜14a覆 蓋。而且P型MISFET被氮化矽膜14b覆蓋。即在η型 MISFET上僅存在氮化矽膜14a ;在ρ型MISFET上存在氮 化矽膜14a以及14b。 因在η型MISFET上僅存在氮化矽膜14a,故在η型 MISFET的通道形成區域僅加入氮化矽膜14a的拉伸應力, 而在ρ型MISFET上存在氮化矽膜14a以及14b,故在ρ型 MISFET的通道形成區域加入氮化矽膜14a的拉伸應力以及 氮化矽膜14b的壓縮應力。因此,藉由使用具有絕對値至 少比氮化矽膜14a的拉伸應力還大的壓縮應力的氮化矽膜 14b,可在ρ型MISFET的通道形成區域使壓縮應力產生。 此外,在本實施形態6因具有壓縮應力的氮化矽膜14b 形成於比具有拉伸應力的氮化矽膜14a還上層,故對ρ型 MISFET的通道形成區域的膜應力的起點氮化矽膜14b比氮 化矽膜14a還遠。因此,對於這種情形使用具有絕對値爲 氮化矽膜1 4a的拉伸應力的兩倍以上的壓縮應力的氮化矽 膜14b較佳。 其次,針對本實施形態6的半導體裝置的製造使用圖 32至圖35來說明。 (請先閲讀背面之注意事 項再填. 寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -50- 1272680 A7 _B7_ 五、發明説明(47) 如圖32所示藉由與前述實施形態1同樣的製程形成自 對準矽化物構造的η型以及p型MISFET。 (請先閲讀背面之注意事項再填寫本頁) 其次如圖33所示在包含η型以及ρ型MISFET上的ρ 型基板1的電路形成面上的全面以電漿CVD法形成例如 100〜120nm左右厚的氮化矽膜14a。氮化矽膜14a的形成例 如以高頻功率350〜400W的條件來進行。 其次如圖34所示,在包含η型以及ρ型MISFET上的 ρ型基板1上的全面以CVD法形成由例如50nm左右厚的氧 化矽膜構成的絕緣膜15,然後在包含η型以及ρ型MISFET 上的ρ型基板1上的全面以電漿CVD法形成例如 100〜200nm左右厚的氮化矽膜14b。氮化矽膜14b的形成例 如以高頻功率600〜700W的條件來進行。 在此製程中最終使在P型MISFET的通道形成區域產生 壓縮應力,形成具有絕對値至少比氮化矽膜14a的拉伸應 力還大的壓縮應力的氮化矽膜14b。在本實施形態形成具有 絕對値爲氮化矽膜14a的拉伸應力的兩倍以上的壓縮應力 的氮化矽膜14b。 經濟部智慧財產局員工消費合作社印製 其次在氮化矽膜14b上形成選擇性地覆蓋ρ型MISFET 上的光阻罩幕RM3,然後令光阻罩幕RM3爲蝕刻罩幕實施 蝕刻處理,如圖35所示除去η型MISFET上的的氮化矽膜 14b。氮化矽膜14b的加工是以等向性乾式蝕刻進行。 然後藉由除去光阻罩幕RM3成爲圖31所示的狀態。 如此因藉由在η型以及ρ型MISFET上形成氮化矽膜 14a,然後在ρ型MISFET上選擇性地形成具有絕對値比氮 -51 - 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X 297公釐) 1272680 A7 B7 五、發明説明(48)
化矽膜14a的拉伸應力還大的壓縮應力的氮化矽膜14b,可 在p型MIS FET的通道形成區域使壓縮應力產生,故即使在 本實施形態中也能同時增加η型MISFET以及p型MISFET 的汲極電流。 而且在本實施形態6因未進行ρ型MISFET上的氮化矽 膜14a的除去,故無須如前述實施形態1形成當作蝕刻中 止層功能的絕緣膜1 3。因此,與前述實施形態1比較可簡 略化製程數。 此外在本實施形態6雖然以在覆蓋η型以及ρ型 MISFET上的氮化矽膜14a之後,形成僅覆蓋ρ型MISFET 上的氮化矽膜14b爲例來說明,惟僅覆蓋ρ型MISFET上的 氮化矽膜14b在覆蓋η型以及ρ型MISFET上的氮化矽膜 1 4a之前形成也可以。但是此情形如前述實施形態1在氮化 矽膜14b的加工時需要當作蝕刻中止層功能的絕緣膜。 圖36是顯示本發明的實施形態6的變形例的半導體裝 置的槪略構成的模式的剖面圖。在圖36中面向前左側爲η 型MISFET,右側爲ρ型MISFET。 在前述實施形態6雖然以在η型以及ρ型MISFET上形 成具有拉伸應力的氮化矽膜14a,然後在ρ型MISFET上選 擇性地形成具有絕對値比氮化矽膜14a的拉伸應力還大的 壓縮應力的氮化矽膜14b,可同時增加η型以及ρ型 MISFET的汲極電流爲例來說明,惟如圖36所示在η型以 及ρ型MISFET上形成具有壓縮應力的氮化矽膜14b,然後 在η型MISFET上選擇性地形成具有絕對値比氮化矽膜14b (請先閲讀背面之注意事項再填· :寫本頁) 經濟部智慧財產局員工消費合作社印製 本纸張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) -52- 1272680 A7 B7 五、發明説明(49) (請先閱讀背面之注意事項再填寫本頁) 的壓縮應力還大的拉伸應力的氮化矽膜14a也可以。即使 在這種情形中也能同時增加η型MISFET以及p型MISFET 的汲極電流。 此外在圖36雖然以在覆蓋η型以及ρ型MISFET上的 氮化矽膜14b之後,形成僅覆蓋η型MISFET上的氮化矽膜 14a爲例而圖示,惟僅覆蓋η型MISFET上的氮化矽膜14a 在覆蓋η型以及ρ型MISFET上的氮化矽膜14b之前形成也 可以。但是此情形如前述實施形態1在氮化矽膜14b的加 工時需要當作蝕刻中止層功能的絕緣膜。 (實施形態7) 圖37是顯示本發明的實施形態7的半導體裝置的槪略 構成的模式的剖面圖。圖38以及圖39是本發明的實施形 態7的半導體裝置的製程中的模式的剖面圖。在圖37至圖 39中面向前左側爲η型MISFET,右側爲ρ型MISFET。 本實施形態7是以一個氮化矽膜謀求η型以及ρ型 MISFET的汲極電流的增加。 經濟部智慧財產局員工消費合作社印製 如圖37所示η型以及ρ型MISFET被一個氮化矽膜24 覆蓋。氮化矽膜24具有在η型MISFET的通道形成區域使 拉伸應力產生的第一部分24a,與在ρ型MISFET的通道形 成區域使壓縮應力產生的第二部分24b,第一部分24a是用 以在η型MISFET上覆蓋其閘電極6而形成,第二部分24b 是用以在P型MISFET上覆蓋其閘電極6而形成。第二部分 24b其Si以及N的元素濃度比第一部分24a還高。以下針 -53- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) 1272680 A7 B7 五、發明説明(5Q) 對本實施形態7的半導體裝置的製造使用圖38以及圖37 來說明。 藉由與前述實施形態1同樣的製程,形成自對準矽化 物構造的π型以及p型MISFET後,如圖38所示在包含η 型以及Ρ型MIS FET上的ρ型基板1的電路形成面上的全面 以電漿CVD法形成在η型MISFET的通道形成區域使拉伸 應力產生的氮化矽膜24。氮化矽膜24的形成例如以高頻功 率350〜400W的條件來進行。 其次,覆蓋η型MISFET上且形成在ρ型MISFE丁上具 有開口的光阻罩幕RM4於氮化矽膜24上,然後如圖39所 示以光阻罩幕RM4爲罩幕,藉由離子打入法對由光阻罩幕 RM4露出的氮化矽膜24中(ρ型MISFET上的氮化矽膜24中 )導入Si以及N元素。離子打入是用以遍及膜的深度方向全 般導入這些元素,以深度方向中的元素濃度的尖峰値(Rp)成 爲膜厚的1/2左右的加速能量、劑量lxl〇15/cm2以上的條件 來進行。 在此製程中形成有具有第一部分24a與元素濃度比此 第一部分24a還高的第二部分24b的氮化矽膜24。 其次在除去光阻罩幕RM4後實施熱處理活化氮化矽膜 24的第二部分24b。 在此製程中氮化矽膜24的第二部分24b會體積膨張, 第二部分24b變換成在ρ型MISFET的通道形成區域使壓縮 應力產生的膜。因此,如圖37所示氮化矽膜24成爲具有 在η型MISFET的通道形成區域使拉伸應力產生的第一部分 (請先閲讀背面之注意事項再填寫本頁) 項再填办 經濟部智慧財產局g(工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -54- 1272680 A7 B7 五、發明説明(51) 24a與在p型MIS FET的通道形成區域使壓縮應力產生的第 二部分24b的構成。 (請先閲讀背面之注意事項再填寫本頁) 如此,藉由形成氮化矽膜24即使在本實施形態7中也 能同時增加η型MISFET以及p型MISFET的汲極電流。 而且在本實施形態7因未進行Ρ型MISFET上的氮化矽 膜24的除去,故無須如前述實施形態1形成當作蝕刻中止 層功能的絕緣膜1 3。因此,與前述實施形態1比較可簡略 化製程數。 而且在本實施形態7因用以增加η型以及ρ型MISFET 的汲極電流可以一個氮化矽膜24控制,故與前述實施形態 1比較,氮化矽膜的被膜製程以一次就能完成。因此,可省 略氮化矽膜的被膜製程與其加工製程,可簡略化製程。 圖40是顯示本發明的實施形態7的變形例的半導體裝 置的製程中的模式的剖面圖。 經濟部智慧財產局員工消費合作社印製 在前述實施形態7導入Si以及N元素的方法雖然顯示 適用對P型基板1垂直地離子植入元素的方法的情形,惟 如圖40所示,適用對ρ型基板1斜斜地離子植入元素的方 法也可以。此情形也能對覆蓋側壁間隙壁9的側壁的氮化 矽膜24的閘極側壁部分(層差部分)導入元素。其結果可得 到更進一步的壓縮應力產生效果。 (實施形態8) 圖41是顯示本發明的實施形態8的半導體裝置的槪略 構成的模式的剖面圖。 -55- 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 1272680 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(52) 本實施形態8爲適用本發明於具有縱型雙閘極構造的 互補型MISFET的半導體裝置的例子。 如圖41所示本實施形態8的半導體裝置是以SOI(絕緣 層上覆矽,Silicon On Insulator)構造的半導體基板(以下僅 稱爲基板)40爲主體而構成。基板40爲成爲具有例如半導 體層40a與配設於此半導體層40a上的絕緣層40b與配設於 此絕緣層40b上的半導體層40c的構成。半導體層40a以及 40c例如由單晶政構成,絕緣層40b例如由氧化砂構成。 半導體層40c被分割成複數個元件形成部,在各元件 形成部形成有η型MISFET或p型MISFET。在形成有n型 MISFET的半導體層40c配設有ρ型井區域2,在形成有p 型MISFET的半導體層40c配設有η型井區域3。各半導體 層40c被配設於絕緣層40b上的絕緣膜41包圍周圍,互相 被絕緣分離。 本實施形態8的η型以及ρ型MISFET是成爲由基板 40的平面方向(表面方向)以兩個閘電極6夾入作爲通道形 成區域使用的半導體層40c的雙閘極構造。而且,n型以及 Ρ型MISFET是成爲汲極電流流過基板40的厚度方向的縱 型構造。 在η型MISFET的通道形成區域使拉伸應力產生的氮化 矽膜14a是用以在η型MISFET上覆蓋其兩個閘電極6而形 成,在ρ型MISFET的通道形成區域使壓縮應力產生的氮化 矽膜14b是用以在ρ型MISFET上覆蓋其兩個閘電極6而形 成0 (請先閲讀背面之注意事 項再填· :寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -56- 1272680 A7 B7_ 五、發明説明(53) (請先閱讀背面之注意事項再填寫本頁) 在本實施形態8因η型以及p型MISFET是成爲由基板 40的平面方向以兩個閘電極6夾入作爲通道形成區域使用 的半導體層40c的雙閘極構造,故因氮化矽膜造成的應力 的影響倍增,汲極電流增加比例也比單閘極構造的習知型 還增加。 (實施形態9) 圖42是顯示本發明的實施形態9的半導體裝置的槪略 構成的模式的俯視圖,圖43是沿著圖42的A-A線的模式 的剖面圖。 本實施形態9爲適用本發明於具有橫型雙閘極構造的 互補型MISFET的半導體裝置的例子。 如圖42以及圖43所示,本實施形態9的η型以及ρ 型MISFET是成爲由基板40的平面方向以兩個閘電極6夾 入作爲通道形成區域使用的半導體層40c的雙閘極構造。 而且,η型以及ρ型MISFET是成爲汲極電流流過基板40 的平面方向的橫型構造。 經濟部智慧財產局員工消費合作社印製 在η型MISFET的通道形成區域使拉伸應力產生的氮化 矽膜14a是用以在η型MISFET上覆蓋其兩個閘電極6而形 成,在ρ型MISFET的通道形成區域使壓縮應力產生的氮化 矽膜14b是用以在ρ型MISFET上覆蓋其兩個閘電極6而形 成。 在本實施形態9因η型以及ρ型MISFET是成爲由基板 40的平面方向以兩個閘電極6夾入作爲通道形成區域使用 -57- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 1272680 A7 B7 五、發明説明(叫 的半導體層40c的雙閘極構造,故因氮化矽膜造成的應力 的影響倍增,汲極電流增加比例也比單閘極構造的習知型 還增加。 (實施形態10) 圖44是顯示本發明的實施形態1 0的半導體裝置的槪 略構成的模式的剖面圖。 本實施形態10爲適用本發明於具有橫型雙閘極構造的 互補型MISFET的半導體裝置的例子。 如圖44所示,本實施形態10的半導體裝置爲例如以p 型基板1爲主體而構成。在P型基板1的主面上配設有半 導體層42。半導體層42被分割成複數個元件形成部,在各 元件形成部形成有η型MISFET或p型MISFET。在形成有 η型MISFET的半導體層42配設有p型井區域2,在形成有 p型MISFET的半導體層42配設有η型井區域3。各半導體 層42被配設於ρ型基板1上的絕緣膜41包圍周圍,互相 被絕緣分離。 本實施形態10的η型以及ρ型MISFET是成爲在ρ型 基板1的厚度方向以兩個閘電極6夾入作爲通道形成區域 使用的半導體層42的雙閘極構造。而且,η型以及ρ型 MISFET是成爲汲極電流流過基板40的平面方向的橫型構 造。 η型MISFET是被在其通道形成區域使拉伸應力產生的 兩個氮化矽膜14a由ρ型基板1的厚度方向夾入。一方的 ----·--«---- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慈財產局員工消費合作社印^ 本纸張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -58- 1272680 A7 B7 五、發明説明(55) 氮化矽膜14a配設於p型基板1與η型MISFET之間,他方 的氮化矽膜14a是用以覆蓋η型MISFET上而配設。 Ρ型MISFET是被在其通道形成區域使壓縮應力產生的 兩個氮化矽膜14b由p型基板1的厚度方向夾入。一方的 氮化矽膜14b配設於ρ型基板1與ρ型MISFET之間,他方 的氮化矽膜14b是用以覆蓋ρ型MISFET上而配設。 在本實施形態10中因η型以及ρ型MISFET是成爲由 基板40的深度方向以兩個閘電極6夾入作爲通道形成區域 使用的半導體層40c的雙閘極構造,而且被兩個氮化矽膜 覆蓋,故因氮化矽膜造成的應力的影響倍增,汲極電流增 加比例也比單閘極構造的習知型還增加。 以上根據發明的實施形態具體地說明了由本發明者所 創作的發明,惟本發明並非限定於前述發明的實施形態, 當然在不脫離其要旨的範圍可進行種種的變更。 例如,在包含SRAM(靜態隨機存取記憶體,Static Random Access Memory)、DRAM(動態隨機存取記憶體, Dynamic Random Access Memory)、快閃記憶體等的記憶體 系統的製品中,若至少適用本發明的構造於其記憶體系統 的周邊電路或邏輯電路的話,可得到更高性能的記憶體製 品。 【發明的功效】 如果簡單地說明藉由在本案中所揭示的發明之中代表 的發明所獲得的功效的話,如以下所示。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事 •項再填· :寫本頁) 經濟部智慧財產局g(工消費合作社印製 -59- 1272680 A7 B7 五、發明説明(56 ) 如果依照本發明,可謀求η通道導電型場效電晶體以 及Ρ通道導電型場效電晶體的汲極電流的增加(電流驅動會g 力的提高)。 而且如果依照本發明,可自由設定η通道導電型場效 電晶體以及Ρ通道導電型場效電晶體的汲極電流比。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) _ 6〇 _

Claims (1)

1272680 Α8 Β8 C8 D8 月"曰修(更)正替換頁| 六、申請專利範圍1 第9 1 1 3 8 08 8號專利申請案 中文申請專利範圍修正本 (請先閲讀背面之注意事項再填寫本頁) 民國95年10月11日修正 1、 一種半導體裝置的製造方法,具有形成於半導體基 板的η通道導電型場效電晶體以及p通道導電型場效電晶 體,其特徵包含: 在以絕緣膜覆蓋該Ρ通道導電型場效電晶體的閘電極 與該半導體基板的元件分離區域之間的半導體區域的狀態 下,用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該η通道導 電型場效電晶體的通道形成區域形成使拉伸應力產生的第 一絕緣膜的(a)製程; 實施鈾刻處理,選擇性地除去該ρ通道導電型場效電 晶體上的該第一絕緣膜的(b)製程; 經濟部智慧財產局員工消費合作社印製 用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該Ρ通道導 電型場效電晶體的通道形成區域形成使壓縮應力產生的第 二絕緣膜的(c)製程;以及 選擇性地除去該η通道導電型場效電晶體上的該第二 絕緣膜的(d)製程。 2、 一種半導體裝置的製造方法,具有形成於半導體基 板的η通道導電型場效電晶體以及ρ通道導電型場效電晶 體,其特徵包含: 在以絕緣膜覆蓋該η通道導電型場效電晶體的閘電極 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-1 - 1272680 A8 B8 C8 D8 六、申請專利範圍2 (請先聞讀背面之注意事項再填寫本頁) 與該半導體基板的元件分離區域之間的半導體區域的狀態 下,用以在該η通道導電型場效電晶體以及該p通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該Ρ通道導 電型場效電晶體的通道形成區域形成使壓縮應力產生的第 一絕緣膜的(a)製程; 實施鈾刻處理,選擇性地除去該η通道導電型場效電 晶體上的該第一絕緣膜的(b)製程; 用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該η通道導 電型場效電晶體的通道形成區域形成使拉伸應力產生的第 二絕緣膜的(〇製程;以及 選擇性地除去該Ρ通道導電型場效電晶體上的該第二 絕緣膜的(d)製程。 3、 如申請專利範圍第1項或第2項所述之半導體裝置 的製造方法,其中覆蓋該半導體區域的絕緣膜包含形成於 該閘電極側壁的側壁間隙壁,與用以覆蓋該側壁間隙壁而 形成的沉積膜。 經濟部智慧財產局員工消費合作社印製 4、 如申請專利範圍第1項或第2項所述之半導體裝置 的製造方法,其中覆蓋該半導體區域的絕緣膜包含形成於 該閘電極側壁的側壁間隙壁,與用以覆蓋該側壁間隙壁而 形成的沉積膜, 在該半導體區域的表面配設有對準該側壁間隙壁而形 成的金屬/半導體反應層。 5、 如申請專利範圍第1項或第2項所述之半導體裝置 本纸張尺度適用中國國家摞準(CNS ) A4規格(210X297公釐)· 2 - 1272680 A8 Β8 C8 D8 六、申請專利範圍3 的製造方法,其中覆蓋該半導體區域的絕緣膜包含形成於 該閘電極側壁的側壁間隙壁,與形成於該側壁間隙壁與該 元件分離區域之間的熱氧化膜。 6、 如申請專利範圍第1項或第2項所述之半導體裝置 的製造方法,其中覆蓋該半導體區域的絕緣膜包含形成於 該閘電極側壁的側壁間隙壁,與形成於該側壁間隙壁與該 元件分離區域之間的熱氧化膜, 在該半導體區域的表面配設有對準該側壁間隙壁而形 成的金屬/半導體反應層。 7、 如申請專利範圍第1項或第2項所述之半導體裝置 的製造方法,其中該(b)製程是以等向性蝕刻進行。 8、 如申請專利範圍第1項或第2項所述之半導體裝置 的製造方法,其中該(d)製程是以等向性鈾刻進行。 9、 如申請專利範圍第1項或第2項所述之半導體裝置 的製造方法,其中該第一以及第二絕緣膜爲由氮化矽膜構 成的自對準接觸用絕緣膜。 1 0、如申請專利範圍第1項所述之半導體裝置的製造 方法,其中在(a)製程之後該(b)製程之前具有在該第一絕緣 膜上形成絕緣膜的製程, 該(b)製程包含選擇性地除去該p通道導電型場效電晶 體上的該絕緣膜的製程。 1 1、如申請專利範圍第1項所述之半導體裝置的製造 方法,其中覆蓋該半導體區域的絕緣膜包含形成於該閘電 極側壁的側壁間隙壁,與用以覆蓋該側壁間隙壁而形成的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)· 3 - ----------^裝------訂------Φ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 1272680 Α8 Β8 C8 D8 六、申請專利範圍4 沉積膜, 在該(b)製程之後該(c)製程之前,具有除去該p通道導 電型場效電晶體側中的該沉積膜的製程。 1 2、如申請專利範圍第1項所述之半導體裝置的製造 方法,其中覆蓋該半導體區域的絕緣膜包含形成於該閘電 極側壁的側壁間隙壁,與用以覆蓋該側壁間隙壁而形成的 沉積膜, 在該形成沉積膜製程之後該(a)製程之前,具有除去該 η通道導電型場效電晶體側中的該沉積膜的製程。 1 3、如申請專利範圍第2項所述之半導體裝置的製造 方法,其中在(a)製程之後該(b)製程之前,具有在該第一絕 緣膜上形成絕緣膜的製程, 該(b)製程具有選擇性地除去該η通道導電型場效電晶 體上的該絕緣膜的製程。 1 4、如申請專利範圍第2項所述之半導體裝置的製造 方法,其中覆蓋該半導體區域的絕緣膜包含形成於該閘電 極側壁的側壁間隙壁,與用以覆蓋該側壁間隙壁而形成的 沉積膜, 在該(b)製程之後該(〇製程之前,具有除去該η通道導 電型場效電晶體側中的該沉積膜的製程。 1 5、如申請專利範圍第2項所述之半導體裝置的製造 方法,其中覆蓋該半導體區域的絕緣膜包含形成於該閘電 極側壁的側壁間隙壁,與用以覆蓋該側壁間隙壁而形成的 沉積膜, 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)~ΓΤΤ (請先閲讀背面之注意事 —0 ▼項再填* 裝— :寫本頁) 經濟部智慧財產局員工消費合作社印製 1272680 A8 B8 C8 D8 六、申請專利範圍5 在該形成沉積膜製程之後該(a)製程之前,具有除去該 P通道導電型場效電晶體側中的該沉積膜的製程。 (請先閱讀背面之注意事項再填寫本頁) 16、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,其特徵包含: 在該η通道導電型以及ρ通道導電型場效電晶體的閘 電極與該半導體基板的元件分離區域之間的半導體區域上 對準該閘電極,形成第一側壁間隙壁的(a)製程; 在該半導體區域的表面對準該第一側壁間隙壁,形成 金屬/半導體反應層的(b)製程; 在該金屬/半導體反應層上對準該第一側壁間隙壁,形 成第二側壁間隙壁的(〇製程; 用以在該η通道導電型以及ρ通道導電型場效電晶體 上覆蓋這些電晶體的閘電極,在該η通道導電型場效電晶 體的通道形成區域形成使拉伸應力產生的第一絕緣膜的(d) 製程; 經濟部智慧財產局員工消費合作社印製 實施蝕刻處理,選擇性地除去該ρ通道導電型場效電 晶體上的該第一絕緣膜的(〇製程; 用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該ρ通道導 電型場效電晶體的通道形成區域形成使壓縮應力產生的第 二絕緣膜的(f)製程;以及 選擇性地除去該η通道導電型場效電晶體上的該第二 絕緣膜的(g)製程。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)· 5 - 1272680 A8 B8 C8 D8 六、申請專利範圍6 (請先閲·#背面之注意事項再填寫本頁) 17、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,其特徵包含: 在該η通道導電型以及ρ通道導電型場效電晶體的閘 電極與該半導體基板的元件分離區域之間的半導體區域上 對準該閘電極,形成第一側壁間隙壁的(a)製程; 在該半導體區域的表面對準該第一側壁間隙壁,形成 金屬/半導體反應層的(b)製程; 在該金屬/半導體反應層上對準該第一側壁間隙壁,形 成第二側壁間隙壁的(c)製程; 用以在該η通道導電型以及ρ通道導電型場效電晶體 上覆蓋這些電晶體的閘電極,在該Ρ通道導電型場效電晶 體的通道形成區域形成使壓縮應力產生的第一絕緣膜的(d) 製程; 實施蝕刻處理,選擇性地除去該η通道導電型場效電 晶體上的該第一絕緣膜的(e)製程; 經濟部智慧財產局員工消費合作社印製 用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該η通道導 電型場效電晶體的通道形成區域形成使拉伸應力產生的第 二絕緣膜的⑴製程;以及 選擇性地除去該Ρ通道導電型場效電晶體上的該第二 絕緣膜的(g)製程。 1 8、如申請專利範圍第1 6項或第1 7項所述之半導體 裝置的製造方法,其中該(e)製程是以等向性蝕刻進行。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)_ 6 _ 1272680 A8 B8 C8 D8 六、申請專利範圍7 (請先閲讀背面之注意事項再填寫本頁) 1 9、如申請專利範圍第1 6項或第1 7項所述之半導體 裝置的製造方法,其中該第一以及第二絕緣膜爲由氮化砂 膜構成的自對準接觸用絕緣膜。 2〇、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,其特徵包含: 在該η通道導電型場效電晶體以及該ρ通道導電型場 效電晶體上用以覆蓋這些電晶體的閘電極形成具有拉伸應 力的第一絕緣膜的U)製程; 在該η通道導電型場效電晶體以及該ρ通道導電型場 效電晶體上用以覆蓋這些電晶體的閘電極形成具有絕對値 比該第一絕緣膜的拉伸應力還大的壓縮應力的第二絕緣膜 的(b)製程;以及 實施蝕刻處理,選擇性地除去該η通道導電型場效電 晶體上的該第二絕緣膜的(c)製程。 經濟部智慧財產局員工消費合作社印製 2 1、如申請專利範圍第20項所述之半導體裝置的製造 方法,其中該第二絕緣膜的壓縮應力爲該第一絕緣膜的拉 伸應力的兩倍以上。 22、 如申請專利範圍第20項所述之半導體裝置的製造 方法,其中該(c)製程是以等向性蝕刻進行。 23、 如申請專利範圍第20項所述之半導體裝置的製造 方法,其中該(a)製程是在該(b)製程之前實施。 24、 如申請專利範圍第20項所述之半導體裝置的製造 方法,其中該第一以及第二絕緣膜爲由氮化矽膜構成的自 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-7 - 1272680 Α8 Β8 C8 D8 六、申請專利範圍8 對準接觸用絕緣膜。 (請先閱讀背面之注意事項再填寫本頁) 25、 一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,其特徵包含: 在該η通道導電型場效電晶體以及該ρ通道導電型場 效電晶體上用以覆蓋這些電晶體的閘電極形成具有壓縮應 力的第一絕緣膜的(a)製程; 在該η通道導電型場效電晶體以及該ρ通道導電型場 效電晶體上用以覆蓋這些電晶體的閘電極形成具有絕對値 比該第一絕緣膜的壓縮應力還大的拉伸應力的第二絕緣膜 的(b)製程;以及 實施蝕刻處理,選擇性地除去該ρ通道導電型場效電 晶體上的該第二絕緣膜的(〇製程。 26、 如申請專利範圍第25項所述之半導體裝置的製造 方法,其中該第二絕緣膜的拉伸應力爲該第一絕緣膜的壓 縮應力的兩倍以上。 經濟部智慧財產局員工消費合作社印製 27、 如申請專利範圍第25項所述之半導體裝置的製造 方法,其中該(c)製程是以等向性蝕刻進行。 28、 如申請專利範圍第25項所述之半導體裝置的製造 方法,其中該(a)製程是在該(b)製程之前實施。 29、 如申請專利範圍第25項所述之半導體裝置的製造 方法,其中該第一以及第二絕緣膜爲由氮化矽膜構成的自 對準接觸用絕緣膜。 30、 一種半導體裝置,具有形成於半導體基板的η通 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)_ 8 _ 1272680 Α8 Β8 C8 D8 六、申請專利範圍9 道導電型場效電晶體以及P通道導電型場效電晶體,其特 徵爲: (請先閲讀背面之注意事項再填寫本頁) 具有拉伸應力的第一絕緣膜是在該η通道導電型以及ρ 通道導電型場效電晶體上用以覆蓋這些電晶體的閘電極而 形成, 具有絕對値比該第一絕緣膜的拉伸應力還大的壓縮應 力的第二絕緣膜是在該ρ通道導電型場效電晶體上用以覆 蓋此閘電極而選擇性地形成。 3 1、如申請專利範圍第3 0項所述之半導體裝置,其中 該第二絕緣膜的壓縮應力爲該第一絕緣膜的拉伸應力的兩 倍以上。 32、 一種半導體裝置,具有形成於半導體基板的η通 道導電型場效電晶體以及ρ通道導電型場效電晶體,其特 徵爲: 具有壓縮應力的第一絕緣膜是在該η通道導電型以及ρ 通道導電型場效電晶體上用以覆蓋這些電晶體的閘電極而 形成, 經濟部智慧財產局員工消費合作社印製 具有絕對値比該第一絕緣膜的壓縮應力還大的拉伸應 力的第二絕緣膜是在該η通道導電型場效電晶體上用以覆 蓋此閘電極而選擇性地形成。 33、 如申請專利範圍第32項所述之半導體裝置,其中 該第二絕緣膜的拉伸應力爲該第一絕緣膜的壓縮應力的兩 倍以上。 34、 如申請專利範圍第30項或第32項所述之半導體 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)-9 - 1272680 A8 B8 C8 D8 六、申請專利範圍1◦ 裝置,其中該第一以及第二絕緣膜爲氮化矽膜。 (請先閱讀背面之注意事項再填寫本頁) 35、一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,其特徵包含: 在該η通道導電型場效電晶體以及ρ通道導電型場效 電晶體上用以覆蓋這些電晶體的閘電極形成具有拉伸應力 的絕緣膜的製程;以及 對該Ρ通道導電型場效電晶體上的該絕緣膜導入元素 ,將該絕緣膜變換成在該Ρ通道導電型場效電晶體的通道 形成區域使壓縮應力產生的膜的製程。 3 6、如申請專利範圍第3 5項所述之半導體裝置的製造 方法,其中元素爲與包含於該絕緣膜的元素同一的元素。 37、如申請專利範圍第35項所述之半導體裝置的製造 方法,其中該變換製程爲在該元素導入之後具有實施熱處 理的製程,使用該膜的體積膨脹。 經濟部智慧財產局員工消費合作社印製 3 8、如申請專利範圍第3 5項所述之半導體裝置的製造 方法,其中該絕緣膜爲由氮化矽膜構成的自對準接觸用絕 緣膜。 39、一種半導體裝置,具有形成於半導體基板的η通 道導電型場效電晶體以及Ρ通道導電型場效電晶體,其特 徵爲: 在該η通道導電型以及ρ通道導電型場效電晶體上用 以覆蓋這些電晶體的閘電極而形成有膜, 該膜具有在該η通道導電型場效電晶體的通道形成區 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)-1〇 - 1272680 A8 B8 C8 D8 _ 六、申請專利範圍11 (請先閲讀背面之注意事項再填寫本頁) 域使拉伸應力產生的膜應力的第一部分,與在該P通道導 電型場效電晶體的通道形成區域使壓縮應力產生的膜應力 的第二部分, 該膜的第二部分爲膜中的元素濃度比該第一部分高。 40、 如申請專利範圍第39項所述之半導體裝置,其中 該膜爲由氮化矽膜構成的自對準接觸用絕緣膜。 41、 一種半導體裝置,其特徵包含: 形成於半導體基板,在配設於該閘電極側壁的側壁間 隙壁與該半導體基板的元件分離區域之間的半導體區域上 具有金屬矽化物層的第一場效電晶體; 形成於該半導體基板,在配設於該閘電極側壁的側壁 間隙壁與該半導體基板的元件分離區域之間的半導體區域 上不具有金屬矽化物層的第二場效電晶體; 在該第一場效電晶體的通道形成區域使應力產生,在 該第一場效電晶體上用以覆蓋該閘電極而形成的第一絕緣 膜;以及 經濟部智慧財產局員工消費合作社印製 在該第二場效電晶體的通道形成區域使應力產生,在 該第二場效電晶體上用以覆蓋該閘電極而形成的第二絕緣 膜,其中 在該第二場效電晶體的半導體區域與該第二絕緣膜之 間配設有第三絕緣膜, 在該第一場效電晶體的金屬矽化物層與該第一絕緣膜 之間不配設有該第三絕緣膜。 42、 一種半導體裝置的製造方法,具有形成於半導體 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)一 1272680 A8 B8 C8 D8 六、申請專利範圍12 基板的η通道導電型場效電晶體以及p通道導電型場效電 晶體,其特徵包含: (請先閲脅背面之注意事項再填寫本頁) 在以絕緣膜覆蓋該Ρ通道導電型場效電晶體的閘電極 與該半導體基板的元件分離區域之間的半導體區域的狀態 下,用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該η通道導 電型場效電晶體的通道形成區域形成使拉伸應力產生的第 一絕緣膜的(a)製程;以及 實施蝕刻處理,選擇性地除去該ρ通道導電型場效電 晶體上的該第一絕緣膜的(b)製程。 43、 如申請專利範圍第42項所述之半導體裝置的製造 方法,其中該絕緣膜爲氧化矽膜, 該第一絕緣膜爲由氮化矽膜構成的自對準接觸用絕緣 膜。 44、 一種半導體裝置的製造方法,具有形成於半導體 基板的η通道導電型場效電晶體以及ρ通道導電型場效電 晶體,其特徵包含: 經濟部智慧財產局員工消費合作社印製 在以絕緣膜覆蓋該η通道導電型場效電晶體的閘電極 與該半導體基板的元件分離區域之間的半導體區域的狀態 下,用以在該η通道導電型場效電晶體以及該ρ通道導電 型場效電晶體上覆蓋這些電晶體的閘電極,在該Ρ通道導 電型場效電晶體的通道形成區域形成使壓縮應力產生的第 一絕緣膜的(a)製程;以及 實施蝕刻處理,選擇性地除去該η通道導電型場效電 本纸張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐)_ _ 1272680 A8 B8 C8 D8 六、申請專利範圍13 晶體上的該第一絕緣膜的(b)製程。 45、如申請專利範圍第44項所述之半導體裝置的製造 莫爲 緣膜 絕緣 該絕 中一 其第 , 該 法 方 爲 由 膜 矽 化丨 氧氮 緣 絕 用 觸 接 準 對 0 的 成 構 膜 矽 化 膜 (請先聞讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)-13 -
TW091138088A 2002-03-19 2002-12-31 Semiconductor device and its manufacturing method TWI272680B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002076182A JP4173672B2 (ja) 2002-03-19 2002-03-19 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
TW200304680A TW200304680A (en) 2003-10-01
TWI272680B true TWI272680B (en) 2007-02-01

Family

ID=28035421

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091138088A TWI272680B (en) 2002-03-19 2002-12-31 Semiconductor device and its manufacturing method

Country Status (5)

Country Link
US (1) US7105394B2 (zh)
JP (1) JP4173672B2 (zh)
KR (1) KR101025249B1 (zh)
CN (1) CN100362648C (zh)
TW (1) TWI272680B (zh)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183346A (ja) * 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
JP3975099B2 (ja) * 2002-03-26 2007-09-12 富士通株式会社 半導体装置の製造方法
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
CN100378901C (zh) * 2002-11-25 2008-04-02 国际商业机器公司 应变鳍型场效应晶体管互补金属氧化物半导体器件结构
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
JP4002219B2 (ja) * 2003-07-16 2007-10-31 株式会社ルネサステクノロジ 半導体装置及び半導体装置の製造方法
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US6949443B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
JP4653949B2 (ja) 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP4441488B2 (ja) * 2003-12-25 2010-03-31 富士通マイクロエレクトロニクス株式会社 半導体装置および半導体集積回路装置
KR100514166B1 (ko) * 2004-01-20 2005-09-13 삼성전자주식회사 상보형 반도체 소자 형성방법
JP2005294791A (ja) * 2004-03-09 2005-10-20 Nec Corp 不揮発性メモリ及び不揮発性メモリの製造方法
US20050214998A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Local stress control for CMOS performance enhancement
KR101025761B1 (ko) * 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
US7101765B2 (en) * 2004-03-31 2006-09-05 Intel Corporation Enhancing strained device performance by use of multi narrow section layout
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7321155B2 (en) * 2004-05-06 2008-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Offset spacer formation for strained channel CMOS transistor
US7429517B2 (en) * 2004-05-13 2008-09-30 Texas Instruments Incorporated CMOS transistor using high stress liner layer
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
KR101134157B1 (ko) * 2004-05-28 2012-04-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 차등적으로 변형된 진성 응력을 가지는 식각 정지층을 형성함으로써 차등 채널 영역들 내에 차등적인 기계적 응력을 생성하는 기술
DE102004026149B4 (de) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
JP4994581B2 (ja) 2004-06-29 2012-08-08 富士通セミコンダクター株式会社 半導体装置
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
US7402535B2 (en) * 2004-07-28 2008-07-22 Texas Instruments Incorporated Method of incorporating stress into a transistor channel by use of a backside layer
DE102004042167B4 (de) * 2004-08-31 2009-04-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, die Transistorelemente mit unterschiedlich verspannten Kanalgebieten umfasst, und entsprechende Halbleiterstruktur
JP4163164B2 (ja) * 2004-09-07 2008-10-08 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7172936B2 (en) * 2004-09-24 2007-02-06 Texas Instruments Incorporated Method to selectively strain NMOS devices using a cap poly layer
TWI267951B (en) * 2004-09-30 2006-12-01 Taiwan Semiconductor Mfg A device having multiple silicide types and a method for its fabrication
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7217647B2 (en) * 2004-11-04 2007-05-15 International Business Machines Corporation Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US20060099765A1 (en) * 2004-11-11 2006-05-11 International Business Machines Corporation Method to enhance cmos transistor performance by inducing strain in the gate and channel
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
DE102004057762B4 (de) * 2004-11-30 2010-11-11 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet
US7348635B2 (en) * 2004-12-10 2008-03-25 International Business Machines Corporation Device having enhanced stress state and related methods
US7335544B2 (en) * 2004-12-15 2008-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making MOSFET device with localized stressor
JP5002891B2 (ja) * 2004-12-17 2012-08-15 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100702006B1 (ko) 2005-01-03 2007-03-30 삼성전자주식회사 개선된 캐리어 이동도를 갖는 반도체 소자의 제조방법
US7271442B2 (en) * 2005-01-12 2007-09-18 International Business Machines Corporation Transistor structure having stressed regions of opposite types underlying channel and source/drain regions
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP2008108753A (ja) * 2005-02-10 2008-05-08 Az Electronic Materials Kk ポリシラザンに由来する窒化ケイ素質膜を有する半導体装置およびその製造方法
JP2006228950A (ja) * 2005-02-17 2006-08-31 Sony Corp 半導体装置およびその製造方法
US20060189167A1 (en) * 2005-02-18 2006-08-24 Hsiang-Ying Wang Method for fabricating silicon nitride film
KR100585180B1 (ko) * 2005-02-21 2006-05-30 삼성전자주식회사 동작 전류가 개선된 반도체 메모리 소자 및 그 제조방법
JP4453572B2 (ja) 2005-02-22 2010-04-21 ソニー株式会社 半導体集積回路の製造方法
JP4361886B2 (ja) * 2005-02-24 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
US7101744B1 (en) * 2005-03-01 2006-09-05 International Business Machines Corporation Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7238990B2 (en) * 2005-04-06 2007-07-03 Freescale Semiconductor, Inc. Interlayer dielectric under stress for an integrated circuit
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
JP2006339398A (ja) * 2005-06-02 2006-12-14 Sony Corp 半導体装置の製造方法
US7442598B2 (en) * 2005-06-09 2008-10-28 Freescale Semiconductor, Inc. Method of forming an interlayer dielectric
KR100638749B1 (ko) 2005-06-09 2006-10-30 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP4701850B2 (ja) * 2005-06-14 2011-06-15 ソニー株式会社 半導体装置およびその製造方法
DE102005030583B4 (de) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
US7060549B1 (en) * 2005-07-01 2006-06-13 Advanced Micro Devices, Inc. SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same
JP2007027194A (ja) * 2005-07-12 2007-02-01 Renesas Technology Corp 半導体装置
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
JP5114881B2 (ja) * 2005-07-26 2013-01-09 富士通セミコンダクター株式会社 半導体装置及びその製造方法
TWI282624B (en) 2005-07-26 2007-06-11 Fujitsu Ltd Semiconductor device and method for fabricating the same
JP2007059473A (ja) 2005-08-22 2007-03-08 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7514752B2 (en) * 2005-08-26 2009-04-07 Toshiba America Electronic Components, Inc. Reduction of short-circuiting between contacts at or near a tensile-compressive boundary
JP4703324B2 (ja) * 2005-08-30 2011-06-15 株式会社東芝 半導体装置
FR2890782B1 (fr) * 2005-09-14 2008-02-29 St Microelectronics Crolles 2 Dispositif semi-conducteur comprenant au moins un transistor mos comprenant une couche d'arret de gravure et procede de fabrication correspondant.
JP4880958B2 (ja) * 2005-09-16 2012-02-22 株式会社東芝 半導体装置及びその製造方法
JP4546371B2 (ja) 2005-09-20 2010-09-15 パナソニック株式会社 半導体装置およびその製造方法
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
DE102005046977B4 (de) * 2005-09-30 2015-02-05 Advanced Micro Devices, Inc. Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung mittels Kontaktätzstoppschichtstapels mit einer dazwischen liegenden Ätzstoppschicht
DE102005046978B4 (de) * 2005-09-30 2007-10-04 Advanced Micro Devices, Inc., Sunnyvale Technik zum Erzeugen einer unterschiedlichen mechanischen Verformung durch Bilden eines Kontaktätzstoppschichtstapels mit unterschiedlich modifizierter innerer Verspannung
JP4787593B2 (ja) 2005-10-14 2011-10-05 パナソニック株式会社 半導体装置
CN1956223A (zh) 2005-10-26 2007-05-02 松下电器产业株式会社 半导体装置及其制造方法
US7504289B2 (en) * 2005-10-26 2009-03-17 Freescale Semiconductor, Inc. Process for forming an electronic device including transistor structures with sidewall spacers
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7541234B2 (en) * 2005-11-03 2009-06-02 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas
US20070105368A1 (en) * 2005-11-07 2007-05-10 Texas Instruments Inc. Method of fabricating a microelectronic device using electron beam treatment to induce stress
US7670892B2 (en) * 2005-11-07 2010-03-02 Texas Instruments Incorporated Nitrogen based implants for defect reduction in strained silicon
US7420202B2 (en) * 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
JP2007134577A (ja) * 2005-11-11 2007-05-31 Toshiba Corp 半導体装置
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
JP2007141912A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007141903A (ja) * 2005-11-15 2007-06-07 Renesas Technology Corp 半導体装置およびその製造方法
US7303962B2 (en) * 2005-11-16 2007-12-04 United Microelectronics Corp. Fabricating method of CMOS and MOS device
US20080128831A1 (en) * 2005-11-16 2008-06-05 United Microelectronics Corp. Cmos and mos device
US7601587B2 (en) 2005-11-16 2009-10-13 United Microelectronics Corp. Fabricating method of CMOS
JP2007141977A (ja) 2005-11-16 2007-06-07 Matsushita Electric Ind Co Ltd 半導体装置
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US7511360B2 (en) * 2005-12-14 2009-03-31 Freescale Semiconductor, Inc. Semiconductor device having stressors and method for forming
US7615433B2 (en) * 2005-12-15 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Double anneal with improved reliability for dual contact etch stop liner scheme
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
JP2007201370A (ja) * 2006-01-30 2007-08-09 Nec Electronics Corp 半導体装置およびその製造方法
US7696578B2 (en) * 2006-02-08 2010-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective CESL structure for CMOS application
KR100714479B1 (ko) 2006-02-13 2007-05-04 삼성전자주식회사 반도체 집적 회로 장치 및 그 제조 방법
JP5076119B2 (ja) 2006-02-22 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20070200179A1 (en) * 2006-02-24 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same
JP4899085B2 (ja) 2006-03-03 2012-03-21 富士通セミコンダクター株式会社 半導体装置およびその製造方法
WO2007102248A1 (ja) * 2006-03-08 2007-09-13 Sharp Kabushiki Kaisha 半導体装置及びその製造方法
JP4680116B2 (ja) * 2006-03-31 2011-05-11 Okiセミコンダクタ株式会社 半導体装置
US7528029B2 (en) * 2006-04-21 2009-05-05 Freescale Semiconductor, Inc. Stressor integration and method thereof
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7670938B2 (en) * 2006-05-02 2010-03-02 GlobalFoundries, Inc. Methods of forming contact openings
US7361539B2 (en) * 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US20100224941A1 (en) * 2006-06-08 2010-09-09 Nec Corporation Semiconductor device
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US7678636B2 (en) * 2006-06-29 2010-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of stress memorization layer
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US20080026523A1 (en) * 2006-07-28 2008-01-31 Chartered Semiconductor Manufacturing, Ltd And International Business Machines Corporation (Ibm) Structure and method to implement dual stressor layers with improved silicide control
US7439120B2 (en) * 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
DE102006041006B4 (de) * 2006-08-31 2018-05-03 Advanced Micro Devices, Inc. Verfahren zur Strukturierung von Kontaktätzstoppschichten unter Anwendung eines Planarisierungsprozesses
US20080064173A1 (en) * 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US7442601B2 (en) * 2006-09-18 2008-10-28 Advanced Micro Devices, Inc. Stress enhanced CMOS circuits and methods for their fabrication
US20080073724A1 (en) * 2006-09-22 2008-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Double layer etch stop layer structure for advanced semiconductor processing technology
KR100772901B1 (ko) 2006-09-28 2007-11-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR100809335B1 (ko) 2006-09-28 2008-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR100807597B1 (ko) * 2006-09-28 2008-02-28 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2008091536A (ja) 2006-09-29 2008-04-17 Toshiba Corp 半導体装置及びその製造方法
US7651915B2 (en) * 2006-10-12 2010-01-26 Infineon Technologies Ag Strained semiconductor device and method of making same
JP2008098567A (ja) * 2006-10-16 2008-04-24 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2008103607A (ja) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20080116521A1 (en) 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
US7388267B1 (en) * 2006-12-19 2008-06-17 International Business Machines Corporation Selective stress engineering for SRAM stability improvement
US8569858B2 (en) * 2006-12-20 2013-10-29 Freescale Semiconductor, Inc. Semiconductor device including an active region and two layers having different stress characteristics
US8247850B2 (en) * 2007-01-04 2012-08-21 Freescale Semiconductor, Inc. Dual interlayer dielectric stressor integration with a sacrificial underlayer film stack
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US7700499B2 (en) * 2007-01-19 2010-04-20 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
US7843011B2 (en) * 2007-01-31 2010-11-30 Freescale Semiconductor, Inc. Electronic device including insulating layers having different strains
US20080179638A1 (en) * 2007-01-31 2008-07-31 International Business Machines Corporation Gap fill for underlapped dual stress liners
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7868390B2 (en) * 2007-02-13 2011-01-11 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistor
CN101256982B (zh) * 2007-02-28 2011-04-06 联华电子股份有限公司 制作应变硅互补金属氧化物半导体晶体管的方法
US7935588B2 (en) * 2007-03-06 2011-05-03 International Business Machines Corporation Enhanced transistor performance by non-conformal stressed layers
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
US20080237658A1 (en) * 2007-03-26 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
WO2008117430A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置の製造方法、半導体装置
US7534678B2 (en) 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US20080237734A1 (en) * 2007-03-29 2008-10-02 United Microelectronics Corp. Complementary metal-oxide-semiconductor transistor and method of fabricating the same
JP5299268B2 (ja) * 2007-03-30 2013-09-25 富士通セミコンダクター株式会社 半導体集積回路装置およびその製造方法
WO2008139509A1 (ja) 2007-05-14 2008-11-20 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7611935B2 (en) * 2007-05-24 2009-11-03 Advanced Micro Devices, Inc. Gate straining in a semiconductor device
US7834399B2 (en) 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
JP2009016754A (ja) * 2007-07-09 2009-01-22 Toshiba Corp 半導体装置及びその製造方法
KR20090008568A (ko) * 2007-07-18 2009-01-22 주식회사 동부하이텍 반도체 소자의 제조방법
JP4994139B2 (ja) * 2007-07-18 2012-08-08 パナソニック株式会社 半導体装置及びその製造方法
JP2009026955A (ja) * 2007-07-19 2009-02-05 Panasonic Corp 半導体装置及びその製造方法
JP2009027008A (ja) * 2007-07-20 2009-02-05 Panasonic Corp 半導体装置およびその製造方法
JP2009038103A (ja) * 2007-07-31 2009-02-19 Fujitsu Microelectronics Ltd 半導体装置の製造方法と半導体装置
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US7723798B2 (en) * 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
JP2009076879A (ja) * 2007-08-24 2009-04-09 Semiconductor Energy Lab Co Ltd 半導体装置
US8232598B2 (en) 2007-09-20 2012-07-31 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US7982250B2 (en) 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US20090085120A1 (en) * 2007-09-28 2009-04-02 Texas Instruments Incorporated Method for Reduction of Resist Poisoning in Via-First Trench-Last Dual Damascene Process
JP2009099724A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置
US7923365B2 (en) 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
JP2009147199A (ja) * 2007-12-17 2009-07-02 Renesas Technology Corp 半導体装置および半導体装置の製造方法
DE102007063272B4 (de) * 2007-12-31 2012-08-30 Globalfoundries Inc. Dielektrisches Zwischenschichtmaterial in einem Halbleiterbauelement mit verspannten Schichten mit einem Zwischenpuffermaterial
JP5559385B2 (ja) * 2008-01-29 2014-07-23 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置およびその製造方法
JP2009200155A (ja) * 2008-02-20 2009-09-03 Nec Electronics Corp 半導体装置及びその製造方法
JP5309619B2 (ja) 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
JP2009277849A (ja) * 2008-05-14 2009-11-26 Toshiba Corp 半導体装置及びその製造方法
US20100019351A1 (en) * 2008-07-28 2010-01-28 Albert Ratnakumar Varactors with enhanced tuning ranges
US8735983B2 (en) * 2008-11-26 2014-05-27 Altera Corporation Integrated circuit transistors with multipart gate conductors
US20100127331A1 (en) * 2008-11-26 2010-05-27 Albert Ratnakumar Asymmetric metal-oxide-semiconductor transistors
KR101561862B1 (ko) * 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP5428395B2 (ja) * 2009-03-04 2014-02-26 ソニー株式会社 固体撮像装置およびその製造方法、および撮像装置
JP2010212388A (ja) * 2009-03-10 2010-09-24 Renesas Electronics Corp 半導体装置およびその製造方法
US8232603B2 (en) * 2009-03-19 2012-07-31 International Business Machines Corporation Gated diode structure and method including relaxed liner
DE102009031156B4 (de) * 2009-06-30 2012-02-02 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit nicht-isolierenden verspannten Materialschichten in einer Kontaktebene und Verfahren zu dessen Herstellung
US8236709B2 (en) 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
JP5499641B2 (ja) 2009-11-04 2014-05-21 富士通セミコンダクター株式会社 半導体装置及びその設計方法並びに半導体装置の製造方法
US8482963B1 (en) 2009-12-02 2013-07-09 Altera Corporation Integrated circuits with asymmetric and stacked transistors
US8638594B1 (en) 2009-12-02 2014-01-28 Altera Corporation Integrated circuits with asymmetric transistors
US9496268B2 (en) 2009-12-02 2016-11-15 Altera Corporation Integrated circuits with asymmetric and stacked transistors
CN102194750A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作互补型金属氧化物半导体器件的方法
CN102194736B (zh) * 2010-03-15 2014-01-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
JP2011249586A (ja) * 2010-05-27 2011-12-08 Elpida Memory Inc 半導体装置の製造方法
US8138797B1 (en) 2010-05-28 2012-03-20 Altera Corporation Integrated circuits with asymmetric pass transistors
US8765600B2 (en) * 2010-10-28 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for reducing gate resistance and method of making the same
US8426265B2 (en) * 2010-11-03 2013-04-23 International Business Machines Corporation Method for growing strain-inducing materials in CMOS circuits in a gate first flow
CN102487048B (zh) * 2010-12-03 2013-10-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US8216928B1 (en) * 2011-01-26 2012-07-10 GlobalFoundries, Inc. Methods for fabricating semiconductor devices having local contacts
CN102683281B (zh) * 2011-03-07 2015-07-08 中国科学院微电子研究所 一种半导体结构及其制造方法
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
CN102420231A (zh) * 2011-04-29 2012-04-18 上海华力微电子有限公司 基于赝通孔刻蚀停止层技术的sram单元结构及其制备方法
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8609533B2 (en) * 2012-03-30 2013-12-17 GlobalFoundries, Inc. Methods for fabricating integrated circuits having substrate contacts and integrated circuits having substrate contacts
CN102610503A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 一种提高随机存储器读出冗余度的方法
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US9209298B2 (en) 2013-03-08 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-semiconductor field-effect transistor with extended gate dielectric layer
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US8975928B1 (en) 2013-04-26 2015-03-10 Altera Corporation Input-output buffer circuitry with increased drive strength
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
KR20150020848A (ko) * 2013-08-19 2015-02-27 에스케이하이닉스 주식회사 동작 전류가 개선된 수직 채널 pmos 트랜지스터, 이를 포함하는 저항 변화 메모리 장치 및 pmos 트랜지스터의 제조방법
US9368626B2 (en) * 2013-12-04 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strained layer
US9905475B2 (en) * 2015-06-09 2018-02-27 International Business Machines Corporation Self-aligned hard mask for epitaxy protection
KR102292812B1 (ko) 2015-08-18 2021-08-23 삼성전자주식회사 반도체 장치
US9735269B1 (en) 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
JP6658441B2 (ja) * 2016-10-06 2020-03-04 三菱電機株式会社 半導体装置
US9847416B1 (en) * 2016-11-15 2017-12-19 Globalfoundries Inc. Performance-enhanced vertical device and method of forming thereof
CN110739351A (zh) * 2018-07-18 2020-01-31 帅群微电子股份有限公司 半导体功率元件及其制造方法
TWI666681B (zh) * 2018-07-18 2019-07-21 帥群微電子股份有限公司 半導體功率元件及其製造方法
TWI738568B (zh) * 2020-11-18 2021-09-01 汎銓科技股份有限公司 一種故障分析用的半導體試片的製備方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2583521B2 (ja) * 1987-08-28 1997-02-19 株式会社東芝 半導体集積回路
JP3083547B2 (ja) * 1990-07-12 2000-09-04 株式会社日立製作所 半導体集積回路装置
JPH06232170A (ja) * 1993-01-29 1994-08-19 Mitsubishi Electric Corp 電界効果トランジスタ及びその製造方法
EP0617461B1 (en) * 1993-03-24 1997-09-10 AT&T Corp. Oxynitride dielectric process for IC manufacture
TW297158B (zh) * 1994-05-27 1997-02-01 Hitachi Ltd
US5633202A (en) * 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
JPH08250600A (ja) * 1995-03-08 1996-09-27 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US5552332A (en) * 1995-06-02 1996-09-03 Motorola, Inc. Process for fabricating a MOSFET device having reduced reverse short channel effects
JPH10303289A (ja) * 1997-04-30 1998-11-13 Hitachi Ltd 半導体集積回路装置の製造方法
JP3050193B2 (ja) * 1997-11-12 2000-06-12 日本電気株式会社 半導体装置及びその製造方法
JP3967440B2 (ja) * 1997-12-09 2007-08-29 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR100296130B1 (ko) * 1998-06-29 2001-08-07 박종섭 이중막 실리콘웨이퍼를 이용한 금속-산화막-반도체 전계효과트랜지스터 제조방법
US6661096B1 (en) * 1999-06-29 2003-12-09 Semiconductor Energy Laboratory Co., Ltd. Wiring material semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
TW580736B (en) * 2000-04-27 2004-03-21 Hitachi Ltd Fabrication method for semiconductor device
JP4053232B2 (ja) * 2000-11-20 2008-02-27 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6664172B2 (en) * 2002-01-22 2003-12-16 United Microelectronics Corp. Method of forming a MOS transistor with improved threshold voltage stability

Also Published As

Publication number Publication date
JP4173672B2 (ja) 2008-10-29
US7105394B2 (en) 2006-09-12
KR20030076354A (ko) 2003-09-26
JP2003273240A (ja) 2003-09-26
KR101025249B1 (ko) 2011-03-29
TW200304680A (en) 2003-10-01
CN100362648C (zh) 2008-01-16
US20030181005A1 (en) 2003-09-25
CN1445838A (zh) 2003-10-01

Similar Documents

Publication Publication Date Title
TWI272680B (en) Semiconductor device and its manufacturing method
JP4597479B2 (ja) 半導体装置及びその製造方法
TWI690080B (zh) 半導體元件
JP5669954B2 (ja) 高K/金属ゲートMOSFETを有するVt調整及び短チャネル制御のための構造体及び方法。
TWI412129B (zh) 具有應力閘極金屬矽化層之高效能金氧半場效電晶體及其製作方法
JP4675844B2 (ja) 半導体装置および半導体装置の製造方法
KR100443475B1 (ko) 반도체장치 및 그 제조방법
US7939895B2 (en) Semiconductor device with forwardly tapered P-type FET gate electrode and reversely tapered N-type FET gate electrode and method of manufacturing same
US7964918B2 (en) Semiconductor device and method for manufacturing the same
JP2008117963A (ja) 電界効果トランジスタおよび半導体装置、ならびにそれらの製造方法
JP2007019129A (ja) 半導体装置の製造方法及び半導体装置
JPWO2006137371A1 (ja) 半導体装置
JP2008527743A (ja) Cmosデバイスの自己形成金属シリサイド化ゲート
US7101776B2 (en) Method of fabricating MOS transistor using total gate silicidation process
JP4859884B2 (ja) 半導体装置及びその製造方法
US6893910B1 (en) One step deposition method for high-k dielectric and metal gate electrode
KR20080007391A (ko) 개선된 스트레스 이동 효율을 가지는 접촉 절연층 형성기술
CN113950741A (zh) 半导体装置和制造半导体装置的方法
KR20030095568A (ko) 반도체 소자의 게이트 전극 형성 방법
JP2005276989A (ja) 半導体装置の製造方法
JP2007294811A (ja) 半導体集積回路及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees