TWI259506B - Plasma uniformity control by gas diffuser hole design - Google Patents

Plasma uniformity control by gas diffuser hole design Download PDF

Info

Publication number
TWI259506B
TWI259506B TW093136349A TW93136349A TWI259506B TW I259506 B TWI259506 B TW I259506B TW 093136349 A TW093136349 A TW 093136349A TW 93136349 A TW93136349 A TW 93136349A TW I259506 B TWI259506 B TW I259506B
Authority
TW
Taiwan
Prior art keywords
conical
gas
diffuser
hollow cathode
inches
Prior art date
Application number
TW093136349A
Other languages
English (en)
Other versions
TW200537561A (en
Inventor
Soo-Young Choi
John M White
Qun-Hua Wang
Hou Li
Ki-Woon Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200537561A publication Critical patent/TW200537561A/zh
Application granted granted Critical
Publication of TWI259506B publication Critical patent/TWI259506B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49885Assembling or joining with coating before or during assembling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49995Shaping one-piece blank by removing material
    • Y10T29/49996Successive distinct removal operations

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)

Description

1259506 玖、發明說明: 【發明所屬之技術領域】 本發明實施例大致係關於一種氣體分配板組件及在製 程室中分配氣體的方法。 【先前技術】 液晶顯示器或平板顯示器一般係用在諸如電腦及電視 螢幕之類的主動陣列顯示器。且,一般係以電漿增強式化 學氣相沉積製程(PECVD)來將膜層沉積在一基板(例如平 板顯示器或半導體晶圓用的透明基板)上。PECVD —般係 藉由引入一先質氣體或氣體混合物進入包含有一基板的真 空室的方式來完成。該先質氣體或氣體混合物典型係被往 下導引穿過靠近該真空室頂端的一氣體分配板。從被耦合 到該真空室的一或多個無線電頻率(RF)電源施加RF電力 到該真空室,以將該真空室中的先質氣體或氣體混合物激 發成為電漿。該被激發的氣體或氣體混合物反應後會在由 一溫度控制的基板支撐柱上的基板表面形成一物質層。反 應期間的揮發性副產物則係從一排氣系統排出該真空室。 以 PECVD技術處理的平板一般係屬於大型平板,其 尺寸經常超過370毫米x470毫米。未來將出現超過4平方 公尺的大面積基板。用來提供均勻製程氣體流過平板的氣 體分配板一般屬於大面積者,特別是與用來處理2 00毫米 及3 0 0亳米半導體晶圓的氣體氛配板相比之下。 隨著TFT-LCD產業中基板大小不斷上升的/同時,用來 1259506 控制大面積電漿增強式化學氣相沉積室中膜層厚度與膜層 性質均勻度也變成一項重要的議題。TFT是平板顯示器的 一種。基板中央與基板邊緣沉積速率和/或膜層性質(例如 膜層應力)的差異,將變得很明顯。 因此,亟需一種可改善膜層沉積厚度與膜層性質均勻 度之改良的氣體分配板組件。 【發明内容】
此處實施例提供的是在製程室中用來分配氣體的氣體 分配板。在一實施例中,一電漿製程室用的氣體分配板組 件包含一擴散板,其具有一上游側與一下游側;及一内部 氣體通道及一外部氣體通道,該等通道係穿過該擴散板之 上游側與下游側之間並在該下游側包含中空陰極腔,其中 該内部氣體通道的中空陰極腔體積密度比該外部氣體通道 的中空陰極腔體積密度來得低。
在另一實施例中,一電漿製程室用的氣體分配板包含 一擴散板,其具有一上游側與一下游側;及一内部氣體通 道及外部氣體通道,該等通道係穿過該擴散板之上游側與 下游側之間並在該下游側包含中空陰極腔,其中該内部氣 體通道的中空陰極腔的表面積密度比該外部氣體通道的中 空陰極腔的表面積密度來得低。 在另一實施例中,一電漿製程室用的氣體分配板包含 一擴散板,其具有一上游側與一下游側;及多個氣體通道, 其係穿過該擴散板之上游側與下游側之間並在該下游側包 4 1259506 含中空陰極腔,其中該中空陰極腔的密度係從該擴散板的 中央往邊緣逐漸增加。 在另一實施例中,一電漿製程室係包含一擴散板,其 具有一上游側與一下游側;内部氣體通道及外部氣體通 道,該等通道係穿過該擴散板之上游側與下游侧之間並在 該下游側包含中空陰極腔,其中該内部氣體通道的中空陰 極腔的體積密度比該外部氣體通道的中空陰極腔的體積密 度來得低;及一鄰近該擴散板下游侧的基板支撐柱。
在另一實施例中,一電漿製程室係包含一擴散板,其 具有一上游側與一下游側;内部氣體通道及外部氣體通 道,該等通道係穿過該擴散板之上游側與下游側之間並在 該下游側包含中空陰極腔,其中該内部氣體通道的中空陰 極腔的表面積密度比該外部氣體通道的中空陰極腔的表面 積密度來得低;及一鄰近該擴散板下游側的基板支撐柱。
在另一實施例中,一電漿製程室包含一擴散板,其具 有一上游側與一下游側;及多個氣體通道,其係穿過該擴 散板之上游側與下游側之間並在該下游側包含中空陰極 腔,其中該中空陰極腔的密度係從該擴散板的中央往邊緣 逐漸增加;及一鄰近該擴散板下游側的基板支撐柱。 在另一實施例中,一電漿製程室用的氣體分配板組件 包含一擴散板其具有一上游側與一下游側,且該氣體擴散 板係被分成許多同軸區域及多個穿過該擴散板之上游側與 下游側之間的氣體通道,其中在每一區域中的該氣體通道 係相同的,且每一區域中氣體通道之中空陰極腔的密度、 5 1259506 體積與表面積係從該擴散板的中央往邊緣逐步增加。 在另一實施例中,一種製造一電漿製程室用的氣體分 配板組件的方法,包含製造一具有一上游側與一下游側的 氣體擴散板,及穿過該擴散板上游側與下游側之間的多個 氣體通道,將該擴散板彎曲使其朝向下游側平滑的凸起, 及精密製造該凸起表面使該下游側表面變平。
在另一實施例中,一種製造一電漿製程室用的氣體分 配板組件的方法,包含精密製造出一具有一上游側與一下 游側的氣體擴散板,及穿過該擴散板上游側與下游側之間 的多個氣體通道,其中該擴散板之中空陰極腔的密度、體 積與表面積係從該擴散板的中央往邊緣逐步增加。
在另一實施例中,一種在一基板上沉積一薄膜層的方 法,包含將一基板置於一具有氣體分配板的製程室中,該 氣體分配板具有一上游側與一下游側的氣體擴散板,及穿 過該擴散板上游侧與下游側之間的多個氣體通道並在該下 游側包含中空陰極腔,其中無論是該内部氣體通道的該中 空陰極腔的體積密度、或是該中空陰極腔的表面積密度、 或是該中空陰極腔的密度均較該外部氣體通道的該中空陰 極腔的體積密度、表面積密度或密度來得低;讓製程氣體 流動通過一擴散板朝向一支撐在一基板支撐柱上的基板’ 在該擴散板與該基板支撐柱之間創造出一電漿,並在該製 程室中的基板上沉積一薄膜層。 在另一實施例中,一擴散板包含一具有一頂表面及一 底表面的主體,穿過該頂表面及底表面之間的多個氣體通 6 1259506 道,及一外部區域與一内部區域,其中位於該外部區域之 頂表面與底表面間的該主體係比位於該内部區域之頂表面 與底表面間的該主體來得厚。 在另一實施例中,一種製造一電漿製程室用的氣體擴 散板的方法,包含製造出一具有一上游側與一下游側的氣 體擴散板,及穿過該擴散板上游側與下游側之間的多個氣 體通道,及精密製造該下游表面以製造出該凸起的下游表 面。
在另一實施例中,一種製造一電漿製程室用的氣體分 配板組件的方法,包含將一具有一上游側與一下游側的擴 •V ' 散板彎曲以使該下游表面成凹面且該上游表面成凸起,及 製造出多個穿過該擴散板上游側與下游側之間的多個氣體 通道,其係藉由使該中空陰極腔自一幾乎平坦的下游表面 開始幾乎具有相同深度的方式來達成,並使所有氣體通道 具有相同大小的開口孔洞,該等開口孔洞係彼此連接形成 該中空陰極腔。
【實施方式】 上述本發明特徵可藉由下述發明詳細說明,並參照實 施例及附圖而了解。需知,附隨的圖示僅係用以闡述本發 明一特定實施例,並非用以限制本發明範疇,且本發明尚 包括所述實施例的其他等效變化。 本發明大致係提供一種氣體分散組件,用以在一製程 室中提供氣體傳輸。下述本發明將參照一設計來處理大型 7 1259506 基板的電漿增強式化學氣相沉積室來說明,例如,美商應 用材料的分公司,AKT所製造銷售的電漿增強式化學氣相 沉積(PECVD)系統。但是,需知本發明也可用於諸如蝕刻 系統、其他化學氣相沉積系統及任何一種需要在製程室中 份散氣體的系統,包括那些用來處理圓形基板的製程系統。
第1圖顯示一薄膜電晶體結構的橫斷面。常見的TFT 結構之一是如第1圖所示的背通道餘刻(back channel etch, B C E)反轉堆豐(或底閘極)T F T結構。較佳是使用 b C E製 程’因為閘極介電層(SiN)及該内生的與n +掺雜的非晶型 矽層可在同一 P E C V D製程中被沉積。在此所示的B C E製 程只需使用5種圖案化光罩。基板1〇1可包含一種在可見 光譜中幾乎完全透光的材料,例如玻璃或透明塑膠。該基 板也可是任何形狀與大小。一般來說,對TFT應用來說,
该基板是一表面積超過500平方公厘的玻璃基板。一種閘 極電極層1 〇 2係形成在該基板1 〇 1上。該閘極電極層1 〇 2 包含一可在該TFT中控制帶電載體之移動的導電層。該閘 極電極層1 〇 2也可包含一種金屬,例如鋁、鎬、鉻、鈕、 或其之組合。該閘極電極層1 02可以習知的沉積技術、微 影與蝕刻技術來形成。在該基板1 0 1與該閘極電極層1 〇 2 之間,可包含一層選擇性沉積的絕緣層,例如二氧化石夕層 或氮化矽層,其亦可以在此所述的PECVD系統來進行沉 積。之後,以習知技術來將該閘極電極層1 〇 2微影圖案化 及蝕刻,以定義出該閘極電極。 一閘極介電層1 03係形成在該閘極電極層1 〇2上。該 8 1259506 閘極介電層 103 可以是一種二氧化矽層 '矽氧氮層 (SiON)、或氮化矽(SiN)層,以此所述PECVD系統之一實 施例來進行沉積。該閘極介電層1 〇 3的沉積厚度介於約1 0 0 埃至約6,000埃的範圍内。
一半導體塊層1 04被沉積在該閘極介電層1 0 3上。該 半導體塊層 104可包括多晶矽或非晶型矽(a-Si),其可由 此所述 PECVD系統之一實施例或其他習知的方法來進行 沉積。半導體塊層104的沉積厚度介於約100埃至約3,000 埃的範圍内。一摻雜的半導體層1 05被沉積在該半導體塊 層104之上。該摻雜的半導體層105可包含n +型(n + )或p-型(P + )摻雜之多晶矽或非晶型矽O-Si),其可由此所述 PECVD系統之一實施例或其他習知的方法來進行沉積。該 摻雜的半導體層105的沉積厚度介於約100埃至約3,000 埃的範圍内。該摻雜的半導體層1〇5的例子之一是n+型篩 雜的α-Si層。之後,以習知技術來將該半導體塊層104及 該摻雜的半導體層1 〇 5微影圖案化及蝕刻,以定義出覆於 該閘極介電絕緣層之上的此兩種膜層,其同時也可做為儲 存電容介電層。該摻雜的半導體層1 〇 5直接接觸該半導體 塊層104的一部分,以形成一半導體架接區(junction)。 之後,在暴露表面上沉積一導5電層106。該導電層106 包含一種金屬,例如鋁、鎢、鉬(Μ 〇 )、鉻、鈕、或其之組 合。可以習知的沉積技術來形成該導電層1 〇 6。該導電層 106及該摻雜的半導體層105兩者可被圖案化以定義出該 TF丁中的源極與汲極區。之後,可沉積一層被動層1 〇7。 9 1259506 被動層107同形包覆該暴露表面。該被動層i〇7 —般來說 是一種絕緣層且可包含,例如二氧化矽層或氮化矽(SiN) 層’並可以此所述PECVD系統之一實施例或其他習知的 方法來進行沉積。該被動層1 〇 7的沉積厚度介於約1 0 0 〇 埃至約5,0 0 0埃的範圍内。之後以習知的蝕刻技術將該被 動層1 〇 7微影圖案化,以在該被動層1 〇 7中打開一些接觸 孔。
之後,沉積並圖案化一層透明導電層丨〇 8以與該導電 層106接觸。該透明導電層1〇8包含一種在可見光譜中實 質光學透明且可導電的材料。透明導電層1 〇 8可包含,例 如,銦錫氧化物(ITO)或氧化鋅等。依據習知的蝕刻技術將 該透明導電層1 0 8加以圖案化。 可以本發明所述之PECVD系統之一實施例來沉積用 於液晶顯示器(或平板顯示器)之該摻雜或未__摻雜(内生的) 的非晶型矽(a-S〇、二氧化矽(Si〇2)、氧氮化矽(si〇N)及氮 ^匕石夕(SlN)膜層。所述該TF丁結構僅係供闡述之用,本發明 方法可用於任何可座
J應用此發明的任一種裝置的製造中。 弟2圖示屮—^ 電襞增強式化學氣相沉積系統2 0 0的實 例’其係由美商臃田 间應用材料公司(Santa Clara,California)的 分公司 AKT戶斤勒、生 汁I w銷售。該系統2 〇 〇 一般包括一製程室 2 0 2,其係被鉍人s 啊5至—氣體源204。該製程室202具有可界 定出部分製程*鬥n ^ 二间2 1 2的多個壁2 0 6及一底部2 0 8。一般 係經由位於該客加批 w Λ夕個壁206上的一埠(未視出)來利用該製程 空間 2 12,以暫私必 ’助移動一基板240進入或離開該製程室 10 1259506 202。該多個壁206可支持一蓋組件210,該蓋組件210包 含一充氣空間2 1 4用以將該製程空間2 1 2耦接至一排氣埠 (其包括各種抽氣組件,未示出)。 一基板支撐組件2 3 8係定位於該製程室2 0 2中央。該 支撐組件238可於製程期間支撐一玻璃基板240。在一實 施例中,該基板支禮組件2 3 8包含一紹製主體2 2 4,其中 密封著至少一包埋的加熱器2 3 2。位於該基板支樓組件2 3 8 中的該加熱器 2 3 2,例如一電阻元件,係被輕接至一選擇 性的電源274並控制式地加熱該基板支撐組件23 8及位於 該組件2 3 8上的玻璃基板2 4 0至一預定溫度。典型情況是, 在一 CVD製程中,該加熱器232可維持該玻璃基板240 在一介於約1 5 0 C至約4 6 0 °C間的均勻溫度下,視沉積材料 的製程參數而定。 一般來說,該基板支#組件2 3 8具有一下側2 2 6及一 上側2 3 4。該上側2 3 4係可支撐該玻璃基板2 4 0。該下側 226具有一與其耦接的柱體242。該柱體242可將該基板支 撐組件238輕接至一在一升高的處理位置(如所示)與一下 降位置間移動該基板支撐組件2 3 8的舉升系統(未示出), 以幫助自該製程室202移出基板或將基板送入該製程室 2 0 2中。該柱體2 4 2還可在該基板支樓組件2 3 8與該系統 200之其他組件間提供電及熱偶鉛線的通道。 一波紋管2 4 6係轉接在该基板支撑組件2 3 8 (或該柱體 242)及該製程室202的底部208之間。該波紋管246可提 供一真空密封於該製程空間2 1 2與該製程室202外的氣壓 1259506 間,同時 該基 源222供 組件之内 激發位於 2 1 8係介 該製程空 板組件2 基板大小 該基 般來說, 及支撐組 23 8 上。1 個舉升銷 化鋁製成 擇性的舉 將基板置 該蓋 組件210 實施例中 形成於其 統(未示d 空間2 1 2 該蓋 促進該基板支樓組件2 3 8的垂直移動。 板支撐組件2 3 8 —般來說都會接地,使得由一電 給至一氣體分配板組件2 1 8 (或是位於該製程室蓋 或靠近該製程室蓋組件的其他電極)之R F電力可 製程空間2 1 2中的氣體,其中該氣體分配板組件 於該蓋組件2 1 0與該基板支撐組件2 3 8之間,且 間2 1 2係介於該基板支撐組件23 8與該氣體分配 1 8之間。來自該電源222的該RF電力一般係視 來作選擇以驅動該化學氣相沉積製程。 板支撐組件2 3 8還可支撐一陰影制約框2 4 8。一 該陰影制約框2 4 8可防止該玻璃基板2 4 0的邊緣 件2 3 8出現沉積,以使基板不會粘在該支樓組件 凌支撐組件23 8具有多個孔洞228,其係可接受多 2 5 0於其中。該舉升銷2 5 0典型係由陶究或陽極 。該舉升銷2 5 0可相對於該支樓組件2 3 8由一選 升板254來致動以自該支撐表面230伸出’而能 放在與該支撐組件2 3 8相隔一段空間之處。 組件2 1 0提供該製稃空間2 1 2 一上方邊界。該盍 典型可被移除或打開以服務該製程室2 02。在一 ,該蓋組件2 1 0係由鋁製成。該盍組件2 1 0包括 中的一充氣空間214,其係耦接至一外部抽氣系 i)。該充氣空間214是用來將空氣及來自該製程 的製程副產物均勻的排出該製程室202外。 組件210典型包栝〆入口璋280,由該氣體源204
12 l2595〇6 斤提供的製程氣體係經由此入口埠2 8 〇而被導入至製程室 2〇2 ^ 、 肀。該入口埠同時也被耦接至一清潔氣體源2 8 2。該清 潔^ 、乳嫂源282可提供一清潔劑(例如解離的氟)至製程室 2 〇 2 , 中以移除製程室硬體上(包括氣體分配板組件2丨8)的 沉積副產物。
遠氣體分配板組件2 1 8係被耦接至該蓋組件2 1 〇的一 内側2 2 0上。該氣體分配板組件2丨8典型係被設置成可實 貝追蹤該玻璃基板2 4 0外形,例如對大面積平板基板之多 邊开^與晶圓類的圓形。該氣體分配板組件2 1 8包括一孔狀 面積2 1 6,由該氣體源204所提供的製程氣體及其他氣體 係緩由此孔狀面積2 1 6而被傳送至該製程空間2 1 2中。該 氣體分配板組件2 1 8之孔狀面積2 1 6係被設置成可提供均 句分布的氣體使穿過該氣體分配板組件2 1 8而進入至該製 矛王至2 0 2中。該氣體分配板組件也可被設計成具有如揭示 於下列已受讓予本案申請人之專利文獻中之氣體分配板組 件的諸多優點,包括2001年8月8日由Keller等人提申 之美國專利申請案第09/922,219號;2002年5月6曰由 等人提申之美國專利申請案第1〇/140,324號;2003年 1月 7曰由 Blonigan等人提申之美國專利申請案第 10/337,483號;2002年11月12日核准予White等人之美 國專利第6,477,980號;2003年4月16曰由Choi等人提 申之美國專利申請案第1 0/4 1 7,5 92號;2004年4月12曰 由Choi等人提申之美國專利申請案第1 0/823,347號;其 全部揭示内容以參考文獻方式並入本文中。 13 1259506
該氣體分配板組件2 1 8典型包含自一懸掛板2 6 0懸掛 下來的一擴散板(或分散板)258。該擴散板25 8及該懸掛板 260也可由一單一元件製成。多個氣體通道262被形成並 穿過該擴散板2 5 8以容許一預定分散量的氣體通過該氣體 分配板組件2 1 8並進入該製程空間2 1 2。該懸掛板260可 維持該擴散板258及該蓋組件210的内表面220處於一空 間分開的狀態,使能界定出一介於其中的充氣空間264。 該充氣空間2 6 4容許氣體流動通過該蓋組件2 1 0以均勻地 分散在該擴散板2 5 8的全部寬度範圍,使得該氣體能被均 勻地提供於中央孔狀面積2 1 6上方並以一均勻分布流速穿 過該氣體通道262。
該擴散板2 5 8典型係由不銹鋼、鋁、陽極化鋁、鎳或 其他導電材料製成。該擴散板2 5 8可被澆鑄、敲擊、鍛造、 熱壓或鍛燒。該擴散板25 8的厚度係可在孔洞266上維持 充分的平坦度使不致影響基板的處理作業。該擴散板258 的厚度係介於約0 · 8英吋至約2 · 0英吋間。製造半導體晶 圓時,該擴散板2 5 8可以是圓形,製造平板顯示器時該擴 散板2 5 8則可為多邊形,例如長方形。 第3圖是一例示的擴散板2 5 8的部分示意圖,該該擴 散板係揭示於已受讓予本案申請人之2003年4月16曰由 提申之美國專利申請案第1 0/4 1 7,592號,標題「Gas Distribution Plate Assembly for Large Area Plasma Enhanced chemical Vapor Deposition」。該擴散板包括一面 向該蓋組件2 1 0的第一側或上游側3 0 2,及一面向該支撑 14 1259506
組件2 3 8之相對立的第二側或下游側3 0 4。由一第一鑽孔 (b or e)3 1 0所界定之每一氣體通道 262係經由一開口洞 (orifice hoie)3 14耦接到一第二鑽孔312,並合併形成一穿 過該擴散板2 5 8的流體通道。該第一鑽孔3 1 0自該擴散板 2 5 8的上游側3 0 2延伸一第一深度3 3 0至一底部3 1 8。該第 一鑽孔 310 的底部 318 可以是尖形(tapered)、斜面的 (beveled)、(chamfered)或圓形以減少當該流體由該第一鑽 孔流入該開口洞3 1 4時對該流體的限制。該第一鑽孔3 1 0 直徑一般約為〇 . 〇 9 3英吋至約0.2 1 8英吋,且在一實施例 係約為0.1 5 6英吋。
該第二鑽孔3 1 2係形成在該擴散板2 5 8中並自該下游 側(或末端)3 04延伸至一約為0.10英吋至約2.0英吋的深 度3 3 2。較佳是,該深度3 3 2係介於約0 · 1英吋至約1.0 英吋間。該第二鑽孔3 1 2的直徑3 3 6 —般約為0.1英吋至 約1 . 〇英吋間,且可以一約1 〇度至約5 0度的角度3 1 6展 開來。該直徑3 3 6較佳是介於約0.1英吋至約0.5英吋間, 且該展開角度3 1 6係介於約2 0度至約4 0度間。該第二鑽 孔312的表面積係介於約0.05平方英吋至約10平方英吋 間,且較佳是介於約〇. 〇 5平方英吋至約5平方英吋間。該 第二鑽孔3 1 2的直徑係指與該下游側3 04相交的直徑。一 用來處理1 5 0毫米X 1 8 5 0毫米基板之擴散板的例子,具有 0.25英吋直徑且以約22度角展開之第二鑽孔312。介於相 鄰第二鑽孔3 1 2邊緣3 8 2間的距離3 8 0係介於約0英吋至 約0.6英对間,較佳是介於約〇英对至約〇. 4英对間。第 15 1259506 一鑽孔3 1 0的直徑通常是(但不限於)至少等於或小 二鑽孔3 1 2的直徑。該第二鑽孔3 1 2的底部3 2 0可 形(tapered)、斜面的(beveled)、(chamfered)或圓形 當該氣體流由該開口洞3 1 4流出並進入該第二鑽孔 壓力的損失。此外,因該開口洞3 14與下游側3 04 近因此可使該第二鑽孔3 1 2及面向基板之下游側的 面積減至最低,並降低製程室清潔期間暴露在氟下 散板2 5 8的下游側面積,藉以降低沉積層發生氟污 率。 該開口洞3 1 4 —般可耦接該第一鑽孔3 1 0的底 與該第二鑽孔3 1 2的底部3 2 0。該開口洞3 1 4直徑 於約0.0 1英吋至約0.3英吋間,較佳是約0.0 1英 0.1英吋間,且典型具有約〇 · 〇 2英吋至約1 . 0英吋 度3 3 4,較佳是約0 · 02英吋至約0.5英吋。該長度 該開口洞3 1 4的直徑(或其他幾何形狀)是該充氣空 中背壓的主要來源,其可促進恆越該擴散板2 5 8上淡 中氣體的平均分布。該開口洞3 1 4典型係設計成在 體通道2 6 2間是均勻的;但是來自該開口洞3 1 4的 被設計成在多個氣體通道262間係不均勻的,以促 氣體流過該氣體分配板2 5 8的一區域,而非另一區 例來說,該開口洞3 1 4在該些氣體通道2 6 2中可具 大的直徑和/或一較短的長度3 3 4,或該氣體分配板 靠近該處理室202之多個壁206,使得更多氣體可 狀區域2 1 6的邊緣以提高該玻璃基板週長的沉積速 於該第 以是尖 以減少 3 1 2時 非常接 暴露表 之該擴 染的機 部3 08 一般介 吋至約 間的長 3 3 4與 間 264 :側 3 0 2 多個氣 限制可 進更多 域。舉 有一較 2 5 8係 流過孔 率。該 16 1259506 擴散板的厚度介於約〇. 8英吋至約3.0英吋間,較佳 於約0.8英吋至約2.0英吋間。 隨著TFT-L CD產業中基板大小持續增加,特別是 板至少為約1 〇 〇 〇毫米X約1 2 0 0毫米(或約1,2 0 0,0 0 0 毫米)時,大面積電漿增強式化學氣相沉積(PECVD)之 厚度與性質均一度也變得愈來愈重要。均一度問題包 些高速沉積之氮化石夕層於大型基板中央區域之較高的 速率與更緊縮的膜層。基板上該膜層的均勻度在中央 似乎較邊緣區域來得厚且呈「圓頂狀(dome shaped)」 緣區域較不緊縮的膜層具有較高的 Si-H含量。TFT-的製造條件包括整個基板上具有低Si-H含量(例如, 原子%)、高沉積速率(例如,>1 5 00埃/分鐘)、及低厚 均一性(例如,<15%)。該Si-H含量係由FTIR(傅立葉 紅外光)測量值所計算而來。大型基板具有最糟的「圓丁j 均一度問題。該問題無法以改變製程配方來滿足所有 的方式來消除。因此,需以改善該氣體和/或電漿分佈 式來解決。 在製程室中沉積一薄膜層的製程示於第4A圖中 製程由步驟4 0 1開始,將基板置入一具有一擴散板的 室中。接著在步驟402中,讓製程氣體流動通過一擴 朝向一支撐在一基板支撐件上的基板。之後在步驟 中,在該擴散板與該基板支撐件之間創造出一電漿。 驟4 0 4中,於製程室中,沉積一薄膜層於基板上。I 圖顯示一玻璃基板上氮化矽膜層的厚度範型。該基板 是介 當基 平方 膜層 括某 沉積 區域 。邊 LCD <15 度不 轉換 !狀」 條件 的方 〇該 製程 散板 403 在步 ξ 4Β 面積 17 1259506
為1 5 0 0毫米x 1 8 0 0毫米。該擴散板具有如第3圖所示之 擴散孔洞。該第一鑽孔3 1 0的直徑為0.1 5 6英吋。該第一 鑽孔310的長度330為1.049英吋。該第二鑽孔312的直 徑3 3 6為0.2 5 0英吋。該第二鑽孔3 1 2的展開角度3 1 6為 22度。該第二鑽孔312的長度332為0.243英吋◦該開口 洞3 1 4的直徑為0.0 1 6英吋且其長度為0.4 6英吋。該氮化 石夕層係以 2800 seem 之 SiHU、9600 seem 之 NH3 及 28000 sccmN2在1.5托耳壓力及15000瓦電力下所沉積而成的。 該擴散板及該基板支撐組件間的距離為1 . 〇 5英吋。製程溫 度係維持在約3 5 5 °C。沉積速率平均為2444埃/分鐘且膜 層厚度均一度(1 5毫米的邊緣排除率)為2 5 · 1 %其係遠高於 製程規格要求(< 15%)。該厚度範型顯示一中央較厚的範型 或是「圓頂狀」範型。表1顯示由置於該玻璃基板上的晶 圓所測得的膜層性質。 表1 測量具有氮化矽沉積層之基板的膜層厚度及性質 測量位置 厚度(A) RI 應力 (109 達因/cm2) Si-H (原子%) WER (A/分鐘) 邊緣I 5562 1.92 -0.7 12.5 664 中央 8544 1.90 -6.7 4.2 456 邊緣II 6434 1.91 -1.2 10.8 665
邊緣I與邊緣II分別代表寬度為1 800毫米基板的兩 個末端。該反射率(RI)、膜層應力、SiH濃度資料及濕蝕 刻速率(WER)資料顯示靠近基板中央區域的膜層較位於基 18 1259506 板邊緣的膜層來得更緊縮。基板邊緣的 s i Η濃度係接近 1 5 %之製程邊界限制條件。濕蝕刻速率則係藉由將樣品浸 泡在Β Ο Ε (蝕刻氧化物緩衝液)6 : 1的溶液中的方式來測量。
可用來解釋中央比邊緣不均一這樣的問題的理論之一 是擴散板與基板間及基板中央區域存有過量的殘留氣體無 法被有效的排除,因而導致基板中央區域較高的沉積速率 與更緊縮的膜層。樣品測試係被設計成用來檢視該理論是 否正確。如第5圖所示,在一 PECVD製程室中以一耐熱 膠帶來阻擋靠近基板5 0 1側與5 02側之抽氣通道2 1 4(示於 第2圖)。靠近其他兩側的抽氣通道2 1 4則開放令其可自由 通行。因此,創造出一不對稱的氣體抽吸狀態。如果造成 「圓頂狀」問題的原因係因無法將基材邊緣過量的殘留氣 體抽走,則使用了对熱膠帶的基板邊緣的不均一問題將加 劇,並使整個基板的不均一問題更為惡化。但是,比較將 2個抽氣通道阻擋住後的沉積結果與所有抽氣通道都開放 下的沉積結果,其沉積結果並無太大差異(參見表 2)。在 此所用的擴散板的設計與尺寸均與第4 Β圖與表1中所用 的相同。表2中氮化石夕層係以3300 seem之SiEU、28000 seem之NH3及18000 seem N2在1·3托耳壓力及11000瓦 電力下所沉積而成的。該擴散板及該基板支撐組件間的距 離為0.6英吋。製程溫度係維持在約3 5 5 °C。分別在位置卜 2、3、4及5等處(如第5圖所示)測量膜層厚度及其性質。 表2中所示的SiH含量係原子%。 19 1259506 表2 比較將全部抽氣通道打開與擋住2個抽氣通道時氮 化矽沉積層的膜層厚度及性質 抽氣通道全部打開 擂住2個抽氣通道 位置 厚度 (A) RI 應力 (109達因 /cm2) Si-H (%) 厚度 (A) RI 應力 (109達因 /cm2) Si-H (%) 1 6156 1.92 -4.6 11.1 5922 1.93 -3.9 11.5 2 7108 1.91 -5.1 8.8 7069 1.92 -5.1 9.1 3 7107 1.91 -5.1 8.5 7107 1.91 -4.8 8.9 4 7052 1.91 -5.0 8.1 7048 1.91 -4.6 8.5 5 6173 1.92 -4.2 10.8 6003 1.92 -3.8 11.2 表2結果顯示將全部抽氣通道打開與擋住2個抽氣通 道時氮化矽沉積層的膜層厚度及性質並無明顯差異。此 外,在第1及5測量位置所收集到的結果差異極小,而如 果殘留氣體係造成問題的原因的話,該測量結果應當會有 差異。因此,在擴散板與基板間及基板中央區域存有過量 的殘留氣體無法被有效排除,因而導致基板中央區域較高 的沉積速率與更緊縮的膜層的理論並不正確。
造成中央區域與邊緣沉積不均一的另一種可能原因是 電漿不均一所致。以 PECVD沉積膜層幾乎完全視電漿活 化與否而定。因中空陰極效應之故可產生緊密的化學反應 性電漿。在RF產生之一中空陰極放電的Si動力是橫跨RF 20 1259506
電極空間電荷鞘之調頻的直流電位V s (自我偏壓電位)。第 6A圖示出一 RF中空陰極及相反電荷鞘之互相排斥的電場 (Es)間電子的振動運動。自該陰極壁(其可以是靠近該製程 空間之該反應性氣體通道的壁)發射出的電子,可由該壁鞘 「δ」上的電場E s來加速。因相反壁鞘上互相排斥的電場 之故,使得電極壁間的電子可橫跨該内部空間振動。電子 並因與氣體碰撞而失去能量同時創造出更多離子。所創造 出來的離子可被加速至陰極壁,藉以促進二次電子的發 射,以創造出更多離子。整體來說,陰極壁間的腔隙可加 強電子的發射及氣體的游離。展開的喇ρ八形陰極壁且氣體 入口直徑小於氣體出口直徑,較圓柱形壁更能有效的史氣 體游離。同時,因為氣體入口與氣體出口間游離效率不同 也造成一電位差Εζ。
藉由改變靠近製程空間2 1 2之中空陰極壁腔(其係面 向基板且位於氣體擴散板孔洞(或通道)下游末端)的設計 及該中空陰極壁腔的排列(或密度),可改變該氣體的游離 程度藉以控制膜層的厚度與性質均一性。靠近製程空間 2 1 2之該中空陰極腔之壁的例子之一是第3圖中繪示的第 二鑽孔 3 1 2。該中空陰極效應主要發生在面向該製程空間 2 1 2之該展開的喇叭撞區域3 1 2。第3圖的設計僅係作為例 示之用。本發明也可應用在其他類型的中空陰極腔設計 中。中空陰極腔設計的其他範例包括(但不限於)第 6B-6G 圖中的設計。藉由改變該中空陰極腔的體積和/或表面積’ 可改變電漿游離速率。 21 1259506
以第 3圖的設計為例,第二鑽孔3 1 2 (或中空陰極腔) 的體積可藉由改變直徑「D」(或第3圖中的直徑3 3 6)、深 度「d」(或第3圖中的長度332)及展開角度「α」(或第3 圖中的展開角度3 1 6)來加以變化,如第7Α圖所示。改變 直徑、深度及展開角度將會導致該鑽孔3 1 2的表面積出現 變化。由於基板中央的沉積速率較高且膜層較緊縮,極可 能是因為高電漿密度所致。藉由降低該擴散板從邊緣到中 央之鑽孔深度、直徑、展開角度或上述這些因子的組合, 可降低基板中央區域的電漿密度以改善膜層厚度及其均一 性。降低鑽孔深度、直徑、展開角度也可降低鑽孔3 1 2的 表面積。第7B、7C及7D圖顯示出3種擴散通道(或擴散 孔洞)的設計,其係排列在如第7 E圖所示的擴散板上。第 7B、7C及7D圖的設計具有相同的鑽孔直徑,但該鑽孔深 度及總鑽孔表面積,在第7B圖的設計中是最大的,但在 第7D圖的設計中是最小的。該鑽孔的展開角度已被改變 以能與最終鑽孔直徑相匹配。第 7 B圖之鑽孔深度為 0.7 英吋。第7C圖之鑽孔深度為0.5英吋且第7D圖之鑽孔深 度為0.325英吋。第7E圖中最小的長方形710大小是500 毫米X 600毫米且該擴散孔洞的鑽孔深度為0.325英吋, 鑽孔直徑為0.302英吋,且展開角度為45度(參見第7D 圖)。第7E圖中中等長方形大小是1000毫米xl200毫米。 區域7 2 0中介於該中等長方形與最小長方形區域間的該擴 散孔洞的鑽孔深度為〇 · 5英吋,鑽孔直徑為0 · 3 0 2英吋, 且展開角度為30度(參見第7C圖)。第7E圖中最大的長方 22 1259506 形大小是1 5 0 0毫米X 1 8 0 0毫米。區域7 3 0中介於該最大 的長方形與該中等長方形區域間的該擴散孔洞的鑽孔深度 為0.7英吋,鑽孔直徑為0.302英吋,且展開角度為22度 (參見第7Β圖)。在第7Β、7C及7D圖中,該開口孔洞的 直徑均為0.0 3英吋,且孔洞深度均為0.2英吋。三種擴散 板的厚度均為1.44英吋。第7Β、7C及7D圖中第一鑽孔 的直徑均為 0.156英吋且其深度分別為 0.54英吋(第7Β 圖)、0.74英吋(第7C圖)及0.915英吋(第7C圖)。
第8圖顯示基板上的沉積速率。區域I係代表在0.3 2 5 英吋鑽孔深度下的面積,區域II及III則分別代表0.5英 吋深度(區域II)及0.7英吋深度(區域III)下的面積。表3 顯示基板上所測得的膜層厚度及其均一性。表3中的氮化 石夕膜層係以以3300 seem之SiH4、28000 seem之ΝΗ3及 18000 sccmN2在1.3拢耳壓力及11000瓦電力下所沉積而 成的。該擴散板及該基板支撐組件間的距離為〇. 6英吋。 製程溫度係維持在約3 5 5 °C。位置1、2 ' 3、4及5等處係 如第5圖所示。 表3 比較以具有3種不同深度之擴散板進行沉積,該氮 化矽沉積層的膜層厚度及性質 測量位置 鑽孔深度 厚度 RI 應力 Si-H (英对) (A) (109 達因/cm2) (原子%) 1 0.7 6060 1.924 -4.09 9.10 2 0.5 6631 1.921 -5.49 9.66 23 1259506 3 ~~; 0325^ 5659 1,915 〜 -2.02 12.34 4 0.5 6956 1.916 ^ -- -5.45 9.37 5 0.7 --- —-— 6634 1.917 ~ ~---~ -4.14 8.83 表3結果顯承降低鑽孔深度及鑽孔表面積會降低沉積 速率。此外,纟3 '结果還顯示降低中空陰極腔的體積和/ 或表面積也會降低沉積速率。電漿沉積速率降低代表電漿 游離率降低。由於從區域I到區域π到區域ΠΙ之鑽孔= 度及總鑽孔表面積的改變並不均勻,因此所示基板上的沉 積速率也表現出3種不同區域。基板上區域I、π及ΠΙ符 合該擴散孔洞區域710、720及73 0。此代表改變中空隆極 腔設計可改變電漿游離速率,及平滑與逐步改變的重要性。 有許多方法可自該擴散板内部區域至該擴散板外部區 域來逐步地改變中空陰極腔以改善電漿均一度。方式之一 是先將該擴散板(其在整個擴散板上具有相同的氣體擴散 通道)彎曲至一預定的曲度,之後再將曲度磨平以使該表面 變平坦。第9Α圖為此觀念的流程圖。此處理係於步驟90 1 以彎曲該擴散板使其成一曲度來開始,接著於步驟902將 該彎曲的擴散板再度磨平使該擴散板表面再度便平坦、。第 9B圖顯示一具有曲度的擴散板,在其邊緣(及外部區域)及 中央(及内部區域)分別有一例示的擴散孔洞91 1及912。在 、考曲步驟之前,該擴散孔洞9 1 1及9 1 2係相同的並簡單地 繪示於第3圖及第7A圖中。但是,本發明可用於任何一 種擴政孔洞設計中。第3圖的設計僅係例不。擴散板下游 表面3 0 4係面向製程空間2 1 2。在該9 1 3表面與該虛構的 24 1259506
表面 9 1 4 (因不存在故以點線表示)間逐步改變以示出其曲 度。在彎曲前,該邊緣擴散鑽孔9 1 5及中央擴散鑽孔9 1 6 的大小及形狀是一樣的。第9 C圖為一曲度已被機械磨平 後之擴散板的示意圖。面向該製程空間2 1 2的表面已磨成 一平坦表面9 1 4,使得中央鑽孔9 1 8明顯比邊緣鑽孔9 1 7 來得短。由於鑽孔大小(體積和/或表面積)係藉由先將擴散 板彎曲後再磨平的方式而改變,因此從鑽孔中央道邊緣的 體積變化係逐步的。中央鑽孔9 1 8的直徑「D」及深度「d」 將比邊緣鑽孔9 1 7來得短。鑽孔的直徑「D」及深度「d」 之定義可參見第7A圖的文字敘述。
第 9D 圖示出延伸至一例示的擴散板下游側的鑽孔 3 1 2的深度「d」,該擴散板係用於處理1 5 0 0毫米X 1 8 5 0毫 米基板之用。該擴散板具有如第7A圖所示之擴散孔洞的 設計。該第一鑽孔3 1 0的直徑為0 · 1 5 6英吋。第一鑽孔3 1 0 之長度330是1·049英吋。該第二鑽孔312的直徑為0.250 英吋。該第二鑽孔3 12的展開角度是22度。該第二鑽孔 3 12的長度3 3 2是0.243英吋。該開口孔洞3 14的直徑是 0.0 1 6英吋且該該開口孔洞3 1 4的長度是0 · 04 6英吋。第 9 D圖中第二鑽孔的深度測量顯示一鑽孔深度3 3 2 (或第7 A 圖中的「d」)係從擴散板的中央逐步往擴散板的邊緣增加。 因為彎曲及磨平處理,該擴散板312的直徑336(或第7A 圖中的「D」)同樣也係從擴散板的中央逐步往擴散板的邊 緣增加。 第9E圖顯示以具有如第9B、9C及9D圖之設計的擴 25 1259506 散板來進行氮化矽膜沉積之基板上,其沉積膜層的厚度分 布情形。所處理基板的大小為1 5 0 0毫米X 1 8 5 0毫米,其 僅比第4B圖及表1中的基板(1 5 00毫米X 1 800毫米)稍 大。一般來說,擴散板的大小必須隨所處理基板大小的改 變而作適當的調整。用來處理1 5 0 0毫米X 1 8 5 0毫米大小 基板的擴散板的大小約為1 5 3 0毫米X 1 8 6 0毫米,其係比 用來處理該1 5 0 0毫米X 1 8 0 0毫米大小的基板稍大一些(其 擴散板約為1 5 3 0毫米X 1 8 2 9毫米)。厚度的均一性改善約 5.0%,遠較第4B圖之25.1 %的比例來得低。表4顯示基板 上膜層性質的分布。該擴散板具有如第7 A圖所示之擴散 孔洞的設計。該第一鑽孔3 1 0的直徑為0 · 1 5 6英吋。第一 鑽孔310之長度3 3 0是1.049英吋。該第二鑽孔312的直 徑為0.250英吋。該第二鑽孔312的展開角度是22度。該 第二鑽孔312的長度3 3 2是0.243英吋。該開口孔洞314 的直徑是0.0 1 6英吋且該該開口孔洞3 1 4的長度是0.0 4 6 英吋。第9E圖及表4中的氮化矽膜層係以以2800 seem之 SiH4、9600sccm 之 NH3 及 28000sccmN2 在 1.5 拢耳壓力 及1 5 0 0 0瓦電力下所沉積而成的。該擴散板及該基板支撐 組件間的距離為1 . 0 5英吋。製程溫度係維持在約3 5 5 t。 邊緣I及邊緣Π分別代表基板的兩個末端,並如表1所述。 相較於表1的資料,表4的膜層厚度及性質資料顯示一較 小的中央對邊緣的變化數值。 26 1259506 表4 比較以具有中央到邊緣逐步變化之鑽孔深度及直徑 的擴散板來處理1 5 0 0毫米X 1 8 5 0毫米基板,該基板上沉 積的氮化矽膜層之厚度及性質 測量位置 厚度 RI 應力 Si-H WER (A) (109 達因/cm2) (原子%) (人/分鐘) 邊緣I 6405 1.92 -0.7 13.3 451 中央 6437 1.91 -1.8 12.7 371 邊緣II 6428 1.92 -1.2 11.9 427
比較表4與表1的資料,該等資料係以在擴散板上具 有相同的鑽孔3 1 2直徑及深度的擴散板於沉積過程中收集 而來,可發現無論厚度的變化、應力、Si-H含量及濕蝕刻 速率(WER),表1的資料數值均比表4的數值來得少,表 4的的資料係以具有鑽孔直徑與深度係從擴散板中央往邊 緣逐步增加的擴散板進行沉積時所收集到的資料。該結果 顯示膜層的厚度與均一性可藉由從中央往邊緣逐步增加鑽 孔的直徑與深度的方式來改善,該等鑽孔係延伸至該擴散 板下游。表中的濕蝕刻速率係藉由將樣本浸泡在一 B OE的 6 : 1溶液中來測量。 第9F圖顯示在一例示的擴散板上鑽孔3 1 2之深度「d」 的測量結果,該擴散板係用來處理1 8 70毫米X 2200毫米 基板,曲線9 6 0顯示在該擴散板上一理想鑽孔深度分布的 例子。第 9 F圖中該鑽孔深度的測量顯示鑽孔深度係從擴 散板的中央往邊緣逐步增加。而其下游鑽孔直徑也同樣係 27 1259506 由擴散板的中央往邊緣逐步增加。 第9 G圖顯示以具有類似第9 B、9 C及9 F圖設計之擴 散板來處理基板,該基板上沉積的氮化矽膜層的厚度分 布。該基板的大小為1 8 7 0亳米X 2 2 0 0毫米。表5顯示基 板上膜層性質的分布情況。該擴散板具有如第7 A圖所示 之擴散孔洞的設計。該第一鑽孔3 1 0的直徑為0 · 1 5 6英吋。 第一鑽孔310之長度330是0.915英吋。該第二鑽孔312 的直徑為0.302英吋。該第二鑽孔3 12的展開角度3 16是 22度。該第二鑽孔312的長度3 3 2是0.3 77英吋。該開口 孔洞3 1 4的直徑是0.0 1 8英吋且該該開口孔洞3 1 4的長度 是0.046英对。表5中的氮化石夕膜層係以以5550 seem之 SiHU、24700 seem 之 NH3 及 61700 seem N2 在 1.5 托耳壓 力及1 9 0 0 0瓦電力下所沉積而成的。該擴散板及該基板支 撐組件間的距離為1 · 〇英吋。製程温度係維持在約3 5 5 °C。 邊緣I及邊緣II分別代表基板的兩個末端,並如表1所述。 相較於表1的資料,表5的膜層厚度及性質資料顯示一較 小的中央對邊緣的變化數值。膜層的均一度為9.9% ’其較 第4 B圖的2 5 · 1 %來得好。相較於第9 G圖與表5的基板 ( 1 870毫米X 2200毫米)資料,第4B圖與表1的資料係在 較小的基板(1 5 00毫米X 1 800毫米)上測得的膜層厚度與均 一性。預期對較大的基板來說,其膜層厚度與均一性將會 變糟。表5中以新設計所測得的9 · 9 %之均一度與改良的膜 層性質資料顯示該新設計,即延伸至擴散板下游側之擴散 板上的鑽孔直徑與深度由擴散板中央往邊緣逐步增加’可 28 1259506 大幅改善電漿均一性與製程均一性。 表5 比較以具有中央到邊緣逐步變化之鑽孔深度及直徑 的擴散板來處理1 8 7 0毫米X 2 2 0 0毫米基板,該基板上沉 積的氮化矽膜層之厚度及性質 測量位置 厚度 RI 應力 Si-H WER (A) (109達因八:m2) (原子%) (人/分鐘) 邊緣I 5814 1.94 -0.3 16.4 509 中央 5826 1.93 0.8 17.3 716 邊緣II 5914 1.92 -0.6 13.9 644
雖然所示的擴散板是長方形狀,但本發明也可應用於 其他形狀與大小的擴散板上。需注意的是該下·游表面的曲 度必須被完全磨成平坦。只要鑽孔的直徑與深度係自擴散 板中央往邊緣逐步增加,該擴散板的邊緣可以不需加以磨 平。
還有許多方式可在該擴散板上創造出曲度。方式之一 是以支撐器支撐該擴散板邊緣並將該擴散板在足以使該擴 散板軟化的溫度下熱處理(例如大於 4 0 0 °C的溫度(對鋁而 言))一段時間。當金屬擴散板在高溫處理下軟化後,重力 會使擴散板中央往下垂墜而使擴散板變彎曲。第1 〇 A圖顯 示這類熱處理的處理流程圖1 〇 〇 〇。首先,在步驟1⑽1將 已經具有擴散孔洞於其中的擴散板置放在環境1 〇 〇 5中或 可控溫的製程室内,並將擴散板1 〇 1 〇放在只能支撐住該擴 29 1259506 放板邊緣的支撐器1020上(參見第1〇B圖)。面向下的擴散 板為該擴散板的下游側3 0 4。在步驟1 〇 〇 2之後,將環境溫 度升A並在可使該擴散板軟化的溫度下處理該擴散板。一 實施例係一旦該達到一恆定溫度時,即保持該加熱環境於 该怪溫的處理溫度下。待該擴散板的曲度達到欲求曲度 時’即停止熱處理步驟(步驟1 〇 〇 3)。須知在加熱環境下, 可將一選擇性的擴散板支撐器103〇置放在擴散板1〇1〇下 一比該支撐器1 020的支撐高度1 025還低的支撐高度1〇35 及比該支撐器1〇2〇的支樓距離1 027還短的支撐距離1〇37 處。該選擇性的擴散板支禮器1 〇 3 〇可暂邮★ 」駕助決定該擴散器的 曲度且可以能耐4 0 0 °c以上高溫(盥埶南 〃 “、、處理環境相同的溫 度)且不會傷害該擴散板表面的彈性松 — 料製成。第1 0 C圖顯 之經過熱處理的彎 示置放在該擴散板支撐器1 020及1〇3() 曲的擴散板1 0 1 〇。 另一種創造曲度的方式係使用直办、 ▲ 〃二Μ平滑地將該擴散 板彎曲至一凸面形狀。第1 1 Α圖顯示# &颉以真空製程處理 的流程1 1 0 0。首先,在步驟1 1 0 1中, 將該已具有擴散孔 洞於其中且該下游側3 0 4面朝下的擴散 反’放在一真空組 件11 05上並以一蓋子將該擴散板上游 〇 2役封。用來蓋 住(或密封)該擴散板上游端的材料的拖# J域械強度必須足夠以 保持其在真空下的完整性。該真空組株版 僅以擴散板固持器 1120在基板邊緣處支樓該基板(參見第] 昂11B圖)。該真空組 件11 0 5係被設計成具有一真空通道i s 〇以在當該擴散板 上游端被覆蓋住時’對介於該擴散板枭> + 一、5亥真空組件1 1 〇5 30 1259506 間的體積1115抽真空。第及11C圖中的真空通遒 僅係用來闡述此概念。可有一個以上的真空通道1 1 5〔 於該真空組件1 1 〇 5中的不同位置處。之後在步驟1 1 將介於該擴散板與該擴散板固持器之間的體積1 1 1 5 空。當該擴散板的曲度到達欲求曲度時’在步驟Π 〇 3 止該抽真空的步驟並將介於該擴散板與該真空組件之 體積1 1 1 5的壓力恢復至與周圍環境1 1 4 0相同,以容 擴散板可自該真空組件1 1 〇 5移出。需知在該真空組件 可將額外的擴散板支撐器1 1 2 0放在該擴散板1 1 1 〇之 較該擴散板支撐器Π20之支撐高度1125更低的支撐 1135及一較該支撐器1120之支撐距離1127更短的支 離1137處。該額外的擴散板支撐器Π20可幫助終止 散板曲度並可由諸如橡膠之類不會傷害擴散板表面的 製成。第1 1 C圖顯示經過彎曲後置放在該擴散板支 1120及1130上的有曲度的擴散板111〇。 另一種改變該下游圓錐形(第3圖中之3 1 2)深度 錐形直徑、圓錐形展開角度或該三種參數之組合的方 將該擴散孔洞鑽成由該擴散板中央往邊緣之不同的圓 深度、圓錐形直徑、圓錐形展開角度。該鑽孔的動作 由電腦數位式控制(CNC)磨製的方式來達成。第12a 示這類處理1 2 0 0的處理流程圖。該處理i 2 〇 〇從步驟 開始,藉由創造出可延伸到擴散板下游側之具有從擴 中央往邊緣逐步增加之深度和/或直徑的鑽孔。該展開 也可從擴散板中央往邊緣逐步改變。接著在步驟丨24〇 1150 丨,位 02, 抽真 處停 間的 許該 中, 下一 而度 撐距 該擴 材料 撐器 、圓 式是 錐形 可藉 圖顯 1230 散板 角度 ,創 31 1259506 造出該擴散板氣體通道的剩餘部份。可以鑽孔工具來創造 出下游鑽孔。如果在整個擴散板上使用具有相同展開角度 的鑽孔工具,則鑽孔的展開角度將保持恆定,而鑽孔深度 及直徑則可被改變。該鑽孔直徑可由該展開角度與鑽孔深 度來決定。甕要的是平滑且逐步地改變該鑽孔深度以確保 整個基板上具有平滑的沉積厚度與膜層均一性。第1 2 B圖 示出一具有不同鑽孔深度與直徑的例子。擴散孔洞1201 係靠近該擴散板中央並具有最小的鑽孔深度 1 2 11與鑽孔 直徑1 22 1。擴散孔洞1 202係位於該擴散板中央與邊緣之 間並具有中等的鑽孔深度1212與中等的鑽孔直徑1222。 擴散孔洞1 2 0 3係靠近該擴散板邊緣並具有最大的鑽孔深 度1213與最大的鑽孔直徑1 223。對第12B圖設計中所有 擴散孔洞的圓錐形展開角度均相同。但是,也可藉由改變 擴散板上鑽孔設計來使沉積均一性達到最佳化,其係藉由 改變鑽孔的深度、直徑與展開角度的方式來達成。改變鑽 孔的深度、直徑與展開角度會影響全部鑽孔的表面積,同 時也會影響該中空陰極效應。較小的鑽孔表面積會降低該 電漿的游離效率。 另一種改變下游鑽孔(第3圖中之312)的深度(d)、直 徑(D)的方式係藉由在整個擴散板上鑽出相同的擴散孔洞 (參見第12C圖)。在第12C圖中,該擴散板邊緣(一外部區 域)的氣體擴散孔洞1 2 5 1係與該擴散板中央(一内部區域) 的氣體擴散孔洞1 2 5 2相同。該下游鑽孔1 2 5 5係與該下游 鑽孔1 2 5 6相同。氣體擴散板之該下游表面1 2 5 4 —開始是 32 1259506 平坦的。<後,磨製該擴散板下游側以作出一凹面使其中 、、邊緣來付4。该磨製可由電腦數位式控制(cnc)磨製 方式或其他可控制且可不斷重複的磨製類型方式來達成。 在將該下游表面1254磨製成—凹面(面1 259)後,擴散板 中央(内部區域)的下游鑽孔1 25 8具有比擴散板邊緣(外部 ^域)+的下游鑽孔1 25 7更小的直徑(D)及更短的長度(d)。
"士第1 2 D圖一樣讓該擴散板保留這樣的方式不變,或是 可如第12Ε圖一樣將下游面1 259拉平,或拉成其他曲度(未 丁出)’以用於一製程室來達成欲求的膜層結果。
另一種改變下游鑽孔(第3圖中之312)的深度(d) '直 仏(D)的方式係藉由將上面沒有擴散孔洞的擴散板彎成一 凹面形狀(參見第12F圖)。在第12F圖中,該下游面是虛 構的面1 269。之後,以鑽孔工具從該虛構的面1 264鑽出 具有相同深度的下游鑽孔(參見第丨2 G圖)。雖然在該擴散 板中央的下游鑽孔係從該虛構的面1 2 6 4開始鑽至與該下 游鑽孔1 2 6 7相同深度處,但該下游鑽孔1 2 6 8的直徑及長 度卻比該下游鑽孔1 2 6 7的直徑及長度來得小。剩下的擴散 孔洞,包括開口孔洞1 2 6 5、上游鑽孔1 2 6 3及連接底部, 係被磨平以完成該擴散孔洞。全部的開口孔洞及上游鑽孔 均應具有相同直徑,雖然並非一定必要。還需保持整個擴 散板上該開口孔洞的直徑與長度一樣(如第丨2 G圖所示)。 該開口孔洞控制該背壓。藉由保持整個擴散板上該開口孔 洞的直徑與長度一樣,也可使得整個擴散板上該會影響氣 流的背壓被保持一定。可如第1 2G圖一樣讓該擴散板保留 33 1259506 這樣的方式不變’或是可如第12H圖一樣將下游面l269 拉平’或拉成其他曲度(未示出),以用於—製程室來達成 欲求的膜層結果。 從擴散板中央往邊緣來改變中空陰極腔之直徑和/或 長度,該改變並不需要是完美、連續狀態的改變,只要該 變化係平滑且逐步的即可。也可藉由將數個均一區域配置 來成同軸模式(concentric pattern)的方式達成,只要從一區 域到另一區域的變化係平滑且逐步的即可。但是,整體來 說,中空陰極腔的大小(體積和/或表面積)必須從擴散板中 央往邊緣增加。第1 21圖顯示一擴散板底部示意圖(從下游 側往下看)。該擴散板被區分成N個同軸區域。同軸區域 係定義成介於一内部與一外部界線間的面積,其具有與整 體擴散板形狀相同的幾何形狀。從區域丨到區域N,該中 空陰極腔的尺寸(體積和/或表面積)係逐步增加的。該增加 可藉由提高該中空陰極腔的直徑、長度、展開角度或這些 因素的組合的方式來達成。 從擴散板中央往邊緣增加中空陰極腔的直徑和/或長 度k件事並不需要對全部的擴散孔洞有效,只要每一下游 擴散板表面積之中空陰極腔的整體尺寸增加了即可。舉例 來祝’可保持擴散板上某些擴散孔洞的尺寸始終不變,同 時將其他擴散孔洞之中空陰極腔的尺寸由擴散板中央往邊 緣逐步增加。在另一實施例中,該擴散孔洞具有呎吋(體積 和/或表面積)逐步增加的中空陰極腔,同時擴散板邊緣上 則具有非常小的中空陰極腔,如第12J圖所示。在另一實 34 1259506 施例中,在擴散板上大部分的中空陰極腔的體積係均勻一 致的,只有少量尺寸非常大的中空陰極腔位於擴散板邊緣 位置處,如第12K圖所示。
吾人將中空陰極腔的體積定義成中央陰極腔之每一下 游擴散孔洞表面積之該中央陰極腔體積。類似的,也可將 中央陰極腔之中央陰極腔表面積密度定義為中央陰極腔之 每一下游擴散孔洞表面積之該中央陰極腔表面積。上述結 果顯示電漿及製程均一性可藉由逐步增加由擴散板一内部 區域往一外部區域之該中央陰極腔體積或該中央陰極腔表 面積密度的方式來獲得改善。
另一種改變膜層厚度及性質均一性的方法是改變擴散 板上的擴散孔洞密度,但維持擴散孔洞本身的設計不變。 擴散孔洞的密度可藉由將與下游側3 04相交之鑽孔3 1 2的 總孔洞表面積除以測量區域中擴散板下游側3 0 4的總表面 積計算而得。擴散孔洞的密度可在約1 0%至約 1 00%間變 化,且較佳是在約3 0 %至約1 0 0 %間變化。爲減少膜層出現 「圓頂狀(d 〇 m e s h a p e d)」問題,相較於外部區域,内部區 域的擴散孔洞密度需較低,以减少内部區域的電漿密度。 從内部區域到外部區域的密度變化必須逐步且平滑,以確 保沉積膜層具有均一且平滑的厚度及性質。第1 3圖顯示擴 散孔洞密度從中央(區域A)的低密度到邊緣(區域B)的高 密度之逐步變化。在中央區域的低密度擴散孔洞可降低中 央區域的電漿密度及「圓頂狀(dome shaped)」問題。第13 圖之擴散孔洞的排列僅係用來顯示如何從中央往邊緣來增 35 1259506 加擴散孔洞密度。本發明可應用任何一種擴散孔洞的排列 與模式。密度變化的觀念也可和改變擴散孔洞設計的觀念 一起組合使用,來改善由中央往邊緣的均一性。當藉由改 變氣體通道的密度來達成電漿均一性時,下游末端中空陰 極腔間的距離可超過0.6英吋。 本發明由擴散板中央往邊緣逐步增加中空陰極腔尺寸 (體積和/或表面積)的觀念可在有或無改變擴散孔洞密度 下,以彎曲擴散板及任一可用的中空陰極腔磨製法之任一 者,藉由組合中空陰極腔尺寸(體積和/或表面積)及形狀變 化之任一者的方式來達成。舉例來說,由擴散板中央往邊 緣逐步增加擴散孔洞密度的觀念可用來由擴散板中央往邊 緣逐步增加該中空陰極腔(或下游鑽孔)的直徑。可保持擴 散板平坦並以CNC方法鑽出擴散孔洞。可用的組合方式有 許多種。因此,這樣的觀念可達到滿足膜層厚度及性質均 一性的要求。 截至目前,本發明各種實施例都在闡述如何由擴散板 中央往邊緣逐步增加中空陰極腔的長度和直徑,以改善基 板上的電漿均一性。但有些情況是需要由擴散板中央往邊 緣逐步降低中空陰極腔的長度和直徑的。例如,靠近基板 中央的電力太低,因此需要較大的中空陰極腔來補償該較 低的電力。因此,本發明的觀念也可用在由擴散板中央往 邊緣逐步降低中空陰極腔的尺寸(體積和/或表面積)的情 況。 本發明觀念可應用在任何一種氣體擴散孔洞的設計 36 1259506 上,其包括任何一種中空陰極腔的設計、任何一種氣 散板形狀/大小的設計。本發明觀念可應用在任何一種 多種氣體擴散孔洞設計的氣體擴散板上。本發明觀念 應用在具有任何曲度及以任何材質(例如鋁、鎢、鉻、 或其之組合)、任何方法(例如澆鑄、敲擊、鍛造、熱 鍛燒)製成之擴散板上。本發明觀念也可應用在具有多 製或黏結在一起之層的氣體擴散板上。此外,本發明 應用在一叢集系統、一單獨使用系統、一連線系I i η -1 i n e s y s t e m)或任一可用系統的製程室中。 雖然本發明已用本發明之實施例被明確地示出 明,但熟習此技藝者將可暸解的是上述在形式及細節 其它形式與細節上的改變可在不偏離本發明的範圍及 下被達成。因此,本發明並不侷限於所示及所說明的 形式與細節,而是落在由以下的申請專利範圍所界定 圍内。 【圖式簡單說明】 為幫助了解,圖示中相同的元件係以相同的元件 來表示; 第1圖為一底部閘極薄膜電晶體之一截面示意圖 第2圖為一具有本發明氣體分散板組件之製程室 面示意圖; 第3圖為一氣體分散板的截面示意圖; 第4A圖為在具有擴散板的製程室中沉積一薄膜 體擴 使用 也可 组、 壓或 層壓 也可 乞(an 及說 上之 精神 特定 的範 符號 的截 層於 37 1259506 基板上的流程圖, 第 4 B圖顯示以具有均一擴散孔洞直徑及深度的擴散 板在一 1 5 0 0毫米X 1 8 0 0毫米之基板上沉積時,所測得的 沉積速率; 第 5圖顯示鄭近封閉的充氣通道的基板兩侧(5 0 1與 5 0 2)與該基板上的5個測量位置; 第6 A圖(前技)顯示中空陰極效應的觀念; 第6 B - 6 G圖顯示各種中空陰極腔的設計;
第7 A圖顯示延伸至一氣體通道下游端的鑽孔之「直 徑(D)」、「深度(d)」及「展開角度(α)」之定義; 第7Β圖顯示一氣體通道的尺寸; 第7C圖顯示一氣體通道的尺寸; 第7D圖顯示一氣體通道的尺寸。 第7Ε圖顯示一擴散板上氣體通道的分布情形;
第8圖顯示以具有第7Ε圖所示氣體通道分布的擴散 板在一 1 500毫米X 1 800毫米之基板上沉積時,所測得的 沉積速率; 第9Α圖顯示製作一擴散板的流程圖; 第9Β圖顯示一彎曲的擴散板; 第9 C圖顯示一已經過彎曲且其面向下游侧的擴散板 側已經被磨平的擴散板; 第9 D圖顯示一具有延伸至擴散板氣體通道下游端之 擴散鑽孔的深度分布,該擴散板係可用來處理一 1 5 〇 〇毫米 X 1850毫米之基板; 38 1259506 第9 E圖顯示在一 1 5 0 0毫米χ 1 8 5 0毫米之基板上測得 的沉積速率; 第 9F圖顯示一具有延伸至擴散板氣體通道下游端之 擴散鑽孔的深度分布,該擴散板係可用來處理一 1 8 7 0毫米 χ 2200毫米之基板; 第9G圖顯示在一 1870毫米χ2200毫米之基板上測得 的沉積速率; 第1 Ο Α圖顯示以一熱處理來彎曲擴散板的流程圖; 第1 0B圖在一用來彎曲擴散板的加熱環境中支撐在支 撐架上的擴散板; 第1 0 C圖顯示在一加熱環境中位於支撐架上的彎曲的 擴散板, 第1 1 A圖以一真空處理來彎曲擴散板的流程圖; 第Π B圖顯示在一真空組件上的擴散板; 第1 1 C圖顯示在一真空組件上的彎曲的擴散板; 第1 2 A圖顯示創造出具有不同直徑與深度之可延伸到 擴散板下游側的鑽孔的流程圖; 第1 2B圖顯示具有不同直徑與深度之可延伸到擴散板 下游側之鑽孔的該擴散板的截面圖; 第1 2 C圖一具有由中央往邊緣幾乎相同之擴散孔洞的 擴散板, 第12D圖顯示第12C圖之擴散板其底面被磨製成一凸 面後的樣子; 第12E圖顯示第12D圖之擴散板其底面被拉成幾乎平 39 1259506 坦後的樣子; 第1 2F圖顯示一不具有任何擴散孔洞之擴散板被彎曲 成一凸面(底面)的樣子; 第1 2G圖顯示具有擴散孔洞之第1 2F圖的擴散板的養 子; 第12H圖顯示第12G圖之擴散板其底面被拉成幾乎平 坦後的樣子; 第1 21圖顯示在多個區域具有擴散孔洞之擴散板的養
第1 2 J圖顯示具有混合的中空陰極腔直徑的擴散板, 其内部區域中空陰極腔體積和/或表面積密度係比其外部 區域中空陰極腔體積和/或表面積密度來得高; 第12K圖顯示一擴散板,其大部分的中空陰極腔很 小,僅在邊緣部位具有少數大型的中空陰極腔; 第1 3圖顯示具有不同擴散孔洞密度之擴散板其下游 側的示意圖。
【主要元件符號說明】 101 基 板 102 閘 極 電 極 層 103 閘 極 介 電 層 104 半 導 體 塊 層 105 掺 雜 的 半 導 體 層 106 導 電 層 107 被 動 層 108 透 明 導 電 層 200 電 漿 增 強 式 化 學氣相沉積系 統 202 製 程 室 204 氣 體 源 40 1259506 206 壁 208 底部 210 蓋組件 212 製程空間 214 充氣空間 216 氣體分配板組件之孔狀 面積 218 氣體分配板組件 220 蓋組件内側 222 電源 224 鋁製主體 226 支撐組件下側 228 支撐組件孔洞 230 支撐表面 232 加熱器 234 支撐組件上側 238 控溫之基板支撐組件 240 基板 242 柱體 246 波紋管 248 陰影制約框 250 舉升銷 254 舉升板 258 擴散板 260 懸掛板 262 氣體通道 264 充氣空間 266 孔洞 274 電源 280 入口埠 282 清潔氣體源 302 第一側或上游側 304 第二側或下游侧 3 10 >3 12 第一及第二鑽孔 314 開口?L洞 316 展開角度 3 18 、320 第一及第二鑽孔底部 330 > 3 3 2 第一及第二鑽孔深度或長度 334 開口孔洞長度 336 第二鑽孔直徑 380 距離 382 邊緣
41 1259506 400 製程流程圖 4 01 將一基板置於具有一擴散板的製程室中 4 0 2 讓製粒氣體動通過一擴散板並朝向一基板 4 0 3 在擴散板與基板支撐件間創造出一電漿 4 0 4 沉積一薄膜層於該製程室的基板上 501、502 基板之一面 710、720、730 擴散孔洞區域 901 、 902、 1001 、 1002、 1003、 1101 、 1102、 1103 步驟 9 11、9 1 2 擴散孔洞 913 表面 914 平坦表面 915、916、917、918 擴散鑽孔 960 曲度 1 000 ' 11 0 0流程圖 1001 > 1002 、 1003 、 1101 、 1102 、 1103 、 mo、1 240 步 驟 1010 擴散板 1020 支撐器 1 025、 1 03 5 支撐高度 1027 、 1037 支撐距離 1030 擴散板支撐器 1105 真空組件 1115 體積 1120 擴散板支撐器 1125 > 1135 支稽南度 1127、 113 7 支撐距離 1150 真空通道 1201、 1 2 0 2 擴散孔洞 1211 > 1212 > 1213 鑽孔深度 1221、 1222、1 223 鑽孔直徑 1259506 125 1、1 252、1261、1 262 氣體擴散孔洞 1255、 1256、 1257、 1258、 1267、 1268 下游鑽孔 1 259 > 1 269 下游表面 1 263 上游鑽孔 1 265 開口孔洞
43

Claims (1)

1259506 m ^ f ίΛ ·- I * . '· ··.-: 更」正替換頁碎
拾、申請專利範圍: 1. 一種電漿製程室用的氣體分配板組件,其至少包含·· 一擴散板元件,其具有一上游側與一下游侧;及 内部及外部氣體通道,其係位於該擴散板元件的上游側 與下游側之間並包含有中空陰極腔於該下游側,其中該内 部氣體通道之中空陰極腔的體積密度係低於該外部氣體通 道之中空陰極腔的體積密度。
2.如申請專利範圍第1項所述之氣體,分配板組件,其 中該中空陰極腔係圓錐形或圓柱體形且該中空陰極腔的體 積密度可藉由增加該中空陰極腔的直徑或長度或該二者之 組合的方式來增加。
3.如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約 〇. 1英吋至約1 · 〇 英吋間。 4.如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約 〇 · 1英吋至約 〇 · 5 英吋間。 5.如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的深度係介於約〇. 1英吋至約2.0 44 1259506 英吋間。 6.如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的深度係介於約 〇 · 1英吋至約1. 〇 英吋間。 7. 如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形中的展開角度係介於約1 〇度至約5 0度之間。 8. 如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形的展開角度係介於約20度至約40度之間。 9. 如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約 〇. 1英吋至約1 · 〇 英吋間,該圓錐形或圓柱體形的深度係介於約〇 · 1英吋至 約2.0英吋間,且該圓錐形的展開角度係介於約1 〇度至約 5 0度之間。 I 0.如申請專利範圍第9項所述之氣體分配板組件,其 中該圓錐形的展開角度是一常數。 II .如申請專利範圍第1項所述之氣體分配板組件,其 中相鄰氣體通道之中空陰極腔的下游端之間的空間距離至 45 1259506 多約為0.6英吋。 1 2.如申請專利範圍第1項所述之氣體分配板組件,其 中該擴散板元件的厚度係介於約〇 · 8英吋至約3 · 0英吋間。 1 3 .如申請專利範圍第1項所述之氣體分配板組件,其 中該擴散板元件是長方形。 1 4.如申請專利範圍第1 3項所述之氣體分配板組件,其 中該擴散板元件的大小至少為1,2〇〇,〇〇〇平方公厘。 1 5.如申請專利範圍第2項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑或長度或該二者之組合係自 該擴散板元件的中央往邊緣逐步增加。 16. —種電漿製程室用的氣體分配板組件,其至少包含: 一擴散板元件,其具有一上游側與一下游側;及 内部及外部氣體通道,其係位於該擴散板元件的上游側 與下游側之間並包含有中空陰極腔於該下游側,其中該内 部氣體通道之中空陰極腔的表面積密度係低於該外部氣體 通道之中空陰極腔的表面積密度。 1 7.如申請專利範圍第1 6項所述之氣體分配板組件,其 46 1259506 中該中空陰極腔係圓錐形或圓柱體形且該中空陰極腔的表 面積密度可藉由增加該中空陰極腔的直徑或長度或該二者 之組合的方式來增加。 1 8.如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約 〇. 1英吋至約1 . 〇 英吋間。 1 9.如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約〇 · 1英吋至約〇 · 5 英吋間。 20.如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的深度係介於約〇 . 1英吋至約 2 · 0 英吋間。 2 1 .如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的深度係介於約〇. 1英吋至約1·〇 英吋間。 22.如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形的展開角度係介於約1 〇度至約5 0度之間。 47 1259506 2 3 .如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形的展開角度係介於約2 0度至約4 0度之間。 24.如申請專利範圍第1 7項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約 〇. 1英吋至約1 . 〇 英吋間,該圓錐形或圓柱體形的深度係介於約〇. 1英吋至 約2.0英吋間,且該圓錐形的展開角度係介於約1 〇度至約 5 0度之間。 2 5 .如申請專利範圍第2 4項所述之氣體分配板組件,其 中該圓錐形的展開角度是一常數。 2 6.如申請專利範圍第1 6項所述之氣體分配板組件,其 中相鄰氣體通道之中空陰極腔的下游端之間的空間距離至 多約為0.6英吋。 2 7.如申請專利範圍第1 6項所述之氣體分配板組件,其 中該擴散板元件的厚度係介於約〇 · 8英吋至約3.0英吋間。 2 8.如申請專利範圍第1 6項所述之氣體分配板組件,其 中該擴散板元件是長方形。 2 9.如申請專利範圍第2 8項所述之氣體分配板組件,其 48 1259506 中該擴散板元件的大小至少為U200,0〇〇平方公厘。 3 0.如申請專利範圍第1 6項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑或長度或該二者之組合係自 該擴散板元件的中央往邊緣逐步增加。 3 1 . —種電漿製程室用的氣體分配板組件,其至少包含: 一擴散板元件,其具有一上游側與一下游側;及 多個氣體通道,其係位於該擴散板元件的上游側與下游 側之間,其中每一氣體通道具有一擴散孔洞與該擴散板元 件之下游側相交,其中該擴散孔洞的密度係由該擴散板元 件的中央往邊緣逐步增加。 3 2.如申請專利範圍第3 1項所述之氣體分配板組件,其 中該擴散孔洞的密度係介於約1 0%至約1 00%之間。
3 3 .如申請專利範圍第3 1項所述之氣體分配板組件,其 中該擴散孔洞的密度係介於約3 0 %至約1 0 0 %之間。 3 4.如申請專利範圍第3 1項所述之氣體分配板組件,其 中該擴散孔洞係圓錐形或圓柱體形。 3 5 .如申請專利範圍第3 1項所述之氣體分配板組件,其 49 1259506 中該氣體通道係實質相同。 3 6.如申請專利範圍第3 4項所述之氣體分配板組件,其 中位於該下游末端的圓錐形或圓柱體形的直徑係介於約 0.1英吋至約1.0英吋間。 3 7.如申請專利範圍第3 4項所述之氣體分配板組件,其 中位於該下游末端的圓錐形或圓柱體形的直徑係介於約 0.1英吋至約0.5英吋間。 3 8.如申請專利範圍第3 4項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的深度係介於約〇 · 1英吋至約2.0 英吋間。 3 9.如申請專利範圍第3 4項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的深度係介於約〇 1英吋至約1 . 〇 英吋間。 4 0.如申請專利範圍第3 4項所述之氣體分配板組件,其 中該圓錐形的展開角度係介於約1 〇度至約5 0度之間。 4 1 .如申請專利範圍第3 4項所述之氣體分配板組件,其 中該圓錐形的展開角度係介於約20度至約40度之間。 50 1259506 中 英 約 50 42.如申請專利範圍第3 4項所述之氣體分配板組件,其 該圓錐形或圓柱體形的直徑係介於約 〇 . 1英吋至約 1 . 〇 忖間,該圓錐形或圓柱體形的深度係介於約〇. 1英吋至 2.0英吋間,且該圓錐形的展開角度係介於約1 0度至約 度之間。 中 43 .如申請專利範圍第42項所述之氣體分配板組件,其 該圓錐形的展開角度是一常數。
中 中 中 44.如申請專利範圍第3 1項所述之氣體分配板組件,其 該擴散板元件的厚度係介於約〇. 8英吋至約3.0英吋間。 4 5 .如申請專利範圍第3 1項所述之氣體分配板組件,其 該擴散板元件是長方形。
4 6.如申請專利範圍第45項所述之氣體分配板組件,其 該擴散板元件的大小至少為1,200,〇〇〇平方公厘。 4 7. —種電漿製程室,其至少包含: 一擴散板元件,其具有一上游側與一下游側; 一 RF電源,其係耦接至該擴散板元件; 内部及外部氣體通道,其係位於該擴散板元件的上游側 51 1259506 與下游側之間並包含有中空陰極腔於該下游側,其中該内 部氣體通道之中空陰極腔的體積密度係低於該外部氣體通 道之中空陰極腔的體積密度;及 一基板支撐器,其係鄰近該擴散板元件的下游側。 4 8.如申請專利範圍第47項所述之電漿製程室,其中該 中空陰極腔係圓錐形或圓柱體形且該中空陰極腔的體積密 度可藉由增加該中空陰極腔的直徑或長度或該二者之組合 的方式來增加。 4 9.如申請專利範圍第48項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑係介於約〇. 1英吋至約1 · 〇英吋 間。 5 0.如申請專利範圍第4 8項所述之電漿製程室,其中該 圓錐形或圓柱體形的深度係介於約〇 · 1英吋至約2.0英吋 間。 5 1 .如申請專利範圍第4 8項所述之電漿製程室,其中該 圓錐形的展開角度係介於約1 〇度至約5 0度之間。 5 2.如申請專利範圍第4 8項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑係介於約〇. 1英吋至約1 . 〇英吋 52 1259506 間,該圓錐形或圓柱體形的深度係介於約0 . 1英吋至約2.0 英吋間,且該圓錐形的展開角度係介於約1 0度至約5 0度 之間。 5 3 .如申請專利範圍第5 2項所述之電漿製程室,其中該 圓錐形的展開角度是一常數。 5 4.如申請專利範圍第47項所述之電漿製程室,其中相 鄰氣體通道之中空陰極腔的下游端之間的空間距離至多約 為0.6英吋。 55.如申請專利範圍第47項所述之電漿製程室,其中該 擴散板元件的厚度係介於約〇 · 8英吋至約3 _ 0英吋間。
5 6.如申請專利範圍第47項所述之電漿製程室,其中該 擴散板元件是長方形。 57.如申請專利範圍第56項所述之電漿製程室,其中該 擴散板元件的大小至少為1,2 0 0,0 0 0平方公厘。 5 8.如申請專利範圍第4 8項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑或長度或該二者之組合係自該擴 散板元件的中央往邊緣逐步增加。 53 1259506 59. —種電漿製程室,其至少包含: 一擴散板元件,其具有一上游側與一下游側; 一 RF電源,其係耦接至該擴散板元件; 内部及外部氣體通道,其係位於該擴散板元件的上游侧 與下游側之間並包含有中空陰極腔於該下游側,其中該内 部氣體通道之中空陰極腔的表面積密度係低於該外部氣體 通道之中空陰極腔的表面積密度;及 一基板支撐器,其係鄰近該擴散板元件的下游側。 6 0.如申請專利範圍第59項所述之電漿製程室,其中該 中空陰極腔係圓錐形或圓柱體形且該中空陰極腔的表面積 密度可藉由增加該中空陰極腔的直徑或長度或該二者之組 合的方式來增加。 6 1 .如申請專利範圍第60項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑係介於約〇 · 1英吋至約1 · 〇英吋 間0 6 2.如申請專利範圍第60項所述之電漿製程室,其中該 圓錐形或圓柱體形的深度係介於約〇. 1英吋至約2.0英吋 間。 54 1259506 6 3 .如申請專利範圍第6 0項所述之電漿製程室,其中該 圓錐形的展開角度係介於約1 0度至約5 0度之間。 64.如申請專利範圍第6 0項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑係介於約〇. 1英吋至約1 .0英吋 間,該圓錐形或圓柱體形的深度係介於約0.1英吋至約2.0 英吋間,且該圓錐形的展開角度係介於約1 〇度至約5 0度 之間。 6 5.如申請專利範圍第64項所述之電漿製程室,其中該 圓錐形的展開角度是一常數。 66.如申請專利範圍第5 9項所述之電漿製程室,其中相 鄰氣體通道之中空陰極腔的下游端之間的空間距離至多約 為0.6英忖。 6 7.如申請專利範圍第69項所述之電漿製程室,其中該 擴散板元件的厚度係介於約〇. 8英吋至約3 · 0英吋間。 6 8.如申請專利範圍第59項所述之電漿製程室,其中該 擴散板元件是長方形。 6 9.如申請專利範圍第68項所述之電漿製程室,其中該 55 1259506 擴散板元件的大小至少為1,200,000平方公厘。 7 0.如申請專利範圍第6 0項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑或長度或該二者之組合係自該擴 散板元件的中央往邊緣逐步增加。 7 1 . —種電漿製程室,其至少包含: 一擴散板元件,其具有一上游側與一下游側;及 一 RF電源,其係耦接至該擴散板元件; 多個氣體通道,其係位於該擴散板元件的上游側與下游 側之間,其中該多個氣體通道的擴散孔密度係由該擴散板 元件的中央往邊緣逐步增加;及 一基板支撐器,其係鄰近該擴散板元件的下游側。 72.如申請專利範圍第7 1項所述之電漿製程室,其中該 多個氣體通道的擴散孔密度係介於約1〇°/◦至約1〇〇%之間。 73 .如申請專利範圍第7 1項所述之電漿製程室,其中該 多個氣體通道的擴散孔係圓錐形或圓柱體形。 74.如申請專利範圍第7 1項所述之電漿製程室,其中該 氣體通道係實質相同。 56 1259506 7 5.如申請專利範圍第73項所述之電漿製程室,其中位 於該下游末端的圓錐形或圓柱體形的直徑係介於約0. 1英 吋至約1.0英吋間。 7 6.如申請專利範圍第73項所述之電漿製程室,其中該 圓錐形或圓柱體形的深度係介於約〇. 1英吋至約2.0英吋 間。 7 7.如申請專利範圍第73項所述之電漿製程室,其中該 圓錐形的展開角度係介於約1 〇度至約5 0度之間。
7 8.如申請專利範圍第73項所述之電漿製程室,其中該 圓錐形或圓柱體形的直徑係介於約〇. 1英吋至約1 . 〇英吋 間,該圓錐形或圓柱體形的深度係介於約〇. 1英吋至約2.0 英吋間,且該圓錐形的展開角度係介於約1 〇度至約5 0度 之間。 7 9.如申請專利範圍第78項所述之電漿製程室,其中該 圓錐形的展開角度是一常數。 8 0.如申請專利範圍第7 1項所述之電漿製程室,其中該 擴散板元件的厚度係介於約〇 _ 8英吋至約3 · 0英吋間。 57 1259506 8 1 .如申請專利範圍第7 1項所述之電漿製程室,其中該 擴散板元件是長方形。 8 2.如申請專利範圍第8 1項所述之電漿製程室,其中該 擴散板元件的大小至少為1,2 0 0,0 0 0平方公厘。 8 3 . —種電漿製程室用的氣體分配板組件,其至少包含: 一擴散板元件,其具有一上游側與一下游側且該氣體擴 散板係被區分為多個同軸區域;及 多個氣體通道,其係位於該擴散板元件的上游側與下游 側之間,其中每一同軸區域中的氣體通道均相同且每一同 軸區域中氣體通道之中空陰極腔的密度、體積或表面積係 由該擴散板元件的中央往邊緣逐步增加。 8 4.如申請專利範圍第83項所述之氣體分配板組件,其 中該同軸區域至少有2個。 8 5 .如申請專利範圍第8 3項所述之氣體分配板組件,其 中該中空陰極腔的密度介於約10%至約100%之間。 8 6.如申請專利範圍第8 3項所述之氣體分配板組件,其 中該中空陰極腔的密度介於約30%至約100%之間。 1259506 8 7.如申請專利範圍第8 3項所述之氣體分配板組件,其 中該中空陰極腔的形狀係圓錐形或圓柱體形。 8 8.如申請專利範圍第8 7項所述之氣體分配板組件,其 中位於該下游末端之圓錐形或圓柱體形的直徑介於約 0.1 英吋至約1 .0英吋間。 8 9.如申請專利範圍第8 7項所述之氣體分配板組件,其 中位於該下游末端之圓錐形或圓柱體形的深度介於約 〇·1 英吋至約2.0英吋間。 9 0.如申請專利範圍第87項所述之氣體分配板組件,其 中該圓錐形的展開角度係介於約1 〇度至約5 0度之間。 9 1 .如申請專利範圍第8 7項所述之氣體分配板組件,其 中該圓錐形或圓柱體形的直徑係介於約〇 · 1英吋至約1 · 〇 英吋間,該圓錐形或圓柱體形的深度係介於約〇. 1英吋至 約2.0英吋間,且該圓錐形的展開角度係介於約1 〇度至約 5 0度之間。 92.如申請專利範圍第9 1項所述之氣體分配板組件,其 中該圓錐形的展開角度係為一常數。 59 1259506 9 3 .如申請專利範圍第9 1項所述之氣體分配板組件,其 中位於該下游末端相鄰氣體通道之中空陰極腔間的距離至 多約0.6英吋。 94 ·如申請專利範圍第8 3項所述之氣體分配板組件,其 中該擴散板元件的厚度係介於約〇. 8英吋至約3.0英吋間。 9 5 .如申請專利範圍第8 3項所述之氣體分配板組件,其 中該擴散板元件是長方形。 9 6.如申請專利範圍第28項所述之氣體分配板組件,其 中該擴散板元件的大小至少為1,200,000平方公厘。 9 7. —種製造一電漿製程室用的氣體擴散板之方法,至 少包含: 製造出一氣體擴散板,其具有一上游側與一下游側及多 個穿過該氣體擴散板之上游側與下游側之間的氣體通道, 將該擴散板彎曲以使其平滑地彎曲朝向該下游表面;及 將該彎曲表面磨平以使該下游表面再度成平坦。 98.如申請專利範圍第97項所述之方法,其中該製造出 一氣體擴散板,其具有一上游側與一下游側及多個穿過該 60 1259506 含·· 在整個擴散板上創造出具有相同尺寸的中空陰極腔。 9 9.如申請專利範圍第98項所述之方法,其中該中空陰 極腔係成圓錐形或圓柱體形。
100. 如申請專利範圍第97項所述之方法,其中該製 造出一氣體擴散板,其具有一上游側與一下游側及多個穿 過該氣體擴散板之上游側與下游側之間的氣體通道的步驟 係包含: 創造出具有從該擴散板中央往邊緣逐步增加之體積的 中空陰極腔。 101. 如申請專利範圍第1〇〇項所述之方法,其中該中 空陰極腔係成圓錐形或圓柱體形。
102. 如申請專利範圍第101項所述之方法,其中該中 空陰極腔的體積係藉由增加該圓錐形或圓柱體形的直徑和 /或深度的方式來增加。 103. 如申請專利範圍第102項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇 · 1英吋至約〇 · 5英吋間’ 該圓錐形或圓柱體形的深度係介於約〇. 1英吋至約1 · 〇英 61 1259506 吋間,且該圓錐形的展開角度係介於約1 〇度至約5 0度之 間。 10 4. 如申請專利範圍第1 0 3項所述之方法,其中該圓 錐形的展開角度係為一常數。
10 5. 如申請專利範圍第9 7項所述之方法,其中該將 擴散板彎曲以使其平滑地朝向該下游表面的步驟包含: 將擴散板放在一可控溫的環境下並僅在該擴散板的邊 緣支撐住該擴散板; 在足以使該擴散板軟化的溫度下對該擴散板進行熱處 理;及 當該擴散板的曲度達到一預定數值時即停止該熱處理。
106. 如申請專利範圍第105項所述之方法,其中該溫 度係大於400°C。 107. 如申請專利範圍第1 〇 5項所述之方法,其中一旦 溫度到達該熱處理溫度時,即將該擴散板保持在一恆溫狀 態下。 108. 如申請專利範圍第97項所述之方法,其中該將 擴散板彎曲以使其平滑地朝向該下游表面的步驟包含: 62 1259506 將擴散板放在一真空組件中並僅在該擴散板的邊緣支 撐住該擴散板; 將介於該擴散板與該真空組件底部之間的體積抽真空; 停止抽真空並恢復介於該擴散板與該真空組件底部之 間的體積的壓力使其與周圍環境相同。 1 0 9 . —種製造一電漿製程室用的氣體擴散板元件之方 法,至少包含: 磨製出一氣體擴散板元件,其具有一上游側與一下游側 及多個穿過該氣體擴散板元件之上游側與下游側之間的氣 體通道,其中該氣體擴散板元件之中空陰極腔的密度、體 積或表面積係由該氣體擴散板元件的中央往邊緣逐步增 加0 110. 如申請專利範圍第1 09項所述之方法,其中該磨 製係由電腦數位式磨製方式或手動控制磨製方式來達成。 111. 如申請專利範圍第1 0 9項所述之方法,該中空陰 極腔係成圓錐形或圓柱體形。 112. 如申請專利範圍第1 1 1項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇. 1英吋至約〇. 5英吋間’ 該圓錐形或圓柱體形的深度係介於約〇. 1英吋至約1 · 〇英 63 1259506 吋間,且該圓錐形的展開角度係介於約1 〇度至約5 0度之 間。 113. 如申請專利範圍第1 1 2項所述之方法,其中該圓 錐形的展開角度係為一常數。 1 1 4. 一種製造一電漿製程室用的氣體擴散板元件之方 法,至少包含: 磨製出一氣體擴散板元件,其具有一上游側與一下游側 及多個穿過該氣體擴散板元件之上游側與下游側之間的氣 體通道,其中該氣體擴散板元件之中空陰極腔的密度及體 積或密度及表面積係由該氣體擴散板元件的中央往邊緣逐 步增加。 115. 如申請專利範圍第11 4項所述之方法,其中該磨 製係由電腦數位式磨製方式或手動控制磨製方式來達成。 116. 如申請專利範圍第11 4項所述之方法,該中空陰 極腔係成圓錐形或圓柱體形。 1 17. 如申請專利範圍第1 1 6項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇 · 1英吋至約〇. 5英吋間, 該圓錐形或圓柱體形的深度係介於約〇 · 1英吋至約1 · 〇英 64 1259506 吋間,且該圓錐形的展開角度係介於約1 0度至約5 0度之 間。 118. 如申請專利範圍第1 1 7項所述之方法,其中該圓 錐形的展開角度係為一常數。 1 19. 一種沉積一薄膜層於基板上的方法,包含: 將一基板置於一製程室中,該製程室具有一氣體擴散板 元件其係具有一上游側與一下游側及多個穿過該氣體擴散 板元件之上游側與下游側之間的氣體通道並包含中空陰極 腔在該下游側,其中無論是該内部氣體通道之中空陰極腔 的體積密度、表面積密度或密度均低於該外部氣體通道之 中空陰極腔的體積密度、表面積密度或密度; 使製程氣體流動通過該氣體擴散板元件並朝向一支撐 在一基板支撐器上的基板; 在該擴散板元件與該基板支撐器之間創造出一電漿; 沉積一薄膜層於製程室中的該基板上。 12 0. 如申請專利範圍第1 1 9項所述之方法,其中該中 空陰極腔的密度係介於約1 〇 %至約1 〇 〇 %間。 12 1. 如申請專利範圍第1 1 9項所述之方法,其中該中 空陰極腔係成圓錐形或圓柱體形。 65 1259506 1 22 . 如申請專利範圍第1 2 1項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇. 1英吋至約1 · 〇英吋間。 123. 如申請專利範圍第1 2 1項所述之方法,其中該圓 錐形或圓柱體形的深度介於約〇. 1英吋至約2.0英吋間。
124. 如申請專利範圍第121項所述之方法,其中該圓 錐形或圓柱體形的展開角度係介於約1 〇度至約5 0度之間。 125. 如申請專利範圍第1 2 1項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇 · 1英吋至約〇 · 5英吋間, 該圓錐形或圓柱體形的深度係介於約〇 · 1英吋至約1 . 〇英 吋間,且該圓錐形的展開角度係介於約1 〇度至約5 0度之 間。
126. 如申請專利範圍第1 2 5項所述之方法,其中該圓 錐形的展開角度係為一常數。 127. 如申請專利範圍第1 1 9項所述之方法,其中位於 該下游末端相鄰氣體通道之中空陰極腔間的距離至多約 0.6英叶。 66 1259506 128. 如申請專利範圍第Π 9項所述之方法,其中該擴 散板元件的厚度係介於約〇. 8英吋至約3.0英吋間。 129. 如申請專利範圍第1 1 9項所述之方法,其中該擴 散板元件是長方形。 130. 如申請專利範圍第129項所述之方法,其中該擴 散板元件的大小至少為1,200,000平方公厘。 131. 如申請專利範圍第1 29項所述之方法,其中製程 室是一種電漿增強式化學氣相沉積室。 132. 如申請專利範圍第1 29項所述之方法,其中該薄 膜層係沉積在該基板上以創造出平板顯示器。 133. 如申請專利範圍第1 29項所述之方法,其中該薄 膜層的厚度及性質均一度可藉由調整該擴散板元件上該中 空陰極腔的直徑及深度的方式來改善。 134. 如申請專利範圍第129項所述之方法,其中該薄 膜層的厚度及性質均一度可藉由調整該擴散板元件上該中 空陰極腔的表面積的方式來改善。 67 1259506 135. 如申請專利範圍第1 2 9項所述之方法,其中該薄 膜層可以是二氧化矽層、氮氧化矽(SiON)層、氮化矽(SiN) 層、非晶型矽層(α-Si)或有摻雜的非晶型矽層(doped α-Si)。 136. 一種擴散板,包含: 一主體其具有一上表面及一底表面; 多個氣體通道其係位於該上表面與底表面之間;及 一外部區域及一内部區域,其中位於該外部區域之上表 面與底表面之間的該主體係比位於該内部區域之上表面與 底表面之間的該主體來得厚。 137. 如申請專利範圍第136項所述之擴散板,其中該 上表面係實質平坦且該底表面係有曲度的。 13 8. 如申請專利範圍第1 3 6項所述之擴散板,其中該 上表面係有曲度的且該底表面係實質平坦的。 139. —種製造一電漿製程室用的氣體擴散板的方 法,包含: 製造出一氣體擴散板,其具有一上游側與一下游側及多 個穿過該氣體擴散板元件之上游側與下游側之間的氣體通 道;及 68 1259506 磨製該下游表面使該下游表面變成彎曲。 14 0. 如申請專利範圍第1 3 9項所述之方法,其中該製 造出一氣體擴散板使其具有一上游側與一下游側及多個穿 過該氣體擴散板元件之上游側與下游側之間的氣體通道的 步驟包含: 在整個該擴散板上創造出具有相同大小的中空陰極腔。 141. 如申請專利範圍第1 4 0項所述之方法,其中該中 空陰極腔係成圓錐形或圓柱體形。 142. 如申請專利範圍第1 3 9項所述之方法,其中該磨 製係由電腦數位式磨製方式或手動控制磨製方式來達成。 143. 如申請專利範圍第1 4 1項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇 · 1英吋至約〇. 5英吋間, 該圓錐形或圓柱體形的深度係介於約〇 · 1英吋至約1 · 0英 吋間,且該圓錐形的展開角度係介於約1 0度至約5 0度之 間。 144. 如申請專利範圍第1 43項所述之方法,其中該圓 錐形的展開角度係為一常數。 69 1259506 145. 如申請專利範圍第1 3 9項所述之方法,其更包含: 將該擴散板彎曲使其下游表面幾乎為平坦的。 146. 一種製造一電漿製程室用的氣體擴散板的方 法,包含: 將一具有一上游側及一下游側的擴散板彎曲,使該下游 表面成凹面且該上游表面成凸起;
製造出多個穿過該擴散板上游側與下游側之間的多個 氣體通道,其係藉由使自一幾乎平坦的下游表面開始之中 空陰極腔具有幾乎相同深度的方式來達成;及 使所有氣體通道具有相同大小的開口孔洞,該等開口孔 洞係連接至該中空陰極腔。 147. 如申請專利範圍第1 46項所述之方法,其中在整 個擴散板上自幾乎平坦的下游表面開始之該中空陰極腔具 有相同的大小及形狀。
148. 如申請專利範圍第1 47項所述之方法,其中該中 空陰極腔係成圓錐形或圓柱體形。 149. 如申請專利範圍第148項所述之方法,其中該圓 錐形或圓柱體形的直徑介於約〇. 1英吋至約0 5英吋間, 該圓錐形或圓柱體形的深度係介於約〇 · 1英吋至約1 · 〇英 70 1259506 吋間,且該圓錐形的展開角度係介於約1 〇度至約5 0度之 間。 150. 如申請專利範圍第1 4 8項所述之方法,其中該圓 錐形的展開角度係為一常數。 151 . 如申請專利範圍第1 4 6項所述之方法,其更包含: 將該擴散板彎曲使其下游表面幾乎為平坦的。
71
TW093136349A 2004-05-12 2004-11-25 Plasma uniformity control by gas diffuser hole design TWI259506B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57087604P 2004-05-12 2004-05-12
US10/889,683 US8083853B2 (en) 2004-05-12 2004-07-12 Plasma uniformity control by gas diffuser hole design

Publications (2)

Publication Number Publication Date
TW200537561A TW200537561A (en) 2005-11-16
TWI259506B true TWI259506B (en) 2006-08-01

Family

ID=34933328

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093136349A TWI259506B (en) 2004-05-12 2004-11-25 Plasma uniformity control by gas diffuser hole design

Country Status (5)

Country Link
US (5) US8083853B2 (zh)
EP (2) EP1595974A3 (zh)
JP (2) JP4541117B2 (zh)
KR (2) KR100856690B1 (zh)
TW (1) TWI259506B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453809B (zh) * 2010-10-06 2014-09-21 Eugene Technology Co Ltd 含半圓形天線之基材處理設備

Families Citing this family (636)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10340147B4 (de) * 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7556741B2 (en) * 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
US7459098B2 (en) * 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
JP4683334B2 (ja) * 2006-03-31 2011-05-18 株式会社島津製作所 表面波励起プラズマ処理装置
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
US20080254613A1 (en) * 2007-04-10 2008-10-16 Applied Materials, Inc. Methods for forming metal interconnect structure for thin film transistor applications
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
US7964430B2 (en) * 2007-05-23 2011-06-21 Applied Materials, Inc. Silicon layer on a laser transparent conductive oxide layer suitable for use in solar cell applications
US20080289686A1 (en) * 2007-05-23 2008-11-27 Tae Kyung Won Method and apparatus for depositing a silicon layer on a transmitting conductive oxide layer suitable for use in solar cell applications
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
KR100910182B1 (ko) * 2007-06-21 2009-07-31 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US7875486B2 (en) 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090104733A1 (en) * 2007-10-22 2009-04-23 Yong Kee Chae Microcrystalline silicon deposition for thin film solar applications
WO2009059238A1 (en) 2007-11-02 2009-05-07 Applied Materials, Inc. Plasma treatment between deposition processes
US20090165716A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for plasma enhanced chemical vapor deposition
US7833885B2 (en) 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US8143093B2 (en) 2008-03-20 2012-03-27 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
JP5287850B2 (ja) * 2008-04-08 2013-09-11 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100133094A1 (en) * 2008-12-02 2010-06-03 Applied Materials, Inc. Transparent conductive film with high transmittance formed by a reactive sputter deposition
US20100163406A1 (en) * 2008-12-30 2010-07-01 Applied Materials, Inc. Substrate support in a reactive sputter chamber
KR20100093347A (ko) * 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
KR101092879B1 (ko) * 2009-04-06 2011-12-12 한국과학기술원 기판 처리 장치, 기판 처리 방법, 예비 전극 구조체, 측정 전극 구조체, 및 공정 전극 구조체
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5455462B2 (ja) * 2009-06-23 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20110114177A1 (en) * 2009-07-23 2011-05-19 Applied Materials, Inc. Mixed silicon phase film for high efficiency thin film silicon solar cells
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101722903B1 (ko) * 2009-08-25 2017-04-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 광전 변환장치의 제조방법
KR20110021654A (ko) * 2009-08-25 2011-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법
US9177761B2 (en) * 2009-08-25 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
CN102640294B (zh) * 2009-09-24 2014-12-17 应用材料公司 将湿式处理用于源极-漏极金属蚀刻从而制造金属氧化物或金属氮氧化物tft的方法
US8840763B2 (en) 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
TWI500804B (zh) * 2009-11-17 2015-09-21 Applied Materials Inc 具有電極rf匹配之大面積電漿處理腔室
US20110126875A1 (en) * 2009-12-01 2011-06-02 Hien-Minh Huu Le Conductive contact layer formed on a transparent conductive layer by a reactive sputter deposition
US20110232753A1 (en) * 2010-03-23 2011-09-29 Applied Materials, Inc. Methods of forming a thin-film solar energy device
DE112011101134T5 (de) 2010-03-30 2013-01-10 Applied Materials, Inc. Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2426737A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
EP2439792A1 (en) 2010-10-05 2012-04-11 Applied Materials, Inc. Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
EP2523227A1 (en) 2011-05-13 2012-11-14 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120318457A1 (en) * 2011-06-17 2012-12-20 Son Nguyen Materials and coatings for a showerhead in a processing system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
CN103608925B (zh) 2011-07-13 2017-06-13 应用材料公司 制造薄膜晶体管器件的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013052298A1 (en) 2011-10-07 2013-04-11 Applied Materials, Inc. Methods for depositing a silicon containing layer with argon gas dilution
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP6054695B2 (ja) * 2011-11-25 2016-12-27 東京エレクトロン株式会社 成膜装置
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
JP5895603B2 (ja) * 2012-03-01 2016-03-30 三菱マテリアル株式会社 プラズマ処理装置用電極板
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
KR102015011B1 (ko) * 2012-06-20 2019-10-21 주성엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6338462B2 (ja) 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
KR102180119B1 (ko) * 2013-12-30 2020-11-17 주성엔지니어링(주) 기판처리장치
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214340B2 (en) * 2014-02-05 2015-12-15 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
EP2937890B1 (en) * 2014-04-22 2020-06-03 Europlasma nv Plasma coating apparatus with a plasma diffuser and method preventing discolouration of a substrate
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6404111B2 (ja) 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10047440B2 (en) 2015-09-04 2018-08-14 Applied Materials, Inc. Methods and apparatus for uniformly and high-rate depositing low resistivity microcrystalline silicon films for display devices
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108140551A (zh) * 2015-10-26 2018-06-08 应用材料公司 用于半导体制造的晶片处理的高生产率pecvd工具
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9997336B2 (en) * 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10009028B2 (en) 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180062101A (ko) * 2016-11-30 2018-06-08 엘지디스플레이 주식회사 샤워헤드 및 이를 포함하는 롤투롤 플라즈마 처리장치
KR102178407B1 (ko) 2016-12-13 2020-11-13 가부시키가이샤 아루박 샤워 헤드 및 진공 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
USD834686S1 (en) 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180185893A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132788A1 (en) * 2017-01-16 2018-07-19 Larry Baxter Method and apparatus for desublimation prevention in a direct contact heat exchanger
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US20180245216A1 (en) * 2017-02-28 2018-08-30 Tokyo Electron Limited Film forming apparatus
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP7058485B2 (ja) * 2017-05-16 2022-04-22 東京エレクトロン株式会社 プラズマ処理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
KR102185735B1 (ko) * 2017-11-30 2020-12-02 시너스텍 주식회사 파릴렌 증착 장치 및 방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110416048B (zh) * 2018-04-27 2022-07-19 北京北方华创微电子装备有限公司 一种反应腔室和半导体加工设备
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
KR102572740B1 (ko) * 2018-06-08 2023-08-29 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019244790A1 (ja) 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7110020B2 (ja) 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
DE102018130859A1 (de) 2018-12-04 2020-06-04 Aixtron Se CVD-Reaktor mit einem von einer Schirmplatten-Anordnung abgedeckten Gaseinlassorgan
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20200072640A (ko) 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20200347499A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Large-area high-density plasma processing chamber for flat panel displays
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US20210047730A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Chamber configurations for controlled deposition
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US20210214846A1 (en) * 2020-01-15 2021-07-15 Asm Ip Holding B.V. Showerhead assembly and components
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US20210310122A1 (en) * 2020-04-03 2021-10-07 Applied Materials, Inc. Method of forming holes from both sides of substrate
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093368A1 (en) * 2020-09-21 2022-03-24 Applied Materials, Inc. Wafer non-uniformity tweaking through localized ion enhanced plasma (iep)
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102323037B1 (ko) * 2021-06-28 2021-11-10 주식회사 에이치앤이루자 기판 처리 장치의 샤워 헤드 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230040828A (ko) 2021-09-16 2023-03-23 (주)티티에스 디퓨져 및 디퓨져 미세홀의 가공 방법
US20230122134A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Deposition chamber system diffuser with increased power efficiency
JP7417652B2 (ja) 2022-04-08 2024-01-18 株式会社アルバック シャワープレート、プラズマ処理装置
CN117059466A (zh) * 2023-10-13 2023-11-14 江苏邑文微电子科技有限公司 半导体沉积设备

Family Cites Families (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US539387A (en) * 1895-05-14 Refrigerator
US3830194A (en) 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
AU555553B2 (en) 1981-10-27 1986-10-02 Arthur Malcolm Bennett Valve member
US4568027A (en) * 1983-05-13 1986-02-04 Hydralast Products, Inc. Fluid spray-forming device
JPS6025235A (ja) 1983-07-22 1985-02-08 Hitachi Ltd エツチング装置
US4522149A (en) 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4809421A (en) 1984-01-16 1989-03-07 Precision Brand Products, Inc. Slotted shim
US4491520A (en) 1984-02-22 1985-01-01 Jaye Richard C Filter for water jugs
US4563367A (en) 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4726924A (en) 1984-06-28 1988-02-23 The Boeing Company Method of planar forming of zero degree composite tape
US4763690A (en) 1986-07-29 1988-08-16 Harsco Corporation Leak-proof valve for gas cylinders
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPS644481A (en) 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4799418A (en) 1987-08-21 1989-01-24 Mitsuba Electric Mfg. Co., Ltd. Vacuum actuator for vehicle speed control
US4927991A (en) 1987-11-10 1990-05-22 The Pillsbury Company Susceptor in combination with grid for microwave oven package
JPH01149964A (ja) 1987-12-04 1989-06-13 Furukawa Electric Co Ltd:The プラズマcvd装置用シャワー電極
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JPH03122285A (ja) * 1989-10-06 1991-05-24 Hitachi Electron Eng Co Ltd プラズマcvd装置
JP2969596B2 (ja) 1989-10-06 1999-11-02 アネルバ株式会社 Cvd装置
US5124635A (en) 1990-02-15 1992-06-23 Photon Dynamics, Inc. Voltage imaging system using electro-optics
JPH03122285U (zh) 1990-03-26 1991-12-13
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
JPH04115531A (ja) * 1990-09-05 1992-04-16 Mitsubishi Electric Corp 化学気相成長装置
US5173580A (en) 1990-11-15 1992-12-22 The Pillsbury Company Susceptor with conductive border for heating foods in a microwave oven
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US6289322B1 (en) 1998-03-03 2001-09-11 Checkfree Corporation Electronic bill processing
US5152504A (en) 1991-09-11 1992-10-06 Janis Research Company, Inc. Vacuum valve
US5339387A (en) 1991-10-24 1994-08-16 Abekas Video Systems, Inc. Planar color gradients constructed as an arbitrary function of a distance function from an arbitrary 2-D curvilinear function
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH06216078A (ja) * 1992-08-31 1994-08-05 Texas Instr Inc <Ti> ウェハの容量結合放電処理装置および方法
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
US5820686A (en) 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5503809A (en) 1993-04-19 1996-04-02 John T. Towles Compact ozone generator
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
DE4326308C1 (de) 1993-08-05 1994-10-20 Jenoptik Jena Gmbh Transportvorrichtung für Magazine zur Aufnahme scheibenförmiger Objekte
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
DE69433836D1 (de) 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
DE4413077C2 (de) 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5628869A (en) 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
AU2764095A (en) 1994-06-03 1996-01-04 Commissariat A L'energie Atomique Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5976989A (en) 1995-08-04 1999-11-02 Seiko Epson Corporation Thin film transistor fabrication method, active matrix substrate fabrication method, and liquid crystal display device
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6342135B1 (en) 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US6004875A (en) 1995-11-15 1999-12-21 Micron Technology, Inc. Etch stop for use in etching of silicon oxide
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
KR100271222B1 (ko) 1995-12-14 2000-12-01 오카베 히로무 반도체 소자 및 그 제조 방법
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10134997A (ja) 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
KR19980032712U (ko) 1996-12-04 1998-09-05 오상수 에어백가스배출장치
KR100252210B1 (ko) 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US6756324B1 (en) 1997-03-25 2004-06-29 International Business Machines Corporation Low temperature processes for making electronic device structures
DE59811474D1 (de) 1997-06-13 2004-07-01 Unaxis Trading Ag Truebbach Verfahren zur herstellung von werkstücken, die mit einer epitaktischen schicht beschichtet sind
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6548122B1 (en) 1997-09-16 2003-04-15 Sri International Method of producing and depositing a metal film
JP2001523889A (ja) 1997-11-17 2001-11-27 シメトリックス・コーポレーション 薄膜のミスト状付着を行うための方法及び装置
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR100279963B1 (ko) 1997-12-30 2001-04-02 윤종용 반도체소자제조용가스디퓨져및이를설치한반응로
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
JP2001102309A (ja) 1998-04-09 2001-04-13 Tokyo Electron Ltd ガス処理装置
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
GB9808825D0 (en) 1998-04-24 1998-06-24 Nimbus Communications Int Ltd A disk recording system and a method of controlling the rotation of a turntable in such a disk recording system
KR100505310B1 (ko) 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6619131B2 (en) 1998-07-16 2003-09-16 Unaxis Balzers Ag Combination pressure sensor with capacitive and thermal elements
TW384502B (en) 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
CA2277394C (en) 1998-09-09 2003-10-21 Saint-Gobain Industrial Ceramics, Inc. Plasma jet chemical vapor deposition system having a plurality of distribution heads
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100550931B1 (ko) 1998-09-30 2006-02-13 어낵시스 발처스 악티엔게젤샤프트 진공처리챔버 및 표면처리방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6140255A (en) 1998-12-15 2000-10-31 Advanced Micro Devices, Inc. Method for depositing silicon nitride using low temperatures
JP2000235954A (ja) 1999-02-15 2000-08-29 Hiroshima Nippon Denki Kk ガス吹き出し部材
US6344420B1 (en) 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP4547125B2 (ja) 1999-05-13 2010-09-22 東京エレクトロン株式会社 誘導結合プラズマ処理装置
DE19923300A1 (de) 1999-05-21 2000-11-23 Bayer Ag Phosphatstabilisierte, kondensationsvernetzende Polyurethanmassen, ein Verfahren zu ihrer Herstellung sowie ihre Verwendung
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6548402B2 (en) * 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6214714B1 (en) * 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6149365A (en) 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
ATE420453T1 (de) 1999-09-29 2009-01-15 Europ Economic Community Gleichmässige gasverteilung in einer grossflächige plasma-behandlungs-vorrichtung
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR200189495Y1 (ko) 1999-12-31 2000-07-15 아남반도체주식회사 불화수소 증기 세정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP2001210603A (ja) 2000-01-27 2001-08-03 Semiconductor Leading Edge Technologies Inc 縦型熱処理装置用の反応管および該反応管を用いた縦型熱処理装置
US6510263B1 (en) 2000-01-27 2003-01-21 Unaxis Balzers Aktiengesellschaft Waveguide plate and process for its production and microtitre plate
US6961490B2 (en) 2000-01-27 2005-11-01 Unaxis-Balzers Aktiengesellschaft Waveguide plate and process for its production and microtitre plate
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP3501715B2 (ja) 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
WO2001083852A1 (en) 2000-04-28 2001-11-08 Tokyo Electron Limited Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6383573B1 (en) 2000-05-17 2002-05-07 Unaxis Balzers Aktiengesellschaft Process for manufacturing coated plastic body
US6566186B1 (en) 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP4382265B2 (ja) 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
JP2002064084A (ja) 2000-08-17 2002-02-28 Sumitomo Metal Ind Ltd プラズマ処理用ガス導入装置およびプラズマ処理方法
WO2002025712A1 (fr) 2000-09-14 2002-03-28 Japan As Represented By President Of Japan Advanced Institute Of Science And Technology Dispositif de depot chimique en phase vapeur (cvd) a element chauffant
JP2004514066A (ja) 2000-11-27 2004-05-13 ユナキス・トレーディング・アクチェンゲゼルシャフト 厚さがならい削りされた、rfマグネトロン用ターゲット
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR20010044503A (ko) 2001-02-28 2001-06-05 김태열 파지압축기용 출구 조임장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2002299240A (ja) 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US6663025B1 (en) 2001-03-29 2003-12-16 Lam Research Corporation Diffuser and rapid cycle chamber
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
KR100422199B1 (ko) 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
JP4504012B2 (ja) * 2001-06-29 2010-07-14 東京エレクトロン株式会社 半導体処理のための方向付けられたガスの射出装置
KR20030009853A (ko) 2001-07-24 2003-02-05 에스케이텔레텍주식회사 휴대폰에서의 메뉴검색방법
KR20030042920A (ko) 2001-11-26 2003-06-02 현대자동차주식회사 자동차용 도어의 힌지핀
US6986814B2 (en) 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
KR20030066118A (ko) 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
DE10211442A1 (de) 2002-03-15 2003-09-25 Aixtron Ag Vorrichtung zum Abscheiden von dünnen Schichten auf einem Substrat
KR20030077803A (ko) 2002-03-27 2003-10-04 삼성전자주식회사 반도체제조설비에 사용되는 가스분배장치
US6664202B2 (en) 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4338355B2 (ja) 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US7018517B2 (en) 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP3935401B2 (ja) 2002-07-22 2007-06-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR100454138B1 (ko) 2002-07-31 2004-10-28 권혁수 각질 연마도구 및 그 제조방법
JP2004095953A (ja) 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
US6683216B1 (en) 2002-11-06 2004-01-27 Eastman Chemical Company Continuous process for the preparation of amines
CN1230044C (zh) 2002-11-14 2005-11-30 友达光电股份有限公司 等离子体处理装置
DE10253717B4 (de) 2002-11-18 2011-05-19 Applied Materials Gmbh Vorrichtung zum Kontaktieren für den Test mindestens eines Testobjekts, Testsystem und Verfahren zum Testen von Testobjekten
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
KR101088329B1 (ko) 2003-01-16 2011-11-30 스미또모 가가꾸 가부시끼가이샤 방현필름, 그 제조방법 및 방현필름을 구비한 표시장치
US6924241B2 (en) 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
US7314652B2 (en) 2003-02-28 2008-01-01 General Electric Company Diffuser for flat panel display
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR100941960B1 (ko) 2003-06-03 2010-02-11 주성엔지니어링(주) 화학기상증착 장치의 샤워헤드
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
KR101022662B1 (ko) 2003-08-05 2011-03-22 주성엔지니어링(주) 균일한 막 증착을 위한 챔버 및 샤워 헤드
KR20050024949A (ko) 2003-09-05 2005-03-11 삼성전자주식회사 플라즈마 식각 장치
KR101202151B1 (ko) 2003-09-10 2012-11-15 외를리콘 솔라 아게, 트뤼프바흐 직사각형 대면적 기판 처리용 고주파 플라즈마 반응기의전압 불균일성 보상 방법
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR101021876B1 (ko) 2004-01-19 2011-03-17 주성엔지니어링(주) 액정표시소자 제조장치의 샤워헤드
KR100592682B1 (ko) 2004-02-23 2006-06-28 주성엔지니어링(주) 표시장치용 기판 제조장비 및 그 가스분사장치
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
KR101036463B1 (ko) 2004-02-27 2011-05-24 엘지전자 주식회사 건조덕트 구조
US7695231B2 (en) 2004-03-08 2010-04-13 Jusung Engineering Co., Ltd. Vacuum pumping system, driving method thereof, apparatus having the same, and method of transferring substrate using the same
US20050223986A1 (en) 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
CH706979B1 (en) 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US20060005771A1 (en) 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
CN101144154B (zh) 2004-05-12 2012-11-14 应用材料公司 采用气体扩散板通道设计的等离子体均匀度控制
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP4451221B2 (ja) 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
KR101063737B1 (ko) 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
TWI287279B (en) 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
JP2006120872A (ja) 2004-10-21 2006-05-11 Matsushita Electric Ind Co Ltd ガス拡散プレート
JP3913244B2 (ja) 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
US20060130764A1 (en) 2004-12-16 2006-06-22 Jusung Engineering Co., Ltd. Susceptor for apparatus fabricating thin film
KR100650229B1 (ko) 2005-07-12 2006-11-27 주식회사 대양스치로폴 스치로폴 황토보드
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US8702867B2 (en) * 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US9364871B2 (en) * 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453809B (zh) * 2010-10-06 2014-09-21 Eugene Technology Co Ltd 含半圓形天線之基材處理設備

Also Published As

Publication number Publication date
US20050251990A1 (en) 2005-11-17
US9200368B2 (en) 2015-12-01
KR100931910B1 (ko) 2009-12-15
US10312058B2 (en) 2019-06-04
US20180025890A1 (en) 2018-01-25
JP2005328021A (ja) 2005-11-24
JP2010050466A (ja) 2010-03-04
US20060236934A1 (en) 2006-10-26
EP2261393A2 (en) 2010-12-15
EP1595974A2 (en) 2005-11-16
KR20070091589A (ko) 2007-09-11
US20110290183A1 (en) 2011-12-01
KR100856690B1 (ko) 2008-09-04
EP2261393A3 (en) 2012-03-07
KR20050109041A (ko) 2005-11-17
US10262837B2 (en) 2019-04-16
US8083853B2 (en) 2011-12-27
JP5202486B2 (ja) 2013-06-05
JP4541117B2 (ja) 2010-09-08
EP1595974A3 (en) 2006-04-05
US20160056019A1 (en) 2016-02-25
TW200537561A (en) 2005-11-16

Similar Documents

Publication Publication Date Title
TWI259506B (en) Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
TWI301294B (en) Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
CN100575547C (zh) 通过气体分散器的等离子体均匀度控制
JP3366301B2 (ja) プラズマcvd装置
US10087524B2 (en) Showerhead support structure for improved gas flow
US7785672B2 (en) Method of controlling the film properties of PECVD-deposited thin films
JP3122484U (ja) 大型pecvdシステム用の多様な大きさの孔を有するバッフルプレートによるガス供給の均一性の向上
CN101871099B (zh) 通过气体分散器弯曲性的等离子体均匀度控制
JP2010013733A (ja) 拡散器重力支持体
CN100386668C (zh) 采用气体扩散板通道设计的等离子体均匀度控制
TWI358783B (en) High-performance electrostatic clamp comprising a
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
WO2023069227A1 (en) Dummy hole and mesh patch for diffuser
CN118119733A (zh) 用于扩散器的虚设孔和网孔补片