DE112011101134T5 - Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich - Google Patents

Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich Download PDF

Info

Publication number
DE112011101134T5
DE112011101134T5 DE112011101134T DE112011101134T DE112011101134T5 DE 112011101134 T5 DE112011101134 T5 DE 112011101134T5 DE 112011101134 T DE112011101134 T DE 112011101134T DE 112011101134 T DE112011101134 T DE 112011101134T DE 112011101134 T5 DE112011101134 T5 DE 112011101134T5
Authority
DE
Germany
Prior art keywords
layer
solar cell
silicon
substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112011101134T
Other languages
English (en)
Inventor
Hemant P. Mungekar
Michael P. Stewart
Mukul Agrawal
Rohit Mishra
Timothy W. Weidmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE112011101134T5 publication Critical patent/DE112011101134T5/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells

Abstract

Die vorliegende Erfindung stellt generell ein Verfahren zur Bildung einer Passivierungsschicht hoher Qualität über einem p-dotierten Bereich bereit, um eine Solarzellenvorrichtung mit hoher Effizient zu bilden. Ausführungsformen der vorliegenden Erfindung können insbesondere nützlich für die Vorbereitung einer Oberfläche eines mit Bor dotierten Bereiches sein, der in einem Siliziumsubstrat gebildet ist. In einer Ausführungsform beinhalten die Verfahren das Aussetzen einer Oberfläche eines Solarzellensubstrates einem Plasma, um zu reinigen und die physikalischen, chemischen und/oder elektrischen Eigenschaften der Oberfläche zu modifizieren, unssivierungsschicht darauf abzulagern.

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Ausführungsformen der vorliegenden Erfindung beziehen sich allgemein auf die Herstellung von Solarzellen und insbesondere auf eine Vorrichtungsstruktur und ein Verfahren zum Passivieren einer Oberfläche einer kristallinen Siliziumsolarzelle.
  • Beschreibung der verwandten Technik
  • Solarzellen sind photovoltaische Vorrichtungen, die Sonnenlicht direkt in elektrische Energie umwandeln. Das meistverwendete Solarzellenmaterial ist Silizium (Si), welches in Form von einkristallinen, polykristallinen oder multikristallinen Substraten auftaucht. Da die Kosten von Elektrizität, die unter Verwendung von Silizium-basierten Solarzellen erzeugt wird, höher sind als die Kosten von Elektrizität, die durch traditionelle Verfahren erzeugt wurde, gab es Bemühungen, die Kosten der Solarzellenherstellung zu reduzieren, die nicht die Gesamteffizienz der Solarzelle nachteilig beeinflussen.
  • 1 zeigt schematisch eine Schnittansicht einer Standardsiliziumsolarzelle 100, die aus einem kristallinen Siliziumsubstrat 110 hergestellt wurde. Das Substrat 110 beinhaltet einen Basisbereich 101, einen Emitterbereich 102, einen p-n-Verbindungsbereich 103, eine dielektrische Passivierungsschicht 104, einen vorderen elektrischen Kontakt 107 und einen hinteren elektrischen Kontakt 108. Der p-n-Verbindungsbereich 103 ist zwischen dem Basisbereich 101 und dem Emitterbereich 102 der Solarzelle angeordnet und ist der Bereich, in dem Elektronen-Loch-Paare erzeugt werden, wenn die Solarzelle 100 durch einfallende Photonen beleuchtet wird. Die Passivierungsschicht 104 kann sowohl als anti-reflektierende Beschichtung (anti-reflective coating, ARC) für die Solarzelle 100 als auch als Passivierungsschicht für die Oberfläche 105 des Emitterbereiches 102 dienen.
  • Die Effizienz der Solarzelle 100 kann durch die Verwendung einer Antireflexionsbeschichtungsschicht (ARC) erhöht werden. Wenn Licht von einem Medium in ein anderes läuft, zum Beispiel von Luft zu Glas, oder von Glas zu Silizium, kann etwas von dem Licht von der Grenzfläche zwischen den zwei Media weg reflektiert werden, selbst wenn das einfallende Licht senkrecht zu der Grenzfläche ist. Der Anteil des Lichts, der reflektiert wird, ist eine Funktion der Differenz des Brechungsindex zwischen den zwei Media, wobei eine größere Differenz bei den Brechungsindizes einen größeren Anteil von Licht, der von der Grenzfläche reflektiert wird, zur Folge hat. Es ist bekannt, dass eine ARC Schicht, die zwischen den zwei Media angeordnet ist und die einen Brechungsindexwert zwischen den Brechungsindizes der zwei Media aufweist, den Anteil des Lichts, der reflektiert wird, reduziert. Daher reduziert die Anwesenheit einer ARC Schicht auf einer lichtempfangenden Oberfläche der Solarzelle 100, wie zum Beispiel die Passivierungsschicht 104 auf der Oberfläche 105, den Anteil der einfallenden Strahlung, die von der Solarzelle 100 weg reflektiert wird und welche deswegen nicht verwendet werden kann, um elektrische Energie zu erzeugen.
  • Wenn Licht auf die Solarzelle fällt, erzeugt die Energie der einfallenden Photonen Elektron-Loch-Paare auf beiden Seiten des p-n-Verbindungsbereichs 103. In einem typischen n-dotierten Emitterbereich 102 und einem p-dotiertem Basisbereich 101, diffundieren Elektronen über die p-n-Verbindung zu einem niedrigerem Energielevel und Löcher diffundieren in die entgegengesetzte Richtung, wobei eine negative Ladung auf dem Emitter und ein entsprechender positiver Ladungsaufbau in der Basis erzeugt wird. In einer Ersatzkonfiguration, welche einen p-dotierten Emitterbereich 102 und einen n-dotierten Basisbereich (zum Beispiel Bezugszeichen 101) aufweist, diffundieren Elektronen über die p-n-Verbindung, um eine positive Ladung auf dem Emitter zu bilden und Löcher diffundieren in der entgegengesetzten Richtung, um einen negativen Ladungsaufbau in der Basis zu bilden. In jedem Fall, wenn ein elektrischer Kreislauf zwischen dem Emitter und der Basis erzeugt wird, wird ein elektrischer Strom fließen und Elektrizität wird von der Solarzelle 100 produziert. Die Effizienz, bei der die Solarzelle 100 einfallende Energie in elektrische Energie umwandelt, wird durch eine Anzahl von Faktoren beeinflusst, die die Rekombinationsrate der Elektronen und Löcher in der Solarzelle 100 und die Brechung des einfallenden Lichts, das von der Solarzelle 100 weg reflektiert wird, beinhalten.
  • Rekombination tritt auf, wenn Elektronen und Löcher, welche sich in der Solarzelle 100 in entgegengesetzter Richtung bewegen, miteinander kombinieren. Jedes Mal, wenn ein Elektron-Loch-Paar in der Solarzelle 100 rekombiniert, werden Ladungsträger eliminiert, wodurch die Effizienz der Solarzelle 100 reduziert wird. Rekombination kann in der Siliziummasse des Substrates 110, oder an einer der Oberflächen 105, 106 des Substrates 110 auftreten. In der Masse ist die Rekombination eine Funktion der Anzahl an Defekten in der Siliziummasse. Auf den Oberflächen 105, 106 des Substrates 110 ist die Rekombination eine Funktion davon, wieviele freie Bindungen d. h. nicht abgeschlossene chemische Bindungen, auf den Oberflächen 105, 106 vorhanden sind. Freie Bindungen werden auf den Oberflächen 105, 106 gefunden, da das Siliziumgitter des Substrates 110 an diesen Oberflächen endet. Diese nicht abgeschlossenen chemischen Bindungen agieren als Defektfallen, die in der Energiebandlücke von Silizium sind, und sind daher Orte für die Rekombination von Elektron-Loch-Paaren.
  • Wie oben erwähnt, ist eine Funktion der Passivierungsschicht 104, die Trägerrekombination an der Oberfläche des/der Emitterbereichs/Emitterbereiche 102 oder des Basisbereichs 101, über welche die Passivierungsschicht 104 gebildet ist, zu minimieren. Es wurde herausgefunden, dass das Bilden einer negativen Ladung in einer Passivierungsschicht 104, die über einem p-dotierten Bereich angeordnet ist, der in einer Solarzelle gebildet ist, helfen kann, die sich durch die Solarzelle bewegenden Träger zurückzuweisen, und dadurch die Trägerrekombination zu reduzieren und die Effizienz der Solarzellenvorrichtung zu verbessern. Während es relativ einfach ist, eine eine positive Gesamtladung aufweisende Passivierungsschicht unter Verwendung einer traditionellen Plasmaprozesstechnik zu bilden, ist es schwierig, eine stabil negativ geladene Passivierungsschicht auf der Oberfläche eines Siliziumsubstrates zu bilden.
  • Sorgfältige Passivierung der Oberfläche einer Solarzelle verbessert die Effizienz der Solarzellen in hohem Maße durch das Reduzieren von Oberflächenrekombination. „Passivierung”, wie es hierin verwendet wird, ist als das chemische Abschließen von freien Bindungen, die auf der Oberfläche eines Siliziumgitters vorhanden sind, definiert. Um eine Oberfläche einer Solarzelle 100 zu passivieren, wie zum Beispiel Oberfläche 105, wird typischerweise eine Passivierungsschicht 104 darauf gebildet, wodurch die Anzahl der freien Bindungen, die auf der Oberfläche 105 vorhanden sind, um drei oder vier Größenordnungen reduziert wird. Für Solarzellenanwendungen ist die Passivierungsschicht 104 im Allgemeinen eine Schicht aus Siliziumnitrid (Si3N4, auch als SiN abgekürzt), und der Großteil der freien Bindungen werden mit Siliziumatomen (Si) oder Stickstoffatomen (N) abgeschlossen. Aber da Siliziumnitrid (SiN) ein amorphes Material ist, kann eine perfekte Übereinstimmung zwischen dem Siliziumgitter des Emitterbereichs 102 und der amorphen Struktur der Passivierungsschicht 104 nicht stattfinden. Daher ist die Anzahl der freien Bindungen, die nach dem Bilden der Passivierungsschicht 104 auf der Oberfläche 105 verbleiben, immer noch genug, um die Effizienz der Solarzelle 100 zu reduzieren, was eine zusätzliche Passivierung der Oberfläche 105 erfordert, wie zum Beispiel Wasserstoffpassivierung. Im Fall von multi-kristallinen Siliziumsolarzellen hilft Wasserstoff auch, die Defektzentren an den Korngrenzen zu passivieren.
  • Daher gibt es ein Bedürfnis nach einem verbesserten Verfahren zum Bilden einer Passivierungsschicht, die einen gewünschten Ladungstyp und eine Ladungsdichte an der Oberfläche der Solarzellenvorrichtung aufweist, um die Oberflächenrekombination der Ladungsträger zu minimieren, um die Effizienz der gebildeten Solarzelle zu verbessern, und einer Passivierungsschicht, die die gewünschten optischen und passivierenden Eigenschaften aufweist.
  • Zusammenfassung der Erfindung
  • Ausführungsformen der vorliegenden Erfindung stellen generell ein Verfahren zum Bilden einer Solarzellenvorrichtung bereit, umfassend Bilden einer Schicht, die eine negative Ladung aufweist, über einer Oberfläche eines p-dotierten Bereichs, der auf einem Substrat gebildet ist, und Bilden einer Masseschicht über der Schicht, die eine negative Ladung enthält. Die die negative Ladung enthaltende Schicht, die über dem p-dotierten Bereich gebildet ist, wird generell dazu genutzt, die Elektronen abzuweisen, die in der Solarzellenvorrichtung fließen und die Oberfläche zu passivieren, um die Trägerrekombination zu minimieren und die Effizienz der gebildeten Solarzellenvorrichtung zu verbessern. Während die Fähigkeit der die negative Ladung enthaltenden Schicht, Elektronen abzuweisen, die durch den angrenzenden p-dotierten Bereich fließen, oder die Substratoberfläche bereichsweise zu passivieren, wichtig ist, um die Trägerrekombination zu reduzieren, wird eine Solarzelle mit höherer Effizienz auch eine Passivierungsschicht von hoher Qualität aufweisen, die andere optisch vorteilhafte Eigenschaften aufweist und andere passivierende Eigenschaften, die generell die Effizienz der gebildeten Vorrichtung verbessern. Daher kann die Solarzellenvorrichtung in manchen Konfigurationen ein oder mehrere Schicht(en) oder gestaffelte Bereiche umfassen, die unterschiedliche Materialkompositionen, unterschiedliche physiklaische Eigenschaften (zum Beispiel mechanische und optische Eigenschaften), und/oder unterschiedliche elektrische Eigenschaften aufweisen, um einen gewünschten Passievierungseffekt für die Substratoberfläche bereit zu stellen.
  • Ausführungsformen der vorliegenden Erfindung können weiterhin eine Solarzellenvorrichtung bereit stellen, die einen oder mehrere p-dotierte(n) Bereich(e), die in einer Oberfläche eines Solarzellensubstrates gebildet ist/sind, eine erste Schicht, die über dem einen/die mehreren p-dotierte(n) Bereich(e) angeordnet ist, und eine Masseschicht umfasst, die über der ersten Schicht angeordnet ist, wobei die Masseschicht eine positive Gesamtladung aufweist.
  • Ausführungsformen der vorliegenden Erfindung können weiterhin eine Solarzellenvorrichtung bereit stellen, die einen oder mehrere p-dotierte(n) Bereich(e), der/die in einer Oberfläche eines Solarzellensubstrates gebildet ist/sind, eine erste Schicht, die eine negative Ladung aufweist und die über dem/den mehreren p-dotierten Bereich(en) angeordnet ist, und eine Masseschicht umfasst, die über der ersten Schicht angeordnet ist, wobei die Masseschicht eine positive Gesamtladung aufweist. In einigen Konfigurationen kann der Betrag der negativen Gesamtladung, die in der ersten Schicht vorhanden ist, größer als oder gleich dem Betrag der positiven Gesamtladung in der Masseschicht sein. In einigen Konfigurationen ist der Betrag der negativen Gesamtladung, der in der ersten Schicht vorhanden ist, angepasst, eine Ladungsdichte größer als 1 × 1012 Coulombs/cm2 an der Oberfläche des Solarzellensubstrates zu erreichen.
  • Ausführungsformen der vorliegenden Erfindung können weiterhin eine Solarzellenvorrichtung bereit stellen, die einen oder mehrere p-dotierte(n) Bereich(e), der/die in einer Oberfläche eines Solarzellensubstrates gebildet ist/sind, eine erste Schicht, die über dem einen/die mehreren p-dotierte(n) Bereich(en) angeordnet ist, wobei die erste Schicht Fluor oder Chlor und zumindest zwei Elemente ausgewählt aus einer Liste umfassend Sauerstoff, Stickstoff, Silizium und Aluminium umfasst, und eine Masseschicht umfasst, die über der ersten Schicht angeordnet ist, wobei die Masseschicht eine positive Gesamtladung aufweist und Silizium und Stickstoff umfasst.
  • Kurzbeschreibung der Zeichnungen
  • Damit die Art, in der die oben zitierten Merkmale der vorliegenden Erfindung im Detail verstanden werden können, kann eine speziellere Beschreibung der Erfindung, die oben kurz zusammengefasst ist, durch Bezug auf Ausführungsformen erhalten werden, wovon einige in den Zeichnungen im Anhang gezeigt sind. Es sollte dennoch erwähnt werden, dass die Zeichnungen im Anhang nur typische Ausführungsformen dieser Erfindung zeigen und daher nicht als ihren Schutzbereich beschränkend angesehen werden sollen, da die Erfindung andere, in gleicher Weise effektive Ausführungsformen zulassen kann.
  • 1 zeigt schematisch eine Schnittansicht einer konventionellen Siliziumsolarzelle, die aus einem einkristallinen oder mutlikristallinen Siliziumwafer hergestellt wurde.
  • 2A2F zeigen Schnittansichten eines Teils eines Substrates, die unterschiedliche Phasen einer Ausführungsform eines Verfahrens der Erfindung entsprechen;
  • 3 zeigt ein Verfahrensflussdiagramm eines Prozesses zum Bilden einer Passivierungsschicht, der auf einem Siliziumsubstrat in Übereinstimmung mit einer Ausführungsform der Erfindung ausgeführt wird;
  • 4 ist eine schematische Seitenansicht eines Parallelplatten-PECVD-Systems, das verwendet werden kann, um Ausführungsformen der Erfindung auszuführen.
  • 5 ist eine schematische Draufsicht einer Ausführungsform eines Prozesssystems, das eine Vielzahl von Prozesskammern aufweist;
  • 6 zeigt ein Verfahrensflussdiagramm eines Verfahrens zum Bilden einer Passivierungsschicht, der auf einem Siliziumsubstrat in Übereinstimmung mit einer Ausführungsform der Erfindung ausgeführt wird.
  • Um das Verständnis zu erleichtern sind identische Bezugszeichen verwendet worden, wenn möglich, um identische Elemente zu bezeichnen, die den Figuren gemein sind. Es soll in Erwägung gezogen werden, dass Elemente und Merkmale einer Ausführungsform ohne weitere Erwähnung vorteilhafterweise in andere Ausführungsformen eingefügt werden können.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung stellt generell Verfahren bereit zum Bilden von Passivierungsschichten von hoher Qualität über p-dotierten Bereichen, um Solarzellenvorrichtungen mit hoher Effizienz zu bilden. Ausführungsformen der vorliegenden Erfindung können insbesondere nützlich sein zum Vorbereiten einer Oberfläche eines mit Bor dotierten Bereichs, der in einem Siliziumsubstrat gebildet ist. In einer Ausführungsform beinhaltet das Verfahren das einem Plasma Aussetzen einer Oberfläche eines Solarzellensubstrates, um zu reinigen und die physikalischen, chemischen und/oder elektrischen Eigenschaften der Oberfläche zu modifizieren, und dann Abscheiden einer dielektrischen Schicht, die einen Ladungsbetrag enthält, und einer Passivierungsschicht darauf. Solarzellensubstrate, die aus der Erfindung Nutzen ziehen können umfassen Substrate, die einen aktiven Bereich aufweisen, der einkristallines Silizium, multikristallines Silizium und polykristallines Silizium enthält, aber die Erfindung kann auch für Substrate nützlich sein, die Germanium (Ge), Galliumarsenid (GaAs), Kadmiumtellurid (CdTe), Kadmiumsulfid (CdS), Kupferindiumgalliumselenid (CiGS), Kupferindiumselenid (CuInSe2), Galliumindiumphosphid (GaInP2), organische Materialien umfassen, als auch Heterogenverbindungszellen, wie zum Beispiel GaInP/GaAs/Ge oder ZnSe/GaAs/Ge Substrate, die verwendet werden, um Sonnenlicht in elektrische Energie zu konvertieren.
  • Ausführungsformen der Erfindung stellen auch generell Verfahren bereit zum Bilden eines Stapels einer eine negative Ladung enthaltende Passivierungsschicht oder einer Passivierungsschichtstruktur, auf einer oder mehrerer Oberfläche(n) eines Substrates, wie zum Beispiel einer Oberfläche eines p-dotierten Bereiches. Die die negative Ladung enthaltende Schicht, die über einem p-dotierten Bereich gebildet ist, wird generell dazu verwendet, Elektronen abzuweisen, die in der Solarzellenvorrichtung fließen, und die Oberfläche zu passivieren, um die Trägerrekombination zu minimieren und die Effizienz der gebildeten Solarzellenvorrichtung zu verbessern. Während die Fähigkeit der die negative Ladung enthaltenden Passivierungsschicht, Elektronen abzuweisen, die durch den angrenzenden p-dotierten Bereich fließen oder die Substratoberfläche bereichsweise zu passivieren, wichtig ist, um die Trägerrekombination zu reduzieren, benötigt eine Passivierungsschicht von hoher Qualität auch andere optisch vorteilhafte Eigenschaften und andere passivierende Eigenschaften, die generell die Effizienz einer gebildeten Solarzellenvorrichtung verbessern. Die zusätzlichen Eigenschaften der Passivierungsschicht werden oft als die Fähigkeit der Passivierungsschicht klassifiziert, die Oberfläche(n), über die sie angeordnet ist, „oberflächen” zu passivieren, und die angrenzenden Bereiche und die Oberfläche des Substrates „massen” zu passivieren. Der Mechanismus, durch den die Passivierungsschicht fähig ist, diese Funktionen auszuführen, beinhaltet, zum Beispiel, die Fähigkeit einer gebildeten Passivierungsschicht, eine Wasserstoffquelle (H+) zu sein, die verwendet wird, um Defekte in Bereichen des Substrates zu korrigieren, und die physikalischen und/oder chemischen Eigenschaften der gebildeten Schicht, die fähig sind, die freien Bindungen an der Oberfläche des Substrates zu binden.
  • Im Allgemeinen wird eine Passivierungsschicht, die über einem p-dotierten Bereich gebildet ist, einen wünschenswerten Betrag an darin angeordneter negativer Ladung aufweisen, wird wünschenswerte optische Eigenschaften aufweisen, um die Lichtreflexion zu minimieren, und wird eine wünschenswerte Wasserstoffkonzentration aufweisen, um Oberflächendefekte zu heilen, die an der Substratoberfläche gefunden werden. Typischerweise wird eine Passivierungsschicht, die wünschenswerte optische Eigenschaften aufweist, einen optischen Gradienten, oder einen Gradienten im Brechungsindex über den/die gebildeten Bereich(e) der Passivierungsschicht aufweisen. Da Siliziumnitrid einfach zu bilden ist, einen Brechungsindex aufweist, der zwischen dem Brechungsindex von Silizium (z. B. n = 3.0) und Glas (z. B. n = 1.5) liegt, und ein stabiler Film ist, der fähig ist, eine wünschenswerte Wasserstoffkonzentration zu halten, ist es üblicherweise das Passivierungsschichtmaterial der Wahl. Allerdings ist es generell schwierig, eine Passivierungsschicht aus Siliziumnitrid (SiXNY) zu bilden, die eine negative Ladung aufweist. Daher kann in einer Ausführungsform die Passivierungsschicht von hoher Qualität eine oder mehrere Schicht(en) umfassen, oder graduelle Bereiche, die eine unterschiedliche Zusammensetzung, unterschiedliche physikalische Eigenschaften oder unterschiedliche elektrische Eigenschaften aufweisen, um einen gewünschten Passivierungseffekt bereit zu stellen.
  • In einer Ausführungsform, wie schematisch in den 2C2D dargestellt, umfasst eine Passivierungsschicht 220, die über einer Oberfläche 205 eines p-dotierten Bereichs gebildet ist, zwei Schichten, die eine unterschiedliche Zusammensetzung, physikalische Eigenschaften und elektrische Eigenschaften aufweisen, die eine Passivierungsschicht von hoher Qualität bilden. In einer Ausführungsform umfasst die Passivierungsschicht 220 eine Grenzflächenschicht 221 und eine Masseschicht 222. Die Grenzflächenschicht kann ein dielektrisches Material umfassen, das konfiguriert ist, die Oberfläche 205 des p-dotierten Bereiches, oder in diesem Fall den Emitterbereich 202, zu passivieren, und enthält einen wünschenswerte Betrag an eingeschlossener, negativer Ladung Q1, um eine wünschenswerte Oberflächenpassivierung des p-dotierten Bereichs bereit zu stellen. Die Masseschicht 222 kann ein dielektrisches Material enthalten, das konfiguriert ist, wünschenswerte optische Eigenschaften aufzuweisen und die Oberfläche 205 des p-dotierten Bereichs zu passivieren. In einer Ausführungsform ist es wünschenswert, eine Passivierungsschicht 220 zu bilden, die einen Nettogesamtbetrag von eingeschlossener, negativer Ladung (z. B. Coulomb) aufweist, der eine Ladungsdichte größer als ungefähr –1 × 1012 Coulombs/cm2 erreichen kann. Man wird bemerken, dass das negative Vorzeichen vor dem Wert der Ladungsdichte nur vorgesehen ist, um anzudeuten, dass die eingeschlossene Ladung in der Schicht primär negativ gegen positiv ist.
  • In einer Ausführungsform umfasst die Masseschicht 222 eine oder mehrere Siliziumnitridschicht(en), die einen wünschenswerten Brechnungsindex (n), Absorptionskoeffizienten (k), Filmstress und Dichte aufweist/aufweisen. 2E und 2F zeigen eine Ausführungsform der Masseschicht 222, die eine erste Passivierungsschicht 222A und eine zweite Passivierungsschicht 222B umfasst, wobei jede eine unterschiedliche Zusammensetzung, unterschiedliche physikalische Eigenschaften und/oder unterschiedliche elektrische Eigenschaften aufweist. In einem Beispiel sind die erste Passivierungsschicht 222A und die zweite Passivierungsschicht 222B Siliziumnitridschichten, die zumindest eine unterschiedliche Eigenschaft aufweisen. Im Allgemeinen wird ein Siliziumnitridfilm, der unter Verwendung einer Plasmaunterstützten chemischen Gasphasenabscheidungstechnik (plasma enhanced chemical vapor deposition, PECVD) oder einer physikalische Gasphasenabscheidungstechnik (physical vapor deposition, PVD) gebildet wird, einen Brechungsindex von zwischen ungefähr 1,9 und ungefähr 2,15 aufweisen und wird eine eingeschlossene, positive Ladung Q2 (2D) aufweisen. Die Anwesenheit einer eingeschlossenen „positiven” Ladung Q2 in der Passivierungsschicht 220 wird dazu neigen, die Elektronen, die sich durch die Solarzellenvorrichtung bewegen, anzuziehen, was eine Ablenkung der mobilen Träger und/oder unerwünschte Rekombination der Träger zur Folge hat, und daher die Effizienz der Solarzellenvorrichtung reduziert.
  • Daher ist in einer Ausführungsform der Passivierungsschicht 220 der Gesamtbetrag der eingeschlossenen, negativen Ladung Q1 in der Grenzflächenschicht 221 größer als der Gesamtbetrag der positiven, eingeschlossenen Ladung Q2 in der Masseschicht 222, so dass die eingeschlossene, negative Gesamtladung Q1 den Effekten der gespeicherten, eingeschlossenen, positiven Gesamtladung Q2 in der Masseschicht 222 entgegenwirken kann. In einer Ausführungsform hat die Summe des Gesamtbetrags der eingeschlossenen, negativen Ladung Q1 und des Gesamtbetrags der eingeschlossenen, positiven Ladung Q2, die in der Passivierungsschicht 220 gefunden wird, genügend eingeschlossene Ladung, um eine Grenzflächenladungsdichte größer als ungefähr –1 × 1012 Coulombs/cm2 zu erreichen. In einem Beispiel weist die Passivierungsschicht 220 genügend eingeschlossene Ladung auf, um eine Grenzflächenladungsdichte zwischen ungefähr –1 × 1012 Coulombs/cm2 und ungefähr –1 × 1014 Coulombs/cm2 zu erreichen, wie zum Beispiel zwischen ungefähr –2 × 1012 Coulombs/cm2 und –4 × 1013 Coulombs/cm2. Man wird bemerken, dass das negative Vorzeichen vor dem Wert der Ladungsdichte nur vorgesehen ist, um anzudeuten, dass die Ladung, die von der Oberfläche 205 gesehen wird, negativ gegen positiv ist, und daher ist der absolute Wert von Q1 größer als der absolute Wert von Q2. In einer anderen Ausführungsform ist die Summe des Gesamtbetrags der eingeschlossenen, negativen Ladung Q1 und des Gesamtbetrags der eingeschlossenen, positiven Ladung Q2, die in der Passivierungsschicht 220 gefunden wird, ungefähr null (d. h. |Q1| ist gleich |Q2|). In diesem Fall wurde der Affekt der positiven, eingeschlossenen Ladung Q2, die an der Oberfläche 205 gesehen wird, zumindest minimiert, jedoch wird kein abweisender Effekt der eingeschlossenen, negativen Ladung von den Elektronen an oder unter der Oberfläche 205 erfahren werden. In den Fällen, in denen die Grenzflächenschicht 221 und die Masseschicht 222 jeweils beide negative und positive Ladungen in sich haben, sind die Werte von Q1 und Q2, wie hierein diskutiert und die Träger in dem Substrat beeinflussend, die Nettowerte der Ladung, oder die Summe des absoluten Wertes des Gesamtbetrages der positiven Ladung minus dem absoluten Wert des Gesamtbetrages der negativen Ladung, in jeder entsprechenden Schicht genommen. In noch einer anderen Ausführungsform, in der die Wirkung des Elektronenabweisens der Passivierungsschicht 220 nicht wichtig ist, aber wichtig ist, zu gewährleisten, dass die ganze, eingeschlossene, positive Ladung zumindest kompensiert wird, kann es wünschenswert sein, dass die Summe des Gesamtbetrags der eingeschlossenen, negativen Ladung Q1 und des Gesamtbetrags der positiven, eingeschlossenen Ladung Q2, die in der Passivierungsschicht 220 gefunden wird, genügend eingeschlossene Ladung aufweist, um eine Grenzflächenladungsdichte zwischen ungefähr 0 und ungefähr –1 × 1014 Coulombs/cm2 zu erreichen. In einigen Ausführungsformen ist es wünschenswert, die Masse der eingeschlossenen, negativen Ladung Q1 100 Angstrom (Å) oder weniger von der Oberfläche 205 zu positionieren, um zu gewährleisten, dass die eingeschlossene Ladung eine gewünschte Feldstärke aufweisen wird, um die Elektronen an oder unter der Oberfläche 205 abzuweisen, da die Fähigkeit, die Elektronen abzuweisen, mit eins durch das Quadrat des Abstandes (1/d2) zwischen der eingeschlossenen, negativen Ladung Q1 und dem/den Elektron(en) variieren wird.
  • Ein Vorteil einer Passivierungsschichtstruktur 220 mit einer Grenzflächenschicht 221, die zwischen der Oberfläche 205 und der Masseschicht 222 angeordnet ist, ist, dass das elektrische Feld, das von der in der Masseschicht 222 gebildeten positiven Ladung erzeugt wird, durch die Trennung der Masseschicht 222 von der Oberfläche 205 reduziert wird. Man wird beachten, dass die Stärke des elektrischen Feldes (E) umgekehrt proportional zum Quadrat des Abstandes zwischen der Oberfläche 205 und der Masseschicht 222 ist, und daher wird es, je weiter die Masseschicht 222 von der Oberfläche 205 entfernt ist, desto weniger Einfluss auf die mobilen Träger haben, die durch die Solarzellenvorrichtung fließen. Daher weist die Grenzflächenschicht 221 in einer Ausführungsform eine wünschenswerte Dicke auf, die verwendet wird, um den Einfluss der positiven Ladung zu reduzieren, die in der Masseschicht 222 gefunden wird. In einem Beispiel ist die Grenzflächenschicht 221 eine dielektrische Schicht, die eine Dicke zwischen ungefähr 50 Angstrom (Å) und ungefähr 800 Å aufweist. In einer Ausführungsform, wenn die Passivierungsschicht 220 über einer p-dotierten, lichtempfangenden Oberfläche eines Substrates angeordnet ist (z. B. dem Emitterbereich 202), wie zum Beispiel der Oberfläche 205, ist die Grenzflächenschicht 221 eine dielektrische Schicht, die eine Dicke zwischen ungefähr 50 Angstrom (Å) und ungefähr 200 Å aufweist. In einer Ausführungsform, wenn die Passivierungsschicht 220 über einer Rückoberfläche eines p-dotierten Substrates (z. B. Basisbereich 201), wie zum Beispiel Oberfläche 206, angeordnet ist, ist die Grenzflächenschicht 221 eine dielektrische Schicht, die eine Dicke zwischen ungefähr 500 Angstrom (Å) und ungefähr 800 Å aufweist. In einer Ausführungsform ist die Dicke der Grenzflächenschicht 221 eingestellt, um die Fähigkeit eines gegebenen Prozessrezeptes, eine eingeschlossene negative Ladung Q1 in der Grenzflächenschicht 221 zu erzeugen, zu kompensieren, und daher den Einfluss der eingeschlossenen, positiven Ladung Q2, die in der Masseschicht 222 auf dem p-dotierten Bereich unter der Oberfläche 205 gebildet ist, zu kontrollieren. In einem Beispiel, selbst wenn der Betrag der eingeschlossenen, negativen Ladung Q1 in der gebildeten Grenzflächenschicht 221 vernachlässigbar ist, wird durch Beabstanden einer positiv geladenen Masseschicht 222 eine Distanz entfernt von der Oberfläche 205, das Feld, das durch die positive Ladung an der Oberfläche 205 erzeugt wird, reduziert werden, und daher die Effizienz der Solarzelle verbessert werden.
  • Verfahren zum Bilden einer Passivierungsschicht
  • Die 2A2F zeigen schematisch Schnittansichten eines Solarzellensusbstrates 210 während unterschiedlicher Phasen in einer Prozesssequenz, die verwendet wird, um eine Passivierungsschicht 220 auf einer Oberfläche (z. B. Oberfläche 205) einer Solarzelle 200 zu bilden. 3 zeigt eine Prozesssequenz 300, die verwendet wird, um die Passivierungsschicht auf einem Solarzellensubstrat 210 zu bilden. Die Sequenzen, die in 3 gefunden werden, entsprechen den Phasen, die in den 2A2F gezeigt sind, welche hierein diskutiert sind. In einer Ausführungsform der Solarzelle 200 umfasst der Basisbereich 201 ein n-dotiertes kristallines Siliziumsubstrat (z. B. Bezugszeichen 201), und der Emitterbereich 202 umfasst eine p-dotierte Schicht, die über dem Basisbereich 201 gebildet ist. Während die folgende Diskussion hauptsächlich ein Verfahren und eine Vorrichtung zum Bearbeiten eines Substrates mit einem p-dotiertem Emitterbereich, der über einem n-dotierten Basisbereich geformt ist, diskutiert, ist diese Konfiguration nicht beabsichtigt, den Schutzbereich der hierin beschriebenen Erfindung zu beschränken, da die Passivierungsschicht auch über einer Solarzellenkonfiguration mit p-dotiertem Basisbereich gebildet werden kann.
  • 2A zeigt schematisch eine Schnittansicht einer zumindest teilweise gebildeten Silziumsolarzelle 200, die ein Substrat 210 umfasst. Das Substrat 210 beinhaltet einen Basisbereich 201, einen Emitterbereich 202 und einen p-n-Verbindungsbereich 203. Der p-n-Verbindungsbereich 203 ist zwischen dem Basisbereich 201 und dem Emitterbereich 202 der Solarzelle angeordnet, und ist der Bereich, in dem Elektron-Loch Paare erzeugt werden, wenn die Solarzelle 200 durch einfallende Lichtphotonen beleuchtet wird.
  • Jedoch wird sich während des normalen Bearbeitens einer Solarzellenvorrichtung eine dünne, natürliche Oxidschicht 215 niederer Qualität auf einer oder mehreren Oberfläche(n) des Substrates 210 bilden. In Konfigurationen, in denen sich die natürliche Oxidschicht 215 über einem Bor p-dotierten Bereich gebildet ist, kann die Oxidschicht 215 eine Borsilikatglas (BSG) enthaltende Schicht umfassen. In einem Beispiel ist die BSG enthaltende Oxidschicht über (einem) p-dotierten Emitterbereich(en) 202 gebildet, der/die über einem n-dotierten Basisbereich 201 (2A) gebildet ist/sind. In einem anderen Beispiel ist die Oxidschicht vom BSG-Typ über der Oberfläche 206 auf der Rückseite eines p-dotierten Substratbasisbereichs 201 gebildet. Die Dicke und die Dichte der gbildeten Oxidschicht 215 wird davon abhängen, wie die Schicht gebildet wurde, da in manchen Fällen die Oxidschicht während oder nach einem oder mehreren thermischen Bearbeitungsschritt(en) gebildet wird, wie zum Beispiel einem Anlassschritt in einer Ofenanlage, der genutzt wird, um Dotierstoffe „einzuschlagen” oder ein oder mehrere Schicht(en) anzulassen, die auf dem Substrat 210 gebildet sind. In manchen Fällen kann die Oxidschicht durch das längere Aussetzen an Luft gebildet werden.
  • Weiterhin ist es normal für eine teilweise gebildete Solarzellenvorrichtung, auch einen Totbereich 216 aufzuweisen, der eine hohe Konzentration von Dotierstoffatomen enthält und der an der Grenzfläche zwischen der Oxidschicht 215 und der Oberfläche des Substrates 210 gebildet ist. Es wird angenommen, dass die hohe Dotierstofffkonzentration im Totbereich 216 durch die Diffusion der Dotierstoffatome zur Oberfläche 205 des Substrates 210 während dem vorherigen Dotieren oder thermischen Bearbeitungsschritten erzeugt wird. In einem Beispiel enthält der Totbereich 216 eine hohe Konzentration von Boratomen (z. B. > 0,1 Atom%) an der Oberfläche eines Silizium enthaltenden p-dotierten Emitterberiech 202. In einer Ausführungsform weist der Totbereich 216 eine Dotierkonzentration auf, die hoch genug ist, um einen Bereich zu bilden, der einen Flächenwiderstand von weniger als ungefähr 50 Ohm pro Quadrat (Ω/☐) aufweist. Im Allgemeinen ist es schwierig, diese Bor dotierten Schichten unter Verwendung von bekannten Prozesstechniken zu entfernen, die nasschemische Ätzprozesse beinhalten können. Dennoch ist es im Allgemeinen wichtig, eine saubere Substratoberfläche zu bilden, um einer Kontamination des Solarzellensubstrates während des darauffolgenden Bearbeitens vorzubeugen und den Passivierungseffekt der dielektrischen Passivierungsschicht zu verbessern, die über der dotierten Oberfläche gebildet ist.
  • Bezug nehmend auf 3, beginnt die Prozesssequenz 300, die verwendet wird, um die Passivierungsschicht auf einem Solarzellensubstrat 210 zu bilden, generell bei Box 302. Bei Box 302 werden die Oberflächen des Substrates 210 gesäubert, um die Oxidschicht 215 (2A) zu entfernen, die auf den Oberflächen des Substrates gebildet sind. Das Verfahren, das bei Box 302 ausgeführt wird, kann in einem einzigen Verfahrensschritt, der in einer Substratprozesskammer ausgeführt wird, oder als mehrere, getrennte Prozessschritte ausgeführt werden, die in einer oder mehreren Substratprozesskammer(n) ausgeführt werden. In einer Ausführungsform kann der Reinigungsprozess bei Box 302 unter Verwendung eines Trockenreinigungsprozesses ausgeführt werden, in dem das Substrat 210 einem reaktiven Plasmaätzprozess ausgesetzt wird, um die Oxidschicht 215 zu entfernen. Ein Beispiel eines Trockenreinigungsprozesses und einer Trockenreinigungsprozesskammer sind weiterhin unten in Verbindung mit den 4 und 5 beschrieben.
  • In einer Ausführungsform wird die Oxidschicht 215 bei Box 302, nachdem ein oder mehrere Substrat(e) 210 in einer Prozesskammer angeordnet wurden, wie zum Beispiel Kammer 400 in 4, einem reaktiven Gas ausgesetzt, um einen dünnen Film (nicht gezeigt) auf der Oxidschicht 215 zu bilden. Das reaktive Gas kann Stickstoff, Fluor und/oder Wasserstoff enthalten. In manchen Ausführungsformen umfasst das reaktive Gas Radikale und/oder Ionen enthaltend Stickstoff, Fluor, Chlor, oder Kombinationen davon, und wird der Prozesskammer bereit gestellt, die das Substrat darin angeordnet aufweist, und wird in Richtung des Substrates gerichtet. Der dünne Film umfasst generell ein festes Gemisch, das durch die Reaktion der Radikale mit Sauerstoff aus der Oxidschicht 215 gebildet wird. Ein beispielhafter reaktiver Reinigungsprozess zum Entfernen von natürlichen Oxiden auf einer Oberfläche des Substrates unter Verwendung einer Ammonium (NH3) und Stickstofftrifluorid (NF3) Gasmischung wird nun beschrieben werden, der innerhalb einer Prozesskammer ausgeführt wird. Der reaktive Reinigungsprozess beginnt mit dem Platzieren eines Substrates in einer Prozesskammer. Während des Bearbeitens kann das Substrat unter ungefähr 65°C, wie zum Beispiel zwischen ungefähr 15°C und 50°C, gekühlt werden.
  • Als nächstes, während eines Abschnitt des bei Box 302 ausgeführten Prozesses, wird der dünne Film auf der Oxidschicht 215 thermisch behandelt, um ihn von der Oberfläche des Substrates zu entfernen. In einigen Ausführungsformen kann die thermisch Behandlung ein Anlassprozess sein, der in der Prozesskammer 400 ausgeführt wird, oder in einer anderen, benachbarten Kammer, die in dem System 500 vorkommt. Während dieses Schrittes sublimiert der dünne Film weg von der Substratoberfläche, Sauerstoff und andere Verunreinigungen mitnehmend und eine Wasserstoff-abgeschlossene Schicht (nicht gezeigt) zurücklassend. In einigen Ausführungsformen kann die Wasserstoff-abgeschlossene Schicht Spuren von Fluoratomen in der gebildeten Wasserstoff-abgeschlossenen Schicht aufweisen.
  • Als nächstes wird bei Box 304 der Totbereich 216 von den Oberflächen des Substrates 210 durch die Verwendung eines Trockenreinigungsprozesses entfernt. In einer Ausführungsform wird das Substrat dann, nach dem Entfernen der Oxidschicht 215 von einer Oberfläche des Substrates 210, zu einer Trockenprozesskammer gebracht, um den Totbereich 216 zu entfernen. Im Allgemeinen beinhaltet der Trockenreinigungsprozess, der bei Box 304 ausgeführt wird, das Aussetzen des Totbereichs 216 einem RF Plasma für eine gewünschte Zeitspanne, um die Totbereiche von der ausgesetzten Substratoberfläche zu ätzen und zu entfernen. Ein Beispiel einer solchen Trockenprozesskammer und eines Trockenreinigungsprozesses ist weiterhin unten in Verbindung mit den 4 und 5 beschrieben. Man wird bemerken, dass es in manchen Fällen wünschenswert sein kann, zu gewährleisten, dass das Substrat nicht für längere Zeitspannen Sauerstoff ausgesetzt ist, nachdem der Reinigungsprozess 303 ausgeführt wurde bevor der Reinigungsprozess auf dem Substrat bei Box 304 ausgeführt wird, um die Re-Oxidation der gereinigten Oberfläche zu verhindern. Daher ist es in manchen Ausführungsformen wünschenswert, alle Prozesse in den Boxen 302308 in einer sauerstofffreien, inerten und/oder Vakuumumgebung auszuführen, wie zum Beispiel in den Vakuumprozessbereichen eines Clustertools, oder System 500 (5), so dass das Substrat zwischen den Prozessschritten nicht Sauerstoff ausgesetzt ist.
  • Als nächstes wird bei Box 306, wie in den 2B und 3 gezeigt, eine Grenzflächenschicht 221 auf der Oberfläche 205 des Substrates gebildet. In einer Ausführungsform ist die Grenzflächenschicht 221 eine dielektrische Schicht, die ein Material umfasst, das ausgewählt ist aus der Gruppe bestehend aus Siliziumoxid (SiXOY), Siliziumoxynitrid (SiON), Siliziumoxycarbonitrid (SiOCN), Siliziumoxycarbid (SiOC), Titanoxid (TiXOY), Tantalumoxide (TaXOY), Lanthanoxid (LaXOY), Hafniumoxid (HfXOY), Titannitrid (TiXNY), Tantalumnitrid (TaXNY), Hafniumnitrid (HIN), Hafniumoxynitrid (HfON), Lanthannitrid (LaN), Lanthanoxynitrid (LaON), chloriertem Siliziumnitrid (SiXNY:Cl), chloriertem Siliziumoxid (SiXOY:Cl), amorphem Silizium, amorphem Siliziumcarbid und/oder Aluminiumoxid (Al2O3). In einer anderen Ausführungsform ist die Grenzflächenschicht 221 eine dielektrische Schicht, die ein Material umfasst, das ausgewählt ist von der Gruppe aus fluoriertem Siliziumoxid (SiXOY:F) und fluoriertem Siliziumnitrid (SiXNY:F). In einem Beispiel, wie oben bemerkt, kann die Grenzflächenschicht 221 eine Dicke zwischen ungefähr 50 Angstrom (Å) und ungefähr 800 Å aufweisen. In einer Ausführungsform ist die Grenzflächenschicht 221 über der Oberfläche 205 unter Verwendung von chemischer Gasphasenabscheidungstechnik (PECVD) oder von physikalischer Gasphasenabscheidungstechnik (PVD) gebildet. Ein Beispiel eines Bildungsprozesses einer Grenzflächenschicht 221 ist weiterhin unten in Verbindung mit den 4 und 5 beschrieben.
  • Als nächstes wird bei Box 308, wie in den 2C2D und 3 gezeigt, eine Masseschicht 222 auf der Grenzflächenschicht 221 unter Verwendung eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses (PECVD) gebildet. In einer Ausführungsform umfasst die Masseschicht 222 eine Vielzahl von Passivierungsschichten, wie zum Beispiel Passivierungsschicht 222A und 222B (2E2F), welche verwendet werden, um die Oberfläche des Substrates zu passivieren. In einer Ausführungsform umfasst die Masseschicht 222 eine dünne Passivierungs- und/oder Antireflexionsschicht, die Siliziumoxid, Siliziumnitrid, amorphes Silizium, amorphes Siliziumcarbid und/oder Aluminiumoxid (Al2O3) umfasst. In einer Ausführungsform wird eine Passivierungs- und Antireflexionsschicht aus Siliziumnitrid (SiN), oder eine dünne Schicht aus amorphem Silizium (a-Si:H), oder eine Schicht aus amorphem Siliziumcarbid (a-SiC:H) und einem Stapel aus Siliziumnitrid (SiN) über der Oberfläche 205 unter Verwendung einer chemischen Gasphasenabscheidungtechnik (PECVD) auf einer Vielzahl von Solarzellensubstraten gebildet, die von einem geeigenten, großflächigen Substratträger getragen werden. In einer Ausführungsform kann die Passivierungsschicht 220 einen Schichtstapel aus intrinsischem, amorphen Silizium (i-a-Si:H) und/oder p-dotiertem amorphem Silizium (p-type a-Si:H) umfassen, gefolgt von einer Schicht aus transparentem, leitendem Oxid (TCO) und/oder einer ARC Schicht (z. B. Siliziumnitrid), welche unter Verwendung eines physkalischen Gasphasenabscheideprozesses (PVD) oder eines chemischen Gasphasenabscheidungsprozesses (z. B. PECVD) abgeschieden werden kann. Der gebildete Stapel ist im Allgemeinen konfiguriert, um einen Vorderoberflächenfeldeffekt zu erzeugen, um die Oberflächenrekombination zu reduzieren und lateralen Transport von Elektronenträgern zu nahegelegenen, dotierten Kontakten, die auf dem Substrat gebildet sind, zu begünstigen. Ein Beispiel eines Passivierungsschichtbildungsprozesses ist weiterhin unten beschrieben.
  • In einer Ausführungsform der Prozesssequenz 300 wird, nach dem Ausführen der Prozesse in Box 304, aber vor dem Ausführen der Prozesse in Box 306, die Oberfläche 205 des Substrates 210 einem ein reaktiven Gas enthaltenden RF Plasma ausgesetzt, das verwendet wird, eine zusätzliche, negative geladene Schicht zu bilden, die zwischen der Oberfläche 205 und der Grenzflächenschicht 221 angeordnet ist, unter Verwendung eines RF Plasmaprozessschritt. In einer Ausführungsform umfasst die zusätzliche negativ geladene Schicht eine Fluor (F) reiche und/oder eine Chlor (Cl) reiche Schicht, die weniger als 50 Angstrom (Å) dick ist. Es wird angenommen, dass durch ein direktes Aussetzen der Oberfläche 205 einem Plasma enthaltenden, ionisierten Fluor und/oder ionisierten Chlor, die ausgesetzte Oberfläche 205 mit einer Fluor reichen oder Chlor reichen Schicht „dotiert”, „gefüllt” oder bedeckt werden kann, die eine negative Ladung aufweist. In einer Ausführungsform ist es wünschenswert, dass die zusätzliche negativ geladene Schicht, die auf einer Silizium enthaltenden Oberfläche gebildet ist, eine negative Ladungsdichte von größer als –1 x 1012 Coulombs/cm2 aufweist. Während die abgelagerte geladene Schicht generell eine wünschenswerte Ladungsdichte enthalten wird, muss die geladene Schicht auch physikalisch, chemische, und elektrisch stabil genug sein, um die Bildung der Grenzflächenschicht darauf zu ermöglichen, ohne die Eigenschaften der gebildeten geladenen Schicht wesentlich herabzusetzen.
  • Hardwarekonfiguration
  • 4 ist eine schematische Schnittansicht einer Ausführungsform einer Kammer 400 zur plasmaunterstützten chemischen Gasphasenabscheidung (PECVD), in der ein oder mehrere der in Verbindung mit 3 diskutierter/n Prozess(e) ausgeführt werden kann/können. Eine ähnlich konfigurierte Kammer zur plasmaunterstützten chemischen Gasphasenabscheidung ist von Applied Materials, Inc. erhältlich, die in Santa Clara, Calif. ansässig ist. Es wird in Erwägung gezogen, dass andere Abscheidekammern, einschließlich derer von anderen Herstellern, verwendet werden können, die vorliegende Erfindung auszuführen.
  • Es wird angenommen, dass die Plasmaprozesskonfiguration, die in der Prozesskammer 400 bereit gestellt wird, wesentliche Vorteile gegenüber anderen Konfigurationen des Stands der Technik aufweisen, wenn sie verwendet wird, um einen oder mehrere der in 3 beschriebenen Prozess(e) auszuführen. In einer Ausführungsform ist die PECVD Kammer 400 konfiguriert, um eine Vielzahl von Substraten zur gleichen Zeit zu bearbeiten. In einer Ausführungsform ist die Kammer 400 für einen Plasma unterstützten chemischen Gasphasenabscheideprozess (PECVD) angepasst, eine Vielzahl von Substraten simultan zu bearbeiten, die in einer ebenen Arrayanordnung (5) angeordnet sind, im Gegensatz zum Bearbeiten eines vertikalen Stapels von Substraten (z. B. Batches von Substraten, die in Kassetten gestapelt sind). Bearbeiten der Batches von Substraten in einem ebenen Array ermöglicht es, dass jedes der Substrate in dem Batch direkt und gleichmäßig dem erzeugten Plasma, der Strahlungswärme und/oder Prozessgasen ausgesetzt wird. Daher wird jedes Substrat in dem ebenen Array ähnlich in dem Prozessbereich einer Prozesskammer bearbeitet, und ist daher nicht auf Prozesse vom Diffusionstyp und/oder serieller Energieübertragung auf alle Substrate in einem konventionellen Batch angewiesen, das bearbeitet wird, wie zum Beispiel ein gestapeltes oder Rücken-an-Rücken konfiguriertes Batch von Substraten, wie es üblicherweise im Stand der Technik gefunden wird.
  • In einer Konfiguration ist die PECVD Kammer 400 angepasst, einen Substratträger 425 (4 und 5) aufzunehmen, der konfiguriert ist, ein Batch von Substraten zu halten, während der Transfer- und Prozessschritte. In einer Ausführungsform weist der Substratträger 425 eine Oberflächenfläche von ungeführ 10000 cm2 oder mehr, vorzugsweise ungefähr 40000 cm2 oder mehr, und noch mehr bevorzugt von ungefähr 55000 cm2 oder mehr auf, die konfiguriert ist, ein ebenes Array von Substraten während des Bearbeitens darauf angeordnet zu tragen. In einer Ausführungsform weist der Substratträger 425 eine Vielzahl von Aussparungen (nicht gezeigt) auf, die darin gebildet sind und die angepasst sind, zwischen ungefähr 4 und ungefähr 49 Solarzellensubstrate zu halten, die 156 mm × 156 mm × 0,3 mm in einer nach-oben- oder nach-unten-Konfiguration groß sind. Der Substratträger 425 kann aus einer Keramik (z. B. Siliziumcarbid, Aluminiumoxid), Graphit, Metall, oder einem anderen geeigneten Material gebildet sein. In einer Konfiguration wird ein Batch von Solarzellensubstraten simultan in einer Vakuumumgebung oder einer inerten Umgebung (z. B. Transferkammer 520 in 5) auf dem Träger 425 übertragen, zwischen einer Vielzahl von Prozesskammern, um das Risiko einer Kontamination zu reduzieren und den Substratdurchsatz im Vergleich zu anderen Konfigurationen des Stands der Technik zu verbessern.
  • Die Kammer 400 beinhaltet generell Wände 402, einen Boden 404 und einen Showerhead 410, und einen Substrataufnahme 430, die ein Prozessvolumen 406 definiert. Das Prozessvolumen wird durch eine Klappe 408 zugänglich gemacht, so dass die Substrate, die auf dem Substratträger 425 angeordnet sind, in die Kammer 400 und aus der Kammer 400 transferiert werden können. Die Substrataufnahme 430 beinhaltet eine Substrat aufnehmende Oberfläche 432 zum Unterstützen des Substrates und Schaft 434, der mit einem Liftsystem 436b gekoppelt ist, um die Substrataufnahme 430 anzuheben und abzusenken. Eine Schattenform 433 kann optional über die Peripherie des Substratträgers 425 platziert werden. Hebestifte 438 sind beweglich durch die Substrataufnahme 430 angeordnet, um den Substratträger 425 zu und von der das Substrat aufnehmenden Oberfläche 432 zu bewegen. Die Substrataufnahme 430 kann auch eingebaute Heiz- und/oder Kühlelemente 439 beinhalten, um die Substrataufnahme 430 bei einer gewünschten Temperatur zu halten. Die Substrataufnahme 430 kann auch Erdungsbänder 431 beinhalten, um RF Erdung an der Peripherie der Substrataufnahme 430 bereit zu stellen. Beispiele von Erdungsbänder sind in dem US Patent mit der Nummer 6,024,044 , erteilt am 15. Februar 2000 an Law et al. und in der US Patentanmeldung mit der Nummer 11/613,934, eingereicht am 20. Dezember 2006 von Park et al. offenbart, welche beide durch Bezugnahme in ihrer Gänze in einem Ausmaß hierein beinhaltet sind, das nicht inkonsistent mit der vorliegenden Offenbarung ist. In einer Ausführungsform weist die Substrataufnahme 430 eine RF Quelle (nicht gezeigt) auf, die an eine Elektrode (z. B. Bezugszeichen 439) gekoppelt ist, die in der Substrataufnahme 430 eingebaut ist, so dass eine RF Ladung an die Substrate 210 angelegt werden kann, die über der Susbtrataufnahme 430 angeordnet sind.
  • Der Showerhead 410 ist mit einer Rückhaltplatte 412 an seiner Peripherie durch eine Aufhängung 414 gekoppelt. Der Showerhead 410 kann auch mit der Rückhalteplatte durch eine oder mehrere Zentrumaufnahme(n) 416 gekoppelt sein, um eine Senkung verhindern zu helfen und/oder die Geradheit/Biegung des Showerheads 410 zu kontrollieren. Eine Gasquelle 420 ist mit der Rückhalteplatte 412 gekoppelt, um Gas durch die Rückhalteplatte 412 und durch die Löcher 411 in dem Showerhead 410 zu der Substrat aufnehmenden Oberfläche 432 zu liefern. Eine Vakuumpumpe 409 ist an die Kammer 400 gekoppelt, um das Prozessvolumen 406 bei einem gewünschten Druck zu kontrollieren. Eine RF Energiequelle 422 ist an die Rückhalteplatte 412 und/oder an den Showerhead 410 gekoppelt, um RF Energie an den Showerhead 410 zu liefern, so dass ein elektrisches Feld zwischen dem Showerhead und der Substrataufnahme erzeugt wird, so dass ein kapazitiv gekoppeltes Plasma unter Verwendung der Gase erzeugt werden kann, die zwischen dem Showerhead 410 und der Substrataufnahme 430 angeordnet sind. Vielfältige RF Frequenzen können verwendet werden, wie zum Beispiel eine Frequenz zwischen ungefähr 0,3 MHz und ungefähr 200 MHz. In einer Ausführungsform wird die RF Energiequelle bei einer Frequenz von 13,56 MHz dem Showerhead (d. h. die Elektrode) bereit gestellt. Beispiele von Showerheads sind im US Patent Nummer 6,477,980 , erteilt am 12. November 2002 an White et al., in der US Publikation 20050251990 , veröffentlicht am 17. November 2006 für Choi et al., und US Publikation 2006/0060138 , veröffentlicht am 23. März 2006 für Keller et al. offenbart, die alle durch Bezugnahme in ihrer Gänze in einem Ausmaß hierein beinhaltet sind, das nicht inkonsistent mit der vorliegenden Offenbarung ist. Es wird angenommen, dass der direkte Kontakt des kapazitiv gekoppelten Plasmas mit der Prozessoberfläche 210A (4) der Substrate 210 Vorteile hat gegenüber Bauarten, die nicht alle Substrate direkt dem Plasma aussetzen, aufgrund der Fähigkeit der Konfiguration der Kammer 400, energetisierte und/oder ionisierte Spezies direkt an alle Teile der Prozessoberfläche 201A während der Bearbeitung bereit zu stellen. Der Grad der Plasmainteraktion, der auf die komplette Prozessoberfläche 210A angewendet wird, kann direkt in der Kammer 400 kontrolliert werden durch das Einstellen der RF Energie, die an den Showerhead 410 geliefert wird, des Kammerdrucks während der Bearbeitung, und/oder der Ladung, die an der Substrataufnahme 430 angelegt wird. Typische Kammerkonfigurationen, die nicht direkt aussetzen, beinhalten entfernt angetriebene Plasmakonfigurationen oder andere Waferstapelkonfigurationen, die auf der Diffusion des erzeugten Plasmas zu einem oder mehreren der Substrate, oder Teilen jedes Substrats, während des Bearbeitens beruhen.
  • Jedoch kann in manchen Ausführungsformen auch eine entfernt liegende Plasmaquelle 424, wie zum Beispiel eine induktiv gekoppelte, entfernte Plasmaquelle, zwischen der Gasquelle und der Rückplatte gekoppelt sein. In einer Prozesskonfiguration kann zwischen den Substratbearbeitungen ein Reinigunsgas an die entfernte Plasmaquelle 424 geliefert werden, so dass ein entferntes Plasma erzeugt wird und bereit gestellt wird, um Kammerkomponenten zu reinigen. Das Reinigiungsgas kann weiterhin durch die RF Energiequelle 422 angeregt werden, das am Showerhead bereit gestellt wird. Geeignete Reinigungsgase können beinhalten, sind aber nicht beschränkt auf NF3, F2 und SF6. Beispiele für entfernte Plasmaquellen sind in der US Patent Nummer 5,788,778 , erteilt am 4. August 1998 an Shang et al. offenbart, welche durch Bezugnahme in ihrer Gänze in einem Ausmaß hierein beinhaltet ist, das nicht inkonsistent mit der vorliegenden Offenbarung ist.
  • In einer Ausführungsform können die Heiz- und/oder Kühlelemente 439 eingestellt werden, eine Substrataufnahmetemperatur während der Abscheidung von ungefähr 400°C oder weniger, vorzugsweise zwischen ungefähr 100°C und ungefähr 400°C, und noch bevorzugter zwischen ungefähr 150°C und ungefähr 300°C, wie zum Beispiel ungefähr 200°C bereit zu stellen. Der Abstand zwischen der oberen Oberfläche des Substrates, das auf einem Substratträger 425 angeordnet ist, der auf der Substrat aufnehmenden Oberfläche 432 angeordnet ist, und dem Showerhead 410 kann während der Abscheidung zwischen 400 mil und ungefähr 1200 mil betragen, vorzugsweise zwischen 400 mil und 800 mil.
  • 5 ist eine schematische Draufsicht einer Ausführungsform eines Prozesssystems 500, das eine Vielzahl von Prozesskammern 531537 aufweist, wie zum Beispiel PECVD Prozesskammern 400 aus 4 oder andere geeignete Kammern, die fähig sind, die in Verbindung mit 3 beschriebenen Verfahren auszuführen. Das Prozesssystem 500 beinhaltet eine Transferkammer 520, die an eine Lastschleusenkammer 510 und die Prozesskammern 531537 gekoppelt ist. Die Lastschleusenkammer 510 ermöglicht es, dass Substrate zwischen der Außenumgebung außerhalb des Systems und der Vakuumumgebung innerhalb der Transferkammer 520 und den Prozesskammern 531537 transferiert werden können. Die Lastschleusenkammer 510 beinhaltet einen oder mehrere evakuierbare(n) Bereich(e), der/die konfiguriert ist/sind, einen oder mehrere Substratträger 425 zu enthalten, die konfiguriert sind, eine Vielzahl von Substraten 210 zu halten. Die evakuierbaren Bereiche werden während der Eingabe der Substrate in das System 500 abgepumpt und werden während der Ausgabe der Substrate aus dem System 500 belüftet während der Ausgabe der Substrate aus dem System 500. Die Transferkammer 520 weist zumindest einen Vakuumroboter 522 auf, der darin angeordnet ist und der angepasst ist, die Substratträger 425 und die Substrate zwischen der Lastschleusenkammer 510 und den Prozesskammern 531537 zu transferieren. In 5 sind sieben Prozesskammern gezeigt, jedoch kann das System 500 jede geeignete Anzahl an Prozesskammern aufweisen.
  • In einer Ausführungsform des Systems 500 ist eine erste Prozesskammer 531 konfiguriert, die Prozesse in Box 302 auszuführen, eine zweite Prozesskammer 532 ist konfiguriert, die Prozesse in Box 304 auszuführen, eine dritte Prozesskammer 533 ist konfiguriert, die Prozesse in Box 306 auszuführen, und eine vierte Prozesskammer ist konfiguriert, die Prozesse in Box 308 auszuführen, auf den Substraten. In einer anderen Ausführungsform des Systems 500, ist eine erste Prozesskammer 531 konfiguriert, die ersten Reinigungsprozesse bei Box 302 und 304 auszuführen, eine zweite Prozesskammer 532 ist konfiguriert, den Prozess in Box 306 auszuführen, und eine dritte Prozesskammer 533 ist konfiguriert, den Prozess in Box 308 auszuführen, auf den Substraten. In noch einer weiteren Ausführungsform des Systems 500, ist eine erste Prozesskammer 531 konfiguriert, den/die Prozess(e) in Box 302 und 304 auszuführen, und eine zweite Prozesskammer 532 ist konfiguriert, den/die Prozess(e) in Box 306 und 308 auszuführen, auf den Substraten. In noch einer weiteren Ausführungsform des Systems 500 ist zumindest eine der Prozesskammern 531537 konfiguriert, alle Prozesse auf den Substraten auszuführen, wie zum Beispiel Box 302, 303, 304, 306 und 308.
  • Verfahren zum Bilden einer Passivierungsschicht
  • Zurück Bezug nehmend auf 3, werden die Oberflächen der Substrate 210 während einer Phase der Prozesssequenz 300 einer Vielzahl von Prozessschritten ausgesetzt, die verwendet werden, um die Grenzflächenschicht 221 und die Masseschicht 222 auf den Oberflächen des Substrates zu bilden. Das folgende sind erläuternde Beispiele für Prozesse, die bei den Boxen 306308 ausgeführt werden und die in einer Prozesskammer ähnlich der oben diskutierten Prozesskammer 400 ausgeführt werden können. Die unten beschriebenen Verfahren beinhalten generell Verfahren zum Vorbereiten einer Oberfläche eines Substrates unter hauptsächlicher Verwendung von Trockenprozesstechniken, die in einer oder mehreren Prozesskammer(n) (z. B. Prozesskammer 400) ausgeführt werden, die in einem oder mehreren Clustertool(s), wie zum Beispiel Systemen 500 gefunden werden. In einer Ausführungsform werden alle Prozesse, die in der Prozesssequenz 300 ausgeführt werden, in einer oder mehreren Prozesskammer(n) 531537 ausgeführt, die in einem oder mehreren System(en) 500 gefunden werden. Man wird bemerken, dass die Hardware-Konfigurationen, die in den 4 und 5 gezeigt sind, nicht als den Schutzumfang der hierin beschriebenen Erfindung beschränkend beabsichtigt sind.
  • Wie oben erwähnt, kann sich aufgrund des dem Sauerstoff Ausgesetztsein der Oberfläche 205 und/oder dem Vorgang des Ausführens von verschiedenen Hochtemperaturprozessschritten auf dem Substrat 210 eine Oxidschicht 215 und ein Totbereich 216 auf der Oberfläche 205 bilden. In vielen Ausführungsformen wird dies nach der Bildung der letzten Schicht der Solarzellenverbindung auftreten, wie zum Beispiel einer p-dotierten oder n-dotierten Schicht. In anderen Ausführungsformen wird dies vor dem Bilden einer oder mehrerer leitenden Schicht(en) auftreten, wie zum Beispiel nach dem Bilden einer hochgradig dotierten, oder einer degenerativ dotierten, p-dotierten Schicht. Es sollte bemerkt werden, dass, während die verschiedenen Ausführungsformen der hierin beschriebenen Erfindung in Bezug auf das Reinigen einer Oberfläche einer abgeschiedenen Schicht diskutiert werden, wie zum Beispiel Emitterbereich 202, diese Konfiguration den Schutzumfang der Erfindung nicht als beschränkend beabsichtigt ist, da die hierein beschriebene Vorrichtung und der/die Reinigungsprozess(e) während jeder Phase eines Solarbildungsprozesses verwendet werden können, ohne vom Basisschutzbereich der hierin beschriebenen Erfindung abzuweichen.
  • In einer Ausführungsform werden die Substrate dann, nach dem Ausführen der Prozesse bei den Boxen 302304 an einem Batch von Substraten 210, die auf einem Substratträger 425 angeordnet sind, in einer Prozesskammer positioniert, so dass die bei Box 306 ausgeführten Prozesse an den Substraten ausgeführt werden können. In einer Ausführungsform, bei Box 306, wird das Substrat einem einen Precursor enthaltenden Gas und einem RF Plasma ausgesetzt, um eine Grenzflächenschicht 221 auf den ausgesetzten Oberflächen der Substrate zu bilden. In einem Beispiel wird das Substrat einem 13,56 MHz RF Plasma ausgesetzt, das einen Betrag eines Precursorgases enthält, das verwendet wird, einen dielektrischen Film zu bilden, der Siliziumoxid (SiXOY), Siliziumoxynitrid (SiON), Siliziumoxycarbonnitrid (SiOCN), Siliziumoxycarbid (SiOC), Titanoxid (TiXOY), Tantalumoxide (TaXOY), Lanthanoxid (LaXOY), Hafniumoxid (HfXOY), Titannitrid (TiXNY), Tantalumnitrid (TaXNY), Hafniumnitrid (HfN), Hafniumoxynitrid (HfON), Lanthannitrid (LaN), Lanthanoxynitrid (LaON), chloriertes Siliziumnitrid (SiXNY:Cl), chloriertes Siliziumoxid (SiXOY:Cl), amorphes Silizium, amorphes Siliziumcarbid und/oder Aluminiumoxid (Al2O3) umfasst. In einem anderen Beispiel wird das Substrat einem 13,56 MHz RF Plasma ausgesetzt, das einen Betrag eines Precursorgases enthält, das verwendet wird, einen dielektrischen Film zu bilden, der fluoriertes Siliziumoxid (SiXOY:F) und fluoriertes Siliziumnitrid (SiXNY:F) umfasst. In einem Beispiel ist das Precursor gas eine Mischung von Gasen umfassend Silan (SiH4), Stickstoff (N2), oder Ammoniak (NH3), und Fluor (F2).
  • In einer Ausführungsform umfasst die Grenzflächenschicht 221 einen Siliziumoxidfilm, wie zum Beispiel einen SNOWTM Siliziumoxidfilmschicht, der von Applied Materials Inc. aus Santa Clara, Kalifornien erhältlich ist. Im Allgemeinen umfasst der Prozess zum Bilden einer SNOWTM Filmschicht Abscheiden eines Films durch gleichzeitiges Aussetzen der Oberfläche 205 einem Silizium enthaltenden Precursor und einem RF Plasma. Der Silizium enthaltende Precursor kann Oktamethyl-Zyklotetrasiloxan (OMCTS), Methyldiethoxysilan (MDEOS), bis(Tertiär-Butylamino)silan (BTBAS), Tridimethylaminosilan (TriDMAS), Silan, Disilane, Dichlorosilan, Trichlorosilan, Dibromosilan, Silizium Tetrachlorid, Silizium Tetrabromid, oder Kombinationen davon beinhalten. In einem Aspekt sind OMCTS und Silan bevorzugte Silizium enthaltende Precursor. Gase, die optional zur selben Zeit in die Kammer eingeleitet werden, wie der Silizium enthaltende Precursor, beinhalten Trägergase, wie zum Beispiel Helium, Stickstoff, Sauerstoff, Stickstoffoxid und Argon. Sauerstoff und/oder Helium sind die bevorzugten zusätzlichen Gase zur Einleitung in die Kammer, falls ein zusätzliches Gas verwendet wird. In einem Beispiel wird die SNOWTM Siliziumoxidschicht durch erst Liefern eines Silizium enthaltenden Precursors und eines Trägergases (z. B. Helium) in die Kammer mit einer gewünschten Flussrate gebildet, um einen Kammerdruck größer als ungefähr 5 mTorr, wie zum Beispiel zwischen ungefähr 1,8 Torr und ungefähr 10 Torr zu erhalten. Das Verhältnis der Flussrate des Silizium enthaltenden Precursors, z. B. Oktamethylzyklotetrasiloxan, zur Flussrate des Trägergases, z. B. Helium, in die Kammer ist zwischen ungefähr 1:1 und ungefähr 1:100. In einem Fall kann die Temperatur einer Substrataufnahme 430, die in der Prozesskammer 400 angeordnet ist, zwischen ungefähr 200°C und ungefähr 400°C liegen. Der Silizium enthaltende Precursor kann in die Kammer für eine Zeitspanne geliefert werden, die ausreichend ist, eine Schicht mit einer Dicke von zwischen ungefähr 50 Å und ungefähr 800 Å abzulagern. Das Plasma kann durch das Liefern von RF Energie zwischen ungefähr 3000 W und ungefähr 12000 W bei einer Frequenz von zwischen ungefähr 40 kHz und 100 MHz, wie zum Beispiel ungefähr 13,56 MHz gebildet werden. Die RF Energie kann an den Showerhead 410 und/oder eine Substrataufnahme 430 geliefert werden. Als nächstes wird eine Sauerstoffplasmabehandlung auf der abgelagerten Schicht ausgeführt, um eine Schicht von Siliziumoxid zu erzeugen. Das Sauerstoff enthaltende Gas, wie zum Beispiel Sauerstoff oder Stickstoffoxid kann in die Kammer mit einer Flussrate eingeführt werden, um einen Kammerdruck von zwischen ungefähr 500 mTorr und ungefähr 10 Torr zu erhalten. Das Sauerstoff enthaltende Gas kann in die Kammer für eine Zeitpanne, wie zum Beispiel zwischen ungefähr 0,1 Sekunden und ungefähr 120 Sekunden eingeleitet werden. Das Sauerstoffplasma kann durch Anwenden von RF Energie zwischen ungefähr 50 W und ungefähr 3000 W in der Kammer bei einer Frequenz zwischen ungefähr 40 kHz und 100 Mhz, wie zum Beispiel 13,56 Mhz, gebildet werden. Die Temperatur der Substrate wird bei einer Temperatur zwischen ungefähr 175°C und ungefähr 500°C gehalten, während das Sauerstoff enthaltende Gas in die Kammer fließt.
  • In einer Ausführungsform des Verfahrens zum Bilden eines Siliziumoxidfilms werden der Schritt zum Abscheiden des Silizium enthaltenden Precursors und der Schritt der Sauerstoffpasmabehandlung gleichzeitig auf dem Substrat ausgeführt, um eine Schicht zu bilden, die wünschenswerte physikalische und Ladung enthaltende Eigenschaften aufweist. In diesem kombinierten Verfahrensschritt werden ein Silizium enthaltender Precursor und ein Sauerstoff enthaltendes Gas beide in den Prozessbereich der Prozesskammer geliefert, während ein RF Plasma in der Prozesskammer für eine Zeitspanne gebildet wird, die ausreichend ist, eine Schicht mit einer gewünschten Dicke, wie zum Beispiel zwischen ungefähr 50 Å und ungefähr 800 Å abzulagern. In einem Beispiel kann das RF Plasma durch Liefern von RF Energie zwischen ungefähr 3000 W und ungefähr 12000 W bei einer Frequenz von ungefähr 13,56 MHz gebildet werden, während ein OMCTS Precursor und Sauerstoffgas in den Prozessbereich der Kammer geliefert werden. Die Temperatur der Substrate wird bei einer Temperatur zwischen ungefähr 175°C und ungefähr 500°C gehalten, während das Sauerstoff enthaltende Gas in die Kammer fließt.
  • In einer anderen Ausführungsform eines Prozesses, der bei Box 306 ausgeführt wird, umfasst der Prozess zum Bilden einer Filmschicht der Grenzschicht 221 das Ablagern einer fluoriertes oder chloriertes Siliziumnitrid enthaltenden Filmschicht. In einer Ausführungsform beinhaltet der Prozess das Einleiten einer Precursorgasmischung umfassend Silan (SiH4), Stickstoff (N2), und Fluor (F2) oder Chlor (Cl2). In einem Beispiel können die Flussraten für ein Prozessgasgemisch, das Silan, Ammoniak, Fluor und Wasserstoff enthält, 3,5 sccm, 50 sccm, 5 sccm und 80 sccm, jeweils pro Liter Kammervolumen sein, um einen Kammerdruck von 1,5 Torr zu erhalten, während eine RF Energie von 0,74 W/cm2 bei einer Frequenz von 13,56 Mhz an den Showerhead 410 angelegt wird, um ein Plasma für eine Zeitspanne von ungefähr 9 Sekunden zu erzeugen. Die Temperatur der Substrataufnahme 430 wird generell bei einer Temperatur von ungefähr 390°C während dieses Prozessschrittes gehalten.
  • In einer anderen Ausführungsform eines Prozesses, der bei Box 306 ausgeführt wird, umfasst der Prozess zum Bilden einer Filmschicht der Grenzflächenschicht 221 das Abscheiden einer Siliziumoxid enthaltender Filmschicht unter Verwendung eines schnellen thermischen Oxidationsprozesses (rapid thermal oxidation process). In einer Ausführungsform beinhaltet der Prozess das Einleiten eines Sauerstoff enthaltenden Gases und das Heizen des Substrate auf eine Temperatur von größer als 800°C für ungefähr 0,5 und ungefähr 5 Sekunden. In einer Ausführungsform wird ein Fluor (F2) oder Chlor (Cl2) enthaltendes Gas dem Sauerstoff enthaltenden Gas während des Bearbeitens hinzugefügt, um das gebildete Siliziumoxid mit Fluor oder Chlor zu dotieren (z. B. ungefähr 1 Atom% Fluor). In einem Beispiel wird ein Siliziumoxidfilm durch einen schnellen thermischen Oxidationsprozess gebildet, der eine oder mehrere Lampe(n) verwendet, um eine Substratoberfläche schnell aufzuheizen, um eine oxidierte Schicht zu bilden. In einem Beispiel beinhaltet die schnelle thermische Oxidierung eines Siliziumsubstrates das Fließen lassen von Sauerstoff und Wasserstoffgasen (z. B. O2 + H2), zum Beispiel bei einer ausreichend hohen Flussrate, um einen Prozessdruck von zwischen 0,5–50 Torr zu erhalten, und einer Mischung, um eine H2 Konzentration über der Oberfläche des Substrates von ungefähr 1–13% zu erhalten. In diesem Beispiel kann die Prozesstemperatur zwischen ungefähr 800–1000°C für zwischen ungefähr 5–90 Sekunden liegen, um einen SiO2 dielektrischen Film einer gewünschten Dicke zu bilden, wie oben diskutiert.
  • In einer anderen Ausführungsform eines Prozesses, der bei Box 306 ausgeführt wird, umfasst der Bildungsprozess des Films der Grenzflächenschicht 221 das Ablagern eines Silizium enthaltenden Films unter Verwendung eines PECVD Ablagerungsprozesses. In einem Beispiel wird die Grenzflächenschicht 221 durch das Fließen lassen von TEOS bei einer Flussrate von 185 sccm, einer Helium Flussrate von 50 sccm, einer Sauerstoff Flussrate von 3500 sccm gebildet, um einen Druck von ungefähr 0,85 Torr zu erreichen, und durch das Bereit stellen von 1150 W von 13,56 Mhz RF Energie und einer Substrataufnahmetemperatur von 430°C. In einer Ausführungsform kann ein PECVD Prozess das Einleiten eines Fluor (F2), oder Chlor (Cl2), enthaltenden Gases beinhalten, um den gebildeten Siliziumoxidfilm mit einem Prozentbereich von Fluor oder Chlor zu dotieren (z. B. ungefähr 1 Atom% Fluor).
  • In einer anderen Ausführungsform eines Prozesses, der bei Box 306 ausgeführt wird, umfasst der Bildungsprozess des Films der Grenzflächenschicht 221 das Ablagern eines Aluminiumoxid enthaltenden Films unter Verwendung eines PECVD Ablagerungsprozesses. In einem Beispiel wird die Grenzflächenschicht 221 durch das Fließen lassen von Trimethylaluminum (TMA) bei einer Flussrate von 20 sccm pro Liter des Kammervolumens, Fließen lassen eines Sauerstoff übertragenden Gases (z. B. Sauerstoff (O2) oder Stickstoffoxid N2O) bei einer Flussrate von 50 sccm pro Liter des Kammervolumens, um einen Druck von ungefähr 500 mTorr bis ungefähr 10 Torr zu erhalten, durch das Bereit stellen von ungefähr 2000 W bis ungefähr 12000 W der 13,56 Mhz RF Energie, und durch Halten der Substrataufnahmetemperatur bei zwischen ungefähr 175 und ungefähr 500°C, gebildet. Aufgrund der Bindungsstruktur von Aluminium und Sauerstoff in einem abgelagerten Aluminiumoxidfilm, kann die eingeschlossene negative Ladung in der gebildeten Schicht durch Einstellen der Temperatur, des Prozessdruckes und der RF Plasmaenergie variiert werden.
  • Als nächstes wird bei Box 308 das Substrat einem ein reaktives Gas enthaltenden RF Plasma ausgesetzt, das verwendet wird, um eine Masseschicht 222 zu bilden, wie zum Beispiel einen hydrierten SiN Vielschichtfilm auf der Grenzflächenschicht 221, die auf den Substraten 210 gebildet ist. 6 zeigt eine beispielhafte Prozesssequenz 600, die verwendet wird, eine Passivierungsschicht, die in Box 308 abgelagert wird, auf einem Solarzellensubstrat 210 zu bilden. In einer Ausführungsform der Prozessssequenz 600 wird bei Box 602, nachdem die Substrate 210 in einer anderen der Prozesskammern 531537 in dem Prozesssystem 500 positioniert wurde, oder, alternativ, wird dieselbe Prozesskammer verwendet, um einen oder mehrere der vorangehenden Schritt(e) zu bilden, eine Prozessgasmischung in die Kammer eingeleitet. Die Prozessgasmischung beinhaltet einen Precursorgasmischung und ein Streckmittel eines Wasserstoffgases (H2). Das Wasserstoffgasstreckmittel kann eine Flussrate aufweisen, die so groß ist, wie ungefähr zweimal die Flussrate der Precursorgasmischung. Die Precursorgasmischung kann eine Kombinaion von Silan (SiH4) und Stickstoff (N2), Silan und Ammoniak (NH3), oder Silan, Ammoniak und Stickstoff sein. In einem Beispiel können die Flussraten für eine Prozessgasmischung, die Silan, Ammoniak und Stickstoff enthält, 3,5 sccm, 50 sccm, und 80 sccm, jeweils pro Liter des Kammervolumens sein. Flussraten für eine Prozessgasmischung, die Silan, Ammoniak, Stickstoff, und Wasserstoff enthält, können 5 sccm, 16 sccm, 40 sccm und 80 sccm, jeweils pro Liter des Kammervolumens sein. Die Temperatur der Substrataufnahme 430 wird generell bei einer Temperatur von ungefähr 390°C während dieses Prozessschrittes gehalten.
  • Als nächstes wird dann bei Box 604 ein Plasma in der Prozesskammer erzeugt, um eine SiN Schicht auf den Substraten 210 abzulagern, wobei die SiN Schicht geeignet ist, als eine kombinierte ARC und Passivierungsschicht für eine Solarzelle verwendet zu werden. Und zwar weist die so abgeschiedene SiN Schicht eine Massendichte von zwischen 2,6 und 2,8 g/cm3, einen Brechungsindex von zwischen 2,0 und 2,2, und eine Wasserstoffkonzentration von zwischen ungefähr 5 Atomprozent und 15 Atomprozent auf. In einer Ausführungsform kann ein Kammerdruck von 1,5 Torr in der Kammer gehalten werden und eine RF Energieinstensität von 0,74 W/cm2 bei einer Frequenz von 13,56 MHz wird an den Showerhead 410 der Prozesskammer 400 angelegt, um ein Plasma für eine Zeitspanne von ungefähr 9 Sekunden zu erzeugen, während die erste Prozessgasmischung an das Prozessvolumen 406 geliefert wird.
  • Als nächstes wird bei Box 606 ein Fluss der ersten Prozessgasmischung gestoppt, und eine zweite Prozessgasmischung wird in die Kammer geliefert. In einem Beispiel kann die zweite Prozessgasmischung 5,5 sccm Silan (SiH4), 16 sccm Ammoniak (NH3) und 40 sccm Stichstoff (N2) pro Liter Kammervolumen enthalten. In einer Ausführungsform wird das Plasma, das während des in Box 604 ausgeführten Prozesses erzeugt wurde, in der Prozesskammer gelöscht und der Fluss der ersten Prozessgasmischung wird gestoppt, bevor die zweite Prozessgasmischung in die Prozesskammer eingeleitet wird. In einer Ausführungsform kann die Prozess”unterbrechung”, die bei Box 606 ausgeführt wird, ungefähr 2 Sekunden dauern. In diesem Fall kann die erste Prozessgasmischung im Wesentlichen aus der Kammer entfernt werden, bevor die zweite Prozessgasmischung in die Kammer eingeleitet wird. Die Temperatur der Substrataufnahme 430 wird generell bei einer Temperatur von ungefähr 390°C gehalten.
  • Zum Schluss wird bei Box 608 eine SiN Masseschicht auf der Grenzflächenschicht abgelagert, um eine Doppelstapel SiN ARC/Passivierungsschicht auf den Substraten 210 zu bilden. Auf diese Art kann ein Großteil der SiN Passivierungsschicht durch einen wesentlich schnelleren Prozess abgelagert werden, ohne die Qualität der Solarzellenpassivierung zu beeinflussen. Wenn das Plasma in der Kammer vor dem Einführen der zweiten Prozessgasmischung gelöscht wird, dann wird das Plasma wieder entzündet, um die Ablagerung der SiN Masseschicht zu ermöglichen. In einer Ausführungsform des Prozesses 608 kann ein Kammerdruck von 1,5 Torr in der Prozesskammer gehalten werden, und eine RF Energieintensität von 0,74 W/cm2 bei einer Frequenz von 13,56 Mhz wird an den Showerhead 410 der Prozesskammer 400 angelegt, um ein Plasma für eine Zeitspanne von ungefähr 15 Sekunden zu erzeugen, während die zweite Prozessgasmischung an das Prozessvolumen 406 geliefert wird.
  • Während das vorhergehende auf Ausführungsformen der vorliegenden Erfindung gerichtet ist, können andere und weitere Ausführungsformen der Erfindung entworfen werden, ohne vom Basisschutzbereich davon abzuweichen, und der Schutzbereich davon wird von den folgenden Ansprüchen bestimmt.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 6024044 [0043]
    • US 6477980 [0044]
    • US 20050251990 [0044]
    • US 2006/0060138 [0044]
    • US 5788778 [0045]

Claims (17)

  1. Ein Verfahren zum Bilden zumindest eines Teils einer Solarzellenvorrichtung, umfassend: Bilden einer ersten Schicht, die eine negative Ladung aufweist, über eine Oberfläche eines p-dotierten Bereichs, der in einem Solarzellensubstrat gebildet ist; und Bilden einer Masseschicht über der ersten Schicht.
  2. Das Verfahren nach Anspruch 1, wobei die gebildete Masseschchicht eine positive Gesamtladung aufweist.
  3. Das Verfahren nach Anspruch 2, wobei der Betrag der negativen Gesamtladung, der in der ersten Schicht vorhanden ist, größer oder gleich dem Betrag der positiven Gesamtladung in der Masseschicht ist.
  4. Das Verfahren nach Anspruch 1, wobei de r Betrag der negativen Gesamtladung, der in der ersten Schicht vorhanden ist, angepasst ist, eine Ladungsdichte größer als 1 × 1012 Coulombs/cm2 an der Oberfläche des Solarzellensubstrates zu erreichen.
  5. Das Verfahren nach Anspruch 1, weiterhin umfassend: eine Oberfläche des p-dotierten Bereiches, der auf dem Solarzellensubstrat gebildet ist, vor dem Formen der ersten Schicht einem reaktiven Gas Aussetzen, das Stickstoff, Fluor, oder Wasserstoff umfasst; und die Oberfläche des p-dotierten Bereiches vor dem Bilden der ersten Schicht einem RF Plasma Aussetzen, um zumindest einen Teil eines toten Bereichs zu beseitigen, der auf der Oberfläche angeordnet ist.
  6. Das Verfahren nach Anspruch 1, wobei Bilden der ersten Schicht umfasst: Erzeugen eines RF Plasmas umfassend ein Fluor enthaltendes Gas oder ein Chlor enthaltendes Gas, um die erste Schicht auf der Oberfläche abzulagern.
  7. Das Verfahren nach Anspruch 1, wobei Bilden der ersten Schicht umfasst Bilden einer Schicht umfassend Siliziumoxid (SiXOY), Siliziumoxynitrid (SiON), Siliziumoxycarbonitrid (SiOCN), Siliziumoxycarbid (SiOC), Titanoxid (TiXOY), Tantalumoxide (TaXOY), Lanthanoxid (LaXOY), Hafniumoxid (HfXOY), Titannitrid (TiXNY), Tantalumnitrid (TaXNY), Hafniumnitrid (HfN), Hafniumoxynitrid (HfON), Lanthannitrid (LaN), Lanthanoxynitrid (LaON), chloriertes Siliziumnitrid (SiXNY:Cl), chloriertes Siliziumoxid (SiXOY:Cl), fluoriertes Siliziumoxid (SiXOY:F), fluoriertes Siliziumnitrid (SiXNY:F), amorphes Silizium, amorphes Siliziumcarbid oder Aluminiumoxid (Al2O3).
  8. Das Verfahren nach Anspruch 1, wobei Bilden der ersten Schicht weiterhin umfasst: Positionieren des Solarzellensubstrates in einem Prozessbereich einer Prozesskammer; und eine Gasmischung umfassend Silan (SiH4), Stickstoff und ein Halogengas in den Prozessbereich strömen lassen, wobei das Halogengas Fluor oder Chlor umfasst.
  9. Das Verfahren nach Anspruch 8, wobei Bilden der ersten Schicht weiterhin umfasst: Bilden eines kapazitiv gekoppelten Plasmas über einer Oberfläche des Solarzellensubstrates durch Zuführen von RF Energie zu einer Elektrode, die über der Oberfläche des Solarzellensubstrates angeordnet ist.
  10. Eine Passivierungsschichtstruktur, die in einer Solarzellenvorrichtung gebildet ist, umfassend: ein oder mehrere p-dotierte(r) Bereich(e), der/die in einer Oberfläche eines Solarzellensubstrates gebildet ist/sind; eine erste Schicht, die eine negative Gesamtladung aufweist, wobei die erste Schicht über dem einen/den mehreren p-dotierten Bereich(en) angeordnet ist; und eine Masseschicht, die über der ersten Schicht angeordnet ist, wobei die Masseschicht eine positive Gesamtladung aufweist.
  11. Die Passivierungsschichtstruktur nach Anspruch 10, wobei der Betrag der negativen Gesamtladung, der in der ersten Schicht vorhanden ist, größer oder gleich dem Betrag der positiven Gesamtladung in der Masseschicht ist.
  12. Die Passivierungsschichtstruktur nach Anspruch 10, wobei der Betrag der negativen Gesamtladung, der in der ersten Schicht vorhanden ist, angepasst ist, eine Ladungsdichte größer als 1 × 1012 Coulombs/cm2 an der Oberfläche des Solarzellensubstrates zu erreichen.
  13. Die Passivierungsschichtstruktur nach Anspruch 10, wobei die erste Schicht umfasst Siliziumoxid (SiXOY), Siliziumoxynitrid (SiON), Siliziumoxycarbonitrid (SiOCN), Siliziumoxycarbid (SiOC), Titanoxid (TiXOY), Tantalumoxide (TaXOY), Lanthanoxid (LaXOY), Hafniumoxid (HfXOY), Titannitrid (TiXNY), Tantalumnitrid (TaXNY), Hafniumnitrid (HIN), Hafniumoxynitrid (HfON), Lanthannitrid (LaN), Lanthanoxynitrid (LaON), chloriertes Siliziumnitrid (SiXNY:Cl), chloriertes Siliziumoxid (SiXOY:Cl), amorphes Silizium, amorphes Siliziumcarbid oder Aluminiumoxid (Al2O3).
  14. Die Passivierungsschichtstruktur nach Anspruch 10, wobei das Solarzellensubstrat ein n-dotiertes Substrat umfasst, das eine erste Oberfläche aufweist; und der eine oder die mehreren p-dotierte(n) Bereich(e) eine p-dotierte Schicht umfasst/umfassen, die auf der ersten Oberfläche des n-dotierten Substrates angeordnet ist.
  15. Eine Passivierungsschichtstruktur, die in einer Solarzellenvorrichtung gebildet ist, umfassend: ein oder mehr p-dotierte(r) Bereich(e), der/die in einer Oberfläche eines Solarzellensubstrates gebildet ist/sind; eine erste Schicht, die über dem einen oder den mehreren p-dotierten Bereich(en) angeordnet ist, wobei die erste Schicht Fluor oder Chlor umfasst, und zumindest zwei Elemente ausgewählt aus einer Liste umfassend Sauerstoff, Stickstoff, Silizium und Aluminium; und eine Masseschicht, die über der ersten Schicht angeordnet ist, wobei die Masseschicht eine positive Gesamtladung aufweist und Silizium und Stickstoff umfasst.
  16. Die Passivierungsschichtstruktur nach Anspruch 15, wobei die erste Schicht weiterhin einen Betrag an negativer Gesamtladung umfasst, der größer oder gleich dem Betrag der positiven Gesamtladung in der Masseschicht.
  17. Die Passivierungsschichtstruktur nach Anspruch 15, wobei die erste Schicht weiterhin einen Betrag an negativer Gesamtladung umfasst, um eine Ladungsdichte größer als 1 × 1012 Coulombs/cm2 an der Oberfläche des Solarzellensubstrates zu erreichen.
DE112011101134T 2010-03-30 2011-03-10 Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich Withdrawn DE112011101134T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31914110P 2010-03-30 2010-03-30
US61/319,141 2010-03-30
PCT/US2011/027914 WO2011126660A2 (en) 2010-03-30 2011-03-10 Method of forming a negatively charged passivation layer over a diffused p-type region

Publications (1)

Publication Number Publication Date
DE112011101134T5 true DE112011101134T5 (de) 2013-01-10

Family

ID=44708209

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011101134T Withdrawn DE112011101134T5 (de) 2010-03-30 2011-03-10 Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich

Country Status (6)

Country Link
US (1) US20110240114A1 (de)
JP (1) JP2013524510A (de)
CN (1) CN102834930A (de)
DE (1) DE112011101134T5 (de)
TW (1) TW201143125A (de)
WO (1) WO2011126660A2 (de)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8183081B2 (en) * 2008-07-16 2012-05-22 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
KR101445625B1 (ko) 2008-12-10 2014-10-07 어플라이드 머티어리얼스, 인코포레이티드 스크린 프린팅 패턴 정렬을 위한 향상된 비젼 시스템
US9202960B2 (en) * 2010-03-30 2015-12-01 Sunpower Corporation Leakage pathway layer for solar cell
CN104094418A (zh) * 2012-02-17 2014-10-08 应用材料公司 硅基太阳能电池的钝化薄膜堆叠
DE102012101456A1 (de) * 2012-02-23 2013-08-29 Schott Solar Ag Verfahren zum Herstellen einer Solarzelle
CN102623558B (zh) * 2012-03-27 2014-07-16 山东力诺太阳能电力股份有限公司 酸法后制绒无死层发射极的制备工艺
CN103578904B (zh) * 2012-07-18 2016-05-25 中微半导体设备(上海)有限公司 一种用于多腔室等离子处理装置的减少颗粒污染的方法
EP2701204B1 (de) * 2012-08-24 2021-02-24 Industrial Technology Research Institute Solarzellenmodul
TWI474488B (zh) * 2012-09-21 2015-02-21 Ind Tech Res Inst 太陽能電池
CN103050553B (zh) * 2012-12-29 2015-06-24 中国科学院沈阳科学仪器股份有限公司 一种双面钝化晶硅太阳能电池及其制备方法
KR101631450B1 (ko) * 2013-03-05 2016-06-17 엘지전자 주식회사 태양 전지
US9559222B2 (en) * 2013-08-14 2017-01-31 Arizona Board Of Regents On Behalf Of Arizona State University Method and tool to reverse the charges in anti-reflection films used for solar cell applications
US20160268462A1 (en) * 2013-10-25 2016-09-15 Sharp Kabushiki Kaisha Photoelectric conversion element
US20160260602A1 (en) * 2013-11-04 2016-09-08 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
CN103746009A (zh) * 2014-01-23 2014-04-23 通用光伏能源(烟台)有限公司 一种太阳能电池的钝化层及其制备工艺
WO2015130261A1 (en) * 2014-02-25 2015-09-03 Empire Technology Development Llc Silicon chip with refractive index gradient for optical communication
KR101929443B1 (ko) * 2014-04-29 2019-03-14 엘지전자 주식회사 반도체 화합물 태양 전지
CN104064623B (zh) * 2014-05-27 2017-03-29 中国科学院电工研究所 一种提升太阳电池转换效率的后处理方法
CN104037245B (zh) * 2014-07-01 2017-11-10 中国科学院宁波材料技术与工程研究所 具有带负电荷抗反射层的太阳电池及其制法
KR101541252B1 (ko) * 2014-10-13 2015-08-04 한양대학교 에리카산학협력단 태양 전지 및 그 제조 방법
CN104362240B (zh) * 2014-10-31 2017-10-20 广东德力光电有限公司 一种LED芯片的Al2O3/SiON钝化层结构及其生长方法
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
DE102015226516B4 (de) * 2015-12-22 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren zur Dotierung von Halbleitersubstraten mittels eines Co-Diffusionsprozesses
CN107452830B (zh) * 2016-05-31 2019-07-26 比亚迪股份有限公司 一种背钝化太阳能电池及其制备方法
US9953839B2 (en) * 2016-08-18 2018-04-24 International Business Machines Corporation Gate-stack structure with a diffusion barrier material
JP2018041836A (ja) * 2016-09-07 2018-03-15 キヤノン株式会社 固体撮像装置およびその製造方法ならびにカメラ
CN107293614A (zh) * 2017-05-10 2017-10-24 东方环晟光伏(江苏)有限公司 电池片生成热氧化钝化层的方法
CN113056807B (zh) * 2018-11-30 2024-03-22 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
CN110246905A (zh) * 2019-05-31 2019-09-17 苏州腾晖光伏技术有限公司 一种硅太阳能电池及其制备方法
CN110148637A (zh) * 2019-06-02 2019-08-20 苏州腾晖光伏技术有限公司 一种太阳能电池减反射膜结构
CN112349792B (zh) * 2020-11-06 2023-01-31 浙江师范大学 一种单晶硅钝化接触结构及其制备方法
CN112563342A (zh) * 2020-12-04 2021-03-26 浙江晶科能源有限公司 一种光伏电池的钝化层结构、其制备方法及光伏电池
CN112713203A (zh) * 2021-01-19 2021-04-27 天合光能股份有限公司 一种新型太阳能电池叠层钝化结构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US20050251990A1 (en) 2004-05-12 2005-11-17 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060060138A1 (en) 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US6825133B2 (en) * 2003-01-22 2004-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Use of fluorine implantation to form a charge balanced nitrided gate dielectric layer
JP2004193350A (ja) * 2002-12-11 2004-07-08 Sharp Corp 太陽電池セルおよびその製造方法
US7659475B2 (en) * 2003-06-20 2010-02-09 Imec Method for backside surface passivation of solar cells and solar cells with such passivation
US20050181535A1 (en) * 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
US7432175B2 (en) * 2005-01-07 2008-10-07 Huffaker Diana L Quantum dots nucleation layer of lattice mismatched epitaxy
US7554031B2 (en) * 2005-03-03 2009-06-30 Sunpower Corporation Preventing harmful polarization of solar cells
JP2006332510A (ja) * 2005-05-30 2006-12-07 Kyocera Corp 太陽電池素子の製造方法
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP5347228B2 (ja) * 2007-03-05 2013-11-20 日本電気株式会社 電界効果トランジスタ
CN101689580B (zh) * 2007-03-16 2012-09-05 Bp北美公司 太阳能电池
DE102007054384A1 (de) * 2007-11-14 2009-05-20 Institut Für Solarenergieforschung Gmbh Verfahren zum Herstellen einer Solarzelle mit einer oberflächenpassivierenden Dielektrikumdoppelschicht und entsprechende Solarzelle
TW200929575A (en) * 2007-12-28 2009-07-01 Ind Tech Res Inst A passivation layer structure of the solar cell and the method of the fabricating
BRPI0822196A2 (pt) * 2008-01-23 2015-06-23 Solvay Fluor Gmbh Métodos para fabricar uma célula solar a partir de uma pastilha de silício e visores de painel plano, célula solar, e, painel solar
KR102017558B1 (ko) * 2009-09-18 2019-09-03 신에쓰 가가꾸 고교 가부시끼가이샤 태양전지, 그 제조방법 및 태양전지 모듈
US8603900B2 (en) * 2009-10-27 2013-12-10 Varian Semiconductor Equipment Associates, Inc. Reducing surface recombination and enhancing light trapping in solar cells
KR20120092184A (ko) * 2009-12-07 2012-08-20 어플라이드 머티어리얼스, 인코포레이티드 도핑된 영역을 세정하고 도핑된 영역 위에 음으로 대전된 패시베이션 층을 형성하는 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US20050251990A1 (en) 2004-05-12 2005-11-17 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060060138A1 (en) 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support

Also Published As

Publication number Publication date
US20110240114A1 (en) 2011-10-06
JP2013524510A (ja) 2013-06-17
WO2011126660A3 (en) 2012-01-05
CN102834930A (zh) 2012-12-19
WO2011126660A2 (en) 2011-10-13
TW201143125A (en) 2011-12-01

Similar Documents

Publication Publication Date Title
DE112011101134T5 (de) Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich
JP2013524510A5 (de)
US8008208B2 (en) Method of cleaning and forming a negatively charged passivation layer over a doped region
US20110272008A1 (en) Oxide nitride stack for backside reflector of solar cell
US20130186464A1 (en) Buffer layer for improving the performance and stability of surface passivation of silicon solar cells
DE112011101329T5 (de) Multi-layer SiN für funktional und optische abgestufte Arc-Schichten auf kristallinen Solarzellen
US20180277701A1 (en) Method of Manufacturing of a Solar Cell and Solar Cell Thus Obtained
KR101019273B1 (ko) 다중-접합 태양 전지들과 이를 형성하기 위한 방법들 및 장치들
EP2817829B1 (de) Verfahren zum herstellen einer solarzelle
US20140000686A1 (en) Film stack and process design for back passivated solar cells and laser opening of contact
US20130247972A1 (en) Passivation film stack for silicon-based solar cells
CN1647285A (zh) 制造串联型薄膜光电转换器件的方法
DE112009004253T5 (de) Trockenreinigung einer Siliziumoberfläche für Solarzellenanwendungen
AU2011302575A1 (en) Method, process and fabrication technology for high-efficency low-cost crytalline silicon solar cells
KR20100095426A (ko) 증착 공정들 간의 플라즈마 처리
KR20110106889A (ko) 박막 및 웨이퍼 기반의 태양 전지 분야용 미세결정질 실리콘 합금
DE112010001613T5 (de) Gepulste Plasmaabscheidung zum Ausbilden einer Mikrokristallinen Siliziumschicht fürSolaranwendungen
DE3416954A1 (de) Ternaere iii-v-multicolor-solarzellen mit einer quaternaeren fensterschicht und einer quaternaeren uebergangsschicht
WO2017100393A2 (en) Photovoltaic devices and method of manufacturing
JP2005026534A (ja) 半導体デバイスおよびその製造方法
DE112010001895T5 (de) Hochwertige Kontaktstruktur einer TCO-Silizium-Schnittstelle für hocheffiziente Dünnschicht-Silizium-Solarzellen
KR101431730B1 (ko) 태양전지용 기판의 표면처리 방법
DE102014218948A1 (de) Solarzelle mit einer amorphen Siliziumschicht und Verfahren zum Herstellen solch einer photovoltaischen Solarzelle
Hsieh et al. Improved process stability on an extremely thin amorphous/crystalline silicon interface passivation layer by using predeposition on the chamber wall
JP3423102B2 (ja) 光起電力素子

Legal Events

Date Code Title Description
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20131001