JP4541117B2 - ガス分散プレートアセンブリ、プラズマ処理チャンバ及びディフューザプレート - Google Patents

ガス分散プレートアセンブリ、プラズマ処理チャンバ及びディフューザプレート Download PDF

Info

Publication number
JP4541117B2
JP4541117B2 JP2004353175A JP2004353175A JP4541117B2 JP 4541117 B2 JP4541117 B2 JP 4541117B2 JP 2004353175 A JP2004353175 A JP 2004353175A JP 2004353175 A JP2004353175 A JP 2004353175A JP 4541117 B2 JP4541117 B2 JP 4541117B2
Authority
JP
Japan
Prior art keywords
diffuser plate
diameter
nagaremen
hollow cathode
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2004353175A
Other languages
English (en)
Other versions
JP2005328021A (ja
Inventor
ヤン チュイ スー
エム. ホワイト ジョン
ウォン クンファ
ホウ リ
ウーン キム キ
クリタ シンイチ
キュン ウォン タエ
アンワール シュハイル
スー パーク ベオン
エル. タイナー ロビン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005328021A publication Critical patent/JP2005328021A/ja
Application granted granted Critical
Publication of JP4541117B2 publication Critical patent/JP4541117B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49885Assembling or joining with coating before or during assembling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49995Shaping one-piece blank by removing material
    • Y10T29/49996Successive distinct removal operations

Description

開示内容の背景
発明の分野
[0001]本発明の実施形態は、一般に、ガス分散プレートアセンブリ及び処理チャンバ内にガスを分散させる方法に関する。
背景技術の説明
[0002]液晶ディスプレイあるいはフラットパネルは、一般に、コンピュータやテレビジョンモニタ等のアクティブマトリックスディスプレイに用いられている。プラズマCVD(plasma enhanced chemical vapor deposition;PECVD)は、一般に、フラットパネルディスプレイまたは半導体ウェーハのための透明基板等の基板上に薄膜を堆積させるのに用いられる。PECVDは、通常、前駆物質ガスまたはガス混合物を、基板を含む真空チャンバ内に導入することによって実現される。該前駆物質ガスまたはガス混合物は、一般に、該チャンバの上部近傍に配置された分散プレートを通して下方に向けられる。該チャンバ内の該前駆物質ガスまたはガス混合物は、該チャンバに結合された1つまたはそれ以上の高周波電源から該チャンバに高周波(radio frequency;RF)電力を印加することにより、エネルギーを与えられて(例えば、励起されて)プラズマにされる。該励起されたガスまたはガス混合物は、反応して、温度制御された基板支持体上に配置されている基板の表面に材料層を形成する。該反応中に生成された揮発性副生成物は、該チャンバから排気装置を介して排出される。
[0003]PECVD技術によって処理されたフラットパネルは、一般的には大きく、通常370mm×470mmを超える。4平方メートル近い及び4平方メートルを超える大面積基板は、近い将来構想される。フラットパネル上に均一なプロセスガスフローを供給するのに用いられるガス分散プレート(またはガスディフューザプレート)は、特に、200mm及び300mmの半導体ウェーハ処理に用いられるガス分散プレートと比較して、サイズが比較的大きい。
[0004]TFT−LCD業界において、基板のサイズが大きくなると、PECVDの場合に、膜厚及び膜の均一特性制御が問題になる。TFTは、フラットパネルディスプレイの一種である。例えば、基板の中心部と縁部との間の膜歪みのような堆積速度および/または膜特性の違いが重要になる。
[0005]従って膜の堆積厚及び膜特性の均一性を改善する改良されたガス分散プレートアセンブリに対する要望がある。
発明の概要
[0006]ガスを処理チャンバ内に分散させるガス分散プレートの実施形態が提供される。一実施形態においては、プラズマ処理チャンバのためのガス分散プレートアセンブリは、上流側及び下流側を有するディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通り、かつ該下流側に中空カソードキャビティを備える内側及び外側ガス流路とを備え、該内側ガス流路の中空カソードキャビティ容積密度は、該外側ガス流路の中空カソードキャビティ容積密度よりも小さい。
[0007]他の実施形態においては、プラズマ処理チャンバのためのガス分散プレートアセンブリは、上流側及び下流側を有するディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通り、かつ該下流側に中空カソードキャビティを備える内側及び外側ガス流路とを備え、該内側ガス流路の中空カソードキャビティの表面積密度は、該外側ガス流路の中空カソードキャビティの表面積密度よりも小さい。
[0008]別の実施形態においては、プラズマ処理チャンバのためのガス分散プレートアセンブリは、上流側及び下流側を有するディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通る複数のガス流路とを備え、中空カソードキャビティの密度が、該ディフューザプレートの中心から縁部に向かって徐々に増加する。
[0009]他の実施形態においては、プラズマ処理チャンバは、上流側及び下流側を有するディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通り、かつ該下流側に中空カソードキャビティを備える内側及び外側ガス流路であって、該内側ガス流路の中空カソードキャビティ容積密度が、該外側ガス流路の中空カソードキャビティ容積密度よりも小さい、内側及び外側ガス流路と、該ディフューザプレートの下流側近傍の基板支持体とを備える。
[0010]別の実施形態においては、プラズマ処理チャンバは、上流側及び下流側を有するディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通り、かつ該下流側に中空カソードキャビティを備える内側及び外側ガス流路であって、該内側ガス流路の中空カソードキャビティの表面積密度が、該外側ガス流路の中空カソードキャビティの表面積密度よりも小さい、内側及び外側ガス流路と、該ディフューザプレートの下流側近傍の基板支持体とを備える。
[0011]他の実施形態においては、プラズマ処理チャンバは、上流側及び下流側を有するディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通る複数のガス流路であって、中空カソードキャビティの密度が、該ディフューザプレートの中心から縁部に向かって徐々に増加する、複数のガス流路と、該ディフューザプレートの下流側近傍の基板支持体とを備える。
[0012]別の実施形態においては、プラズマ処理チャンバのためのガス分散プレートアセンブリは、上流側及び下流側を有し、かつ多数の同心ゾーンに分割されているディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通る複数のガス流路とを備え、各ゾーンにおけるガス流路は同一であり、中空カソードキャビティの密度、容積または表面積は、該ディフューザプレートの中心から縁部に向かって徐々に増加する。
[0013]他の実施形態においては、プラズマ処理チャンバのためのガスディフューザプレートを形成する方法は、上流側及び下流側と、該ディフューザプレートの上流側と下流側の間を通る複数のガス流路とを有するようにガスディフューザプレートを形成するステップと、該ディフューザプレートを湾曲させて、下流側に向けて滑らかな凸状に形成するステップと、該凸状面を適合させて下流側面を平坦化するステップとを含む。
[0014]別の実施形態においては、プラズマ処理チャンバのためのガスディフューザプレートを形成する方法は、上流側及び下流側と、該ディフューザプレートの上流側と下流側の間を通る複数のガス流路とを有するようにガスディフューザを適合させることであって、該ディフューザプレートの中空カソードキャビティの密度、容積または表面積が、該ディフューザプレートの中心から縁部に向かって徐々に増加するステップを含む。
[0015]他の実施形態においては、基板上に薄膜を堆積する方法は、プロセスチャンバ内の基板に、上流側及び下流側を有するガスディフューザプレートと、該ディフューザプレートの上流側と下流側の間を通り、かつ該下流側に中空カソードキャビティを備える内側及び外側ガス流路であって、該内側ガス流路の中空カソードキャビティの容積密度、または該中空カソードキャビティの表面積密度、あるいは、該中空カソードキャビティ密度が、該外側ガス流路の同じパラメータよりも小さい、内側及び外側ガス流路とを配置するステップと、ディフューザプレートを介して、基板支持体上に支持された基板の方へプロセスガスを流すステップと、該ディフューザプレートと該基板との間にプラズマを生成するステップと、該プロセスチャンバ内の基板上に薄膜を堆積するステップとを含む。
[0016]別の実施形態においては、ディフューザプレートは、上面及び底面を有するボディと、該底面の上面と底面の間の複数のガス流路と、外側領域及び内側領域とを備え、該外側領域の上部と底部の間の該ボディが、該内側領域の上部と底部の間の該ボディよりも厚い。
[0017]他の実施形態においては、プラズマ処理チャンバのためのガスディフューザプレートを形成する方法は、上流側及び下流側と、該ディフューザプレートの上流側と下流側の間を通る複数のガス流路とを有するようにガスディフューザプレートを形成するステップと、該下流面を適合させて、該下流面を凸状に形成するステップとを含む。
[0018]また別の実施形態においては、プラズマ処理チャンバのためのガスディフューザプレートを形成する方法は、上流側及び下流側を有するディフューザプレートを湾曲させて、該下流面を凹状に、かつ上流面を凸状に形成するステップと、中空カソードキャビティを、仮想の平坦下流面から同じ深さに形成することにより、該ディフューザプレートの上流と下流の間を通過する複数のガス流路を形成するステップと、該中空カソードキャビティに接続される同じサイズのオリフィス穴を有するように、全てのガス流路を形成するステップとを含む。
[0019]本発明の教示は、添付図面と共に、以下の詳細な説明を考究することにより、容易に理解することができる。
[0059]理解を容易にするために、可能な場合、図面に共通する同一の構成要素を表わすために、同一の参照符号を用いている。
詳細な説明
[0060]本発明は、一般に、処理チャンバ内にガス供給を実行できるガス分散アセンブリを提供する。以下、本発明を、カリフォルニア州サンタクララのアプライドマテリアルズ社の一事業部であるAKTから入手可能なプラズマCVD装置等の、大面積基板を処理するように構成されたPECVD装置に関して具体的に説明する。しかし、本発明が、エッチ装置、他の化学気相堆積装置および、丸い基板を処理するように構成された装置を含む、ガスをプロセスチャンバ内に分散させることが必要な他の装置等のその他の装置構成において有用性を有することを理解すべきである。
[0061]図1は、薄膜トランジスタ構造の断面該略図を示す。共通TFT構造は、図1に示すバックチャネルエッチ(back channel etch;BCE)インバーテッドスタガ(またはボトムゲート)TFT構造である。BCEプロセスは、ゲート絶縁膜(SiN)や真性及びn+ドープアモルファスシリコン膜を同じPECVDポンプダウン動作で堆積することができるため、好ましい。ここで示したBCEプロセスは、5つのみのパターニングマスクを必要とする。基板101は、例えば、ガラスや透明プラスチック等の、可視スペクトルにおいて本質的に光学的に透明な材料を含んでもよい。該基板は、異なる形状または寸法からなっていてもよい。一般に、TFT用途の場合、該基板は、約500mm以上の表面積を有するガラス基板である。ゲート電極層102は、基板101上に形成されている。ゲート電極層102は、TFT内の荷電キャリアの動きを制御する導電層を備える。ゲート電極層102は、例えば、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)またはそれらを組み合わせたもの等の金属を含んでもよい。ゲート電極層102は、従来の堆積、リソグラフィ及びエッチング技術を用いて形成してもよい。基板101とゲート電極層102との間には、例えば、本発明に記載したPECVD装置の実施形態を用いて形成することもできる、二酸化シリコン(SiO)または窒化シリコン(SiN)等の任意の絶縁材料があってもよい。ゲート電極層102は、該ゲート電極を画成する従来の技術を用いて、蝕刻技術でパターン化される。
[0062]ゲート絶縁層103は、ゲート電極層102上に形成されている。ゲート絶縁層103は、本発明に記載したPECVD装置の実施形態を用いて堆積したシリコン酸化膜(SiO)、シリコン酸窒化膜(SiON)または窒化シリコン膜(SiN)であってもよい。ゲート絶縁層103は、約100Å〜約6000Åの厚さに形成することができる。
[0063]バルク半導体層104は、ゲート絶縁層103上に形成されている。バルク半導体層104は、本発明に記載したPECVD装置の実施形態または他の公知の従来の方法を用いて堆積することができる、多結晶シリコン(ポリシリコン)あるいはアモルファスシリコン(α−Si)を含んでもよい。バルク半導体層104は、約100Å〜約3000Åの厚さに堆積することができる。ドープされた半導体層105は、半導体層104の上部に形成されている。ドープされた半導体層105は、本発明に記載したPECVD装置の実施形態または他の公知の従来の方法を用いて堆積することができる、n型(n+)またはp型(p+)多結晶シリコン(ポリシリコン)あるいはアモルファスシリコン(α−Si)を含んでもよい。ドープされた半導体層105は、約100Å〜約3000Åの厚さに堆積することができる。ドープされた半導体層105の実施例は、n+のドープされたα−Si膜である。バルク半導体層104及びドープされた半導体層105は、蓄積キャパシタ絶縁体としても作用する、ゲート絶縁膜上にそれら2つの膜のメサを画成する従来の技術を用いて、蝕刻的にパターン化される。ドープされた半導体層105は、バルク半導体層104の一部に直接接触して、半導体接合を形成する。
[0064]そして、導電層106は、露出面上に堆積される。導電層106は、例えば、アルミニウム(Al)、モリブデン(Mo)、クロム(Cr)、タンタル(Ta)またはそれらを組み合わせたもの等の金属を含んでもよい。導電層106は、従来の堆積技術を用いて形成することができる。導電層106及びドープされた半導体層105は、TFTのソース及びドレインを画成するために、蝕刻的にパターン化することができる。その後、パッシベーション層107を堆積してもよい。パッシベーション層107は、露出面を絶縁被覆する。パッシベーション層107は、一般に絶縁体であり、例えば、二酸化シリコン(SiO)または窒化シリコン(SiN)を含んでもよい。パッシベーション層107は、例えば、PECVDまたは他の公知の従来の方法を用いて形成することができる。パッシベーション層107は、約1000Å〜約5000Åの厚さに堆積することができる。パッシベーション層107は、該パッシベーション層にコンタクトホールを開けるための従来技術を用いて、蝕刻的にパターン化されてエッチングされる。
[0065]透明導体層108が堆積されて、導電層106と接触するようにパターン化される。透明導体層108は、可視スペクトルにおいて本質的に光学的に透明で、かつ導電性の材料を含む。透明導体層108は、例えば、インジウムスズ酸化物(indium tin oxide;ITO)または酸化亜鉛を含んでもよい。透明導電層108のパターニングは、従来のリソグラフィ技術及びエッチング技術によって実施される。
[0066]液晶ディスプレイ(またはフラットパネル)に用いるドープされたまたは無ドープ(真性)アモルファスシリコン(α−Si)膜、二酸化シリコン膜(SiO)、シリコン酸窒化膜(SiON)及び窒化シリコン膜(SiN)は、全て、本発明に記載したPECVDの実施形態を用いて堆積することができる。本願明細書に記載したTFT構造は、単に実施例として用いられる。本発明は、適用可能ないかなるデバイスの製造にも適用される。
[0067]図2は、カリフォルニア州サンタクララのアプライドマテリアルズ社の一事業部であるAKTから入手可能なプラズマCVD装置200の一実施形態の概略断面図である。装置200は、一般に、ガスソース204に結合された処理チャンバ202を含む。処理チャンバ202は、プロセス容積212を一部画成する壁部206及び底部208を有する。プロセス容積212は、通常、基板240の処理チャンバ202内への移動及び該基板の該チャンバ外への移動を容易にする、壁部206のポート(図示せず)を介してアクセスされる。壁部206及び底部208は、一般に、アルミニウムからなる一体ブロックまたは処理と適合性のある他の材質で形成される。壁部206は、プロセス容積212を(図示しない様々なポンピング要素を含む)排気ポートに結合するポンピングプレナム214を含むふたアセンブリ210を支持する。
[0068]温度制御された基板支持体アセンブリ238は、処理チャンバ202内の中央に配置されている。支持体アセンブリ238は、処理中に、ガラス基板240を支持する。一実施形態においては、基板支持体アセンブリ238は、少なくとも1つの埋込み型ヒータ232を含むアルミニウムボディ224を備える。支持体アセンブリ238内に配置された、抵抗体等のヒータ232は、任意の電源274に結合され、かつ支持体アセンブリ238及び該アセンブリ上に配置されたガラス基板240を、所定の温度まで制御可能に加熱する。一般に、CVDプロセスにおいては、ヒータ232は、堆積する材料の堆積処理パラメータにより、約150℃〜少なくとも約460℃の均一な温度にガラス基板240を維持する。
[0069]一般に、支持体アセンブリ238は、下方側226と上方側234とを有する。上方側234は、ガラス基板240を支持する。下方側226は、該下方側に結合されたステム242を有する。ステム242は、支持体アセンブリ238を、処理チャンバ202への基板移送及び該処理チャンバからの基板移送を容易にする、(図示したような)上昇位置と低下位置との間で支持体アセンブリ238を動かすリフト装置(図示せず)に結合する。また、ステム242は、支持体アセンブリ238と、装置200の他の構成要素との間の電気的及び熱結合リードのための導管を形成する。
[0070]べローズ246は、支持体アセンブリ238(またはステム242)と、処理チャンバ202の底部208との間に結合されている。べローズ246は、チャンバ容積212と、処理チャンバ202の外部の大気との間に真空シールを形成するとともに、支持体アセンブリ238の垂直動を容易にする。
[0071]支持体アセンブリ238は、一般に、電源222によって、蓋アセンブリ210と基板支持体アセンブリ238との間に配置されたガス分散プレートアセンブリ218(または上記チャンバの蓋アセンブリ内または近傍に配置された他の電極)に供給される高周波電力が、支持体アセンブリ238と分散プレートアセンブリ218との間のプロセス容積212内にあるガスを励起させるように接地される。電源222からの高周波電力は、通常、化学気相成長プロセスを駆動するために、上記基板のサイズに見合って選択される。
[0072]また、支持体アセンブリ238は、境界保護フレーム248を支持する。一般に、保護フレーム248は、基板が支持体アセンブリ238に付着しないように、ガラス基板240の縁部及び支持体アセンブリ238における堆積を防ぐ。支持体アセンブリ238は、複数のリフトピン250を収容する、該アセンブリを貫通して配置された複数の孔228を有する。リフトピン250は、通常、セラミックまたは陽極酸化したアルミニウムから構成される。リフトピン250は、支持面230から突出するように、任意のリフトプレート254によって、支持体アセンブリ238に対して動かし、それにより、支持体アセンブリ238に対して離間して上記基板を配置してもよい。
[0073]蓋アセンブリ210は、プロセス容積212に対する上方境界を形成する。蓋アセンブリ210は、一般に、処理チャンバ202をメンテナンスするために取り除いたり開いたりすることができる。一実施形態において、蓋アセンブリ210は、アルミニウム(Al)で形成されている。蓋アセンブリ210は、該アセンブリ内に形成され、外部のポンピング装置(図示せず)に結合されているポンピングプレナム214を含む。ポンピングプレナム214は、プロセス容積212から及び処理チャンバ202の外へ、ガス及び処理副生成物を一様に流すのに用いられる。
[0074]蓋アセンブリ210は、通常、ガスソース204によって供給されるプロセスガスが、それを通って処理チャンバ202内に導入されるエントリポート280を含む。また、エントリポート280は、クリーニングソース282に結合されている。クリーニングソース282は、一般に、処理チャンバ202内に導入されて、堆積副生成物及び膜を、ガス分散プレートアセンブリ218を含む処理チャンバハードウェアから除去する、解離フッ素等のクリーニング薬剤を供給する。
[0075]ガス分散プレートアセンブリ218は、蓋アセンブリ210の内側220に結合されている。ガス分散プレートアセンブリ218は、一般に、例えば、大面積フラットパネル基板の場合には多角形で、ウェーハの場合には円形のように、ガラス基板240の輪郭に実質的に追従するように構成されている。ガス分散プレートアセンブリ218は、ガスソース204から供給されるプロセスガスや他のガスが、それを通ってプロセス容積212内に供給される穿孔領域216を含む。ガス分散プレートアセンブリ218の穿孔領域216は、ガスのガス分散プレートアセンブリ218を介した処理チャンバ202内への一様な分散を実行できるように構成されている。本発明によって恩恵を受けるように適合しているガス分散プレートは、2001年8月8日に出願された、Keller等による共同で譲渡された米国特許出願第09/922,219号明細書、2002年5月6日に出願された、Yim等による米国特許出願第10/140,324号明細書、2003年1月7日に出願された、Blonigan等による同第10/337,483号明細書、2002年11月12日にWhite等に対して発行された米国特許第6,477,980号明細書、2003年4月16日に出願されたChoi等による米国特許出願第10/417,592号明細書、および2004年4月12日に出願されたChoi等による米国特許出願第10/823,347号明細書に記載されており、これら全体を本願明細書に組み入れる。
[0076]ガス分散プレートアセンブリ218は、一般に、ハンガープレート260から吊り下げられたディフューザプレート(または分散プレート)258を含む。ディフューザプレート258及びハンガープレート260は、代替的に、単一の一体部材を備えてもよい。複数のガス流路262は、ガス分散プレートアセンブリ218を介したプロセス容積212内へのガスの所定の分散を可能にするように、ディフューザプレート258を貫通して形成されている。ハンガープレート260は、ディフューザプレート258と、蓋アセンブリ210の内面220とを離間関係で維持し、それによって、それらの間にプレナム264を画成する。プレナム264は、ガスが、中央の穿孔領域216上に一様に供給されて、均一な配分でガス流路262を流れるように、蓋アセンブリ210を介して流れるガスをディフューザプレート258の全幅にわたって一様に分散させる。
[0077]ディフューザプレート258は、通常、ステンレス鋼、アルミニウム(Al)、陽極酸化したアルミニウム、ニッケル(Ni)または高周波導電性材料によって形成される。ディフューザプレート258は、鋳造、ろう付け、鍛造、熱平衡圧着または焼結することができる。ディフューザプレート258は、基板処理に有害な影響を及ぼさないように、開口266全域にわたって十分な平坦性を維持する厚さで構成されている。ディフューザプレート258の厚さは、約0.8インチ〜約2.0インチである。ディフューザプレート258は、半導体ウェーハの製造の場合には円形に、フラットパネルディスプレイの製造の場合には、長方形等の多角形とすることができる。
[0078]図3は、2003年4月16日に出願された、「大面積プラズマCVDのためのガス分散プレートアセンブリ」というタイトルの共同で譲渡された米国特許出願第10/417,592号明細書に記載されている例示的なディフューザプレート258の部分断面図である。ディフューザプレート258は、蓋アセンブリ210に面した第1のまたは上流側302と、支持体アセンブリ238に面した対向する第2のまたは下流側とを含む。各ガス流路262は、組合わせて分散プレート258を貫通する流体経路を形成する第2の孔312に、オリフィス穴314によって結合された第1の孔310によって画成されている。第1の孔310は、第1の深さ330だけガス分散プレート258の上流側302から底部318まで及んでいる。第1の孔310の底部318は、ガスが、該第1の孔からオリフィス穴314内に流れたときの流れの限定を最少化するために、先細りにする、傾斜させる、面取りする、または丸みをつけてもよい。第1の孔310は、通常、約0.093〜約0.218インチの径を有し、一実施形態においては、約0.156インチである。
[0079]第2の孔312は、ディフューザプレート258に形成され、下流側(または端部)304から、約0.10〜約2.0インチの深さ332まで及んでいる。好ましくは、深さ332は、約0.1インチ〜約1.0インチである。第2の孔312の径336は、通常、約0.1インチ〜約1.0インチであり、約10°〜約50°の角度316でじょうご状に開いていてもよい。好ましくは、径336は、約0.1インチ〜約0.5インチであり、フレア角度316は、20°〜約40°である。第2の孔312の表面は、約0.05(インチ)〜約10(インチ)であり、好ましくは約0.05(インチ)〜約5(インチ)である。第2の孔312の径は、下流面304と相交わる径を指す。1500mm×1850mmの基板を処理するのに用いられるディフューザプレートの実施例は、0.250インチの径で、および約22°のフレア角度316で第2の孔312を有する。隣接する第2の孔312の縁382の間の距離380は、約0インチ〜約0.6インチであり、好ましくは、約0インチ〜約0.4インチである。第1の孔310の径は、限定するものではないが、一般に、第2の孔312の径に少なくとも等しいか、またはより小さい。第2の孔312の底部320は、オリフィス穴314から出て第2の孔312に流れるガスの圧力損を最少化するために、先細りにする、傾斜させる、面取りする、または丸みをつけてもよい。また、オリフィス穴314の下流側304への近接は、第2の孔312および上記基板に面する下流側304の露出した表面積を最少化するように作用するため、チャンバクリーニング中に供給されるフッ素にさらされるディフューザプレート258の下流側面積が低減され、それにより、堆積した膜のフッ素汚染の発生が低減される。
[0080]オリフィス穴314は、一般に、第1の孔310の底部318と、第2の孔312の底部320とを結合する。オリフィス穴314は、一般に、約0.01インチ〜約0.3インチ、好ましくは、約0.01インチ〜約0.1インチの径を有し、かつ典型的には、約0.02インチ〜約1.0インチ、好ましくは、約0.02インチ〜約0.5インチの長さ334を有する。オリフィス穴314の長さ334及び径(または他の幾何学的属性)は、ガス分散プレート258の上流側302の全域のガスの一様な分散を促進する、プレナム264内の背圧の主要な源である。オリフィス穴314は、通常、複数のガス流路262の間に均等に形成されているが、オリフィス穴314を介した制限は、ガス分散プレート258の1つの領域を流れるガス流量を他の領域に対してより多く促進するために、ガス流路262の中で異ならせて形成してもよい。例えば、オリフィス穴314は、より多くのガスが穿孔領域216の縁部を流れて、ガラス基板の周囲における堆積速度を増加させるように、処理チャンバ202の壁部206の近くで、ガス分散プレート258のガス流路262のより大きな径および/またはより短い長さ334を有してもよい。上記ディフューザプレートの厚さは、約0.8インチ〜約3.0インチ、好ましくは、約0.8インチ〜約2.0インチである。
[0081]TFT−LCD業界においては、基板のサイズが増加し続けているため、特に、基板サイズが、少なくとも1000mm×1200mm程度(または約1,200,000mmの場合、大面積PECVDの場合の厚さ及び特性の均一性は、より問題になる。注目すべき均一性問題の実例は、高堆積速度の窒化シリコン膜の場合の、大口径基板の中央領域における高速の堆積速度及びより圧縮性の膜を含む。該基板全域での厚さ均一性は、縁部領域よりも中心領域がより厚い膜を有する「ドーム形状」を呈する。該縁部領域におけるより小さな圧縮性膜は、より高いSi−H含有量を有する。TFT−LCDに対する製造要求は、例えば、15原子%以下の低Si−H含有量、例えば、1500Å/分の高堆積速度、および、例えば、15%以下の基板全面における低い厚さ不均一性を含む。Si−H含有量は、フーリエ変換赤外線(Fourier Transform Infra−Red;FTIR)測定によって計算される。より大きな基板は、悪い「ドーム形状」問題を有する。該問題は、全ての要求を満たすように、プロセス方法の変更によって排除することができない。そのため、該問題は、ガスおよび/またはプラズマの分散を変更することによって扱う必要がある。
[0082]プロセスチャンバ内で、薄膜を堆積するプロセスを図4Aに示す。該プロセスは、ステップ401において、基板を、ディフューザプレートを有するプロセスチャンバ内に配置することによってスタートする。次に、ステップ402において、ディフューザプレートを介して、プロセスガスを、基板支持体上に支持された基板に向かって流す。次いで、ステップ403において、該ディフューザプレートと該基板支持体との間にプラズマを生成する。ステップ404において、上記プロセスチャンバ内の基板上に薄膜を堆積させる。図4Bは、ガラス基板上の窒化シリコン膜の厚さ特性を示す。該基板のサイズは、1500mm×1800mmである。上記ディフューザプレートは、図3に示すデザインを有するディフューザ穴を有する。第1の孔310の径は、0.156インチである。第1の孔310の長さ330は、1.049インチである。第2の孔312の径336は、0.250インチである。第2の孔312のフレア角度316は22°である。第2の孔312の長さ332は、0.243インチである。オリフィス穴314の径は0.016インチであり、オリフィス穴314の長さ334は、0.046インチである。SiN膜は、1.5Torr及び15000W電源の下で、2800sccmのSiH、9600sccmのNH及び28000sccmのNを用いて堆積される。上記ディフューザプレートと上記支持体アセンブリとの間の間隔は、1.05インチである。プロセス温度は、約355℃に維持される。堆積速度は、2444Å/分になるように平均化され、厚さ均一性(15mmの縁部を除く)は、25.1%であり、これは、製造仕様(<15%)よりも高い。上記厚さ特性は、中心厚さ特性、または「ドーム形状」特性を示す。表1は、上記膜のために上記ガラス基板上に配置されたウェーハから測定した膜特性を示す。
Figure 0004541117
[0083]縁部I及び縁部IIは、1800mmの幅を有する基板の2つの端部を示す。屈折率(refractive index;RI)、膜歪み、Si−H濃度データ及びウェットエッチレート(wet etch rate;WER)データは、上記縁部領域と比較して、より圧縮性の上記中心領域近傍の膜を示す。該基板縁部におけるSi−H濃度は、15%の製造限界に近づいている。ウェットエッチレートは、サンプルをBOE(buffered oxide etch)6:1溶液に浸漬することによって測定される。
[0084]上記中心と縁部の不均一性問題の原因に対する1つのセオリーは、有効に除去することができない、ディフューザプレートと基板との間の、および上記基板の中心領域における過剰な残留ガスであり、これは、高堆積速度や該基板の中心領域におけるより圧縮性の膜を生じる可能性がある。このセオリーが有効であるかを確かめるために、簡単な検査が考えられている。図5に示すように、PECVDプロセスチャンバ内の基板の左側501及び側部502において、(図2に示す)ポンピングチャネル214を遮断するために、熱抵抗性テープが使用される。他の2辺近傍のポンピングチャネル214は、開いたままである。これにより、非対称性のガスポンピング状態が生成された。「ドーム形状」問題の原因が、上記基板の縁部において除去することができない過剰な残留ガスによるものである場合、上記熱抵抗性テープを、該基板の2つの縁部近傍に使用すると、上記均一性問題を悪化させ、該基板全域で均一性を悪くする。しかし、2つのポンピングチャネルを遮断した状態で行った堆積と、全てのポンピングチャネルを開いた状態での堆積の堆積結果を比較すると、わずかな変化が見られる(表2参照)。ここで使用したディフューザプレートは、図4B及び表1で使用したものと同じデザイン及び寸法を有する。表2におけるSiN膜は、1.5Torrおよび11000W電源の下で、3300sccmのSiH、2800sccmのNH及び18000sccmのNを用いて堆積される。該ディフューザプレートと上記支持体アセンブリとの間の間隔は、0.6インチである。プロセス温度は、約355℃に維持される。膜厚及び特性は、(図5に示すように)上記基板上の場所1、2、3、4及び5で測定される。表2に示すSiH含有量は、原子%で測定される。
Figure 0004541117
[0085]表2の結果は、2つのポンピングチャネルを遮断して行った堆積と、全てのポンピングチャネルを開いた状態で行った堆積とのわずかな違いを示している。また、場所1と場所5で集めた測定値の間には、わずかな差があり、これは、残留ガスが上記問題の原因である場合に異なる。したがって、有効に除去されない、ディフューザと基板の間の、および上記基板の中心領域の過剰な残留ガスのセオリーは、除外される。
[0086]上記中心と縁部の不均一性に対する他の考えられる原因は、プラズマの不均一性である。PECVDによる膜の堆積は、アクティブプラズマのソースに実質的に依存する。高密度の化学反応プラズマは、中空カソード効果によって生成することができる。中空カソード放電の高周波生成における駆動力は、高周波電極における空間荷電シースの両端における周波数変調された直流電圧Vs(自己バイアス電圧)である。RF中空カソードと、対向するシースの反発する電界Esの間の電極の振動とを図6Aに示す。プロセス容積212に近い反応性ガス流路の壁部とすることができる該カソードの壁部から放射された電子は、壁部シース「δ」にわたる電界Esによって加速される。該電子は、対向する壁部シースの反発する電界により、上記電極の壁部の間の内部空間全域で振動する。該電子は、ガスとの衝突によってエネルギーを失い、イオンを生成する。生成されたイオンは、上記カソード壁に対して加速することができ、それによって追加のイオンを生成することができる二次電子の放射が強まる。全体的にみて、該カソード壁の間のキャビティは、電子の放射及びガスのイオン化を強める。ガスアウトレット径よりも小さなガスインレット径を有するじょうご状に開いた円錐体状のカソード壁は、円筒形の壁部よりも、ガスをイオン化する際により有効である。電位Ezは、該ガスインレットとガスアウトレットのイオン化効率の差により生じる。
[0087]上記基板と対向し、プロセス容積212に近い上記ガスディフューザ穴(または流路)の下流端部にある、上記中空カソードキャビティの壁部、および該中空カソードキャビティの配置(または密度)のデザインを変えることにより、ガスのイオン化を変更して膜厚及び特性の均一性を制御することができる。プロセス容積212に近い該中空カソードキャビティの壁部の実施例は、図3の第2の孔312である。中空カソード効果は、主に、プロセス容積212に面するじょうご状に開いた円錐体312内で生じる。図3のデザインは、単に実施例として用いられる。本発明は、他のタイプの中空カソードキャビティデザインにも適用することができる。中空カソードキャビティデザインの他の実施例は、限定するものではないが、図6B〜図6Gに示すデザインを含む。上記中空カソードキャビティの容積および/または表面積を変えることにより、プラズマのイオン化速度を変えることができる。
[0088]図3のデザインを実施例として用いると、径「D」(または図3の径336)、深さ「d」(または図3の長さ332)及びフレア角度「α」(または図3のフレア角度316)を変えることにより、図7Aに示すように、第2の孔(または中空カソードキャビティ)の容積を変えることができる。上記径、深さおよび/またはフレア角度を変えると、孔312の表面積も変わる可能性がある。上記基板の中心は、より高い堆積速度を有し、かつより圧縮性であるため、より高いプラズマ密度がありそうな原因である。上記ディフューザプレートの縁部から中心部まで、該孔の深さ、径、フレア角度またはこれら3つのパラメータの組み合わせを低減することにより、プラズマ密度を、該基板の中心領域において低減して、膜厚及び膜特性の不均一性を改善することができる。また、上記円錐体(または孔)の深さ、円錐体の径、フレア角度を低減しても、孔312の表面積が減る。図7B、図7C及び図7Dは、図7Eに示すディフューザプレートに設けられる3つのディフューザ流路(またはディフューザ穴)のデザインを示す。図7B、図7C、図7Dのデザインは、同じ円錐体(または孔)径を有するが、該円錐体(または孔)の深さ及び円錐体(孔)の総表面積は、図7Bの場合が最も大きく、図7Dの場合が最も小さい。該円錐体のフレア角度は、最終的な円錐体の径に合うように変化している。図7Bの場合の円錐体の深さは、0.7インチである。図7Cの場合の円錐体の深さは0.5インチであり、図7Dの場合の円錐体の深さは0.325インチである。図7Eの最も小さい長方形710は、500mm×600mmであり、上記ディフューザ穴は、0.325インチの円錐体深さと、0.302インチの円錐体径と、45°のフレア角度とを有する(図7D参照)。図7Eの中間の長方形は、1000mm×1200mmである。該中間の長方形と上記最も小さい長方形の間の領域720内のディフューザ穴は、0.5インチの円錐体深さと、0.302インチの円錐体径と、30°のフレア角度とを有する(図7C参照)。図における最も大きな長方形は、1500mm×1800mmである。該最も大きな長方形と上記中間の長方形の間の領域730内のディフューザ穴は、0.7インチの円錐体深さと、0.302インチの円錐体径と、22°のフレア角度とを有する(図7B参照)。図7B、図7C及び7Dの場合、上記オリフィス穴の径は、全て0.03インチであり、孔の深さは、全て0.2インチである。上記3つのディフューザプレートの厚さは、全て1.44インチである。図7B、7C及び7D第1の孔310の径は、全て0.156インチであり、深さは、それぞれ、0.54インチ(図7B)、0.74インチ(図7C)及び0.915インチ(図7C)である。
[0089]図8は、上記基板全域での堆積速度を示す。領域Iは、「深さ0.325インチ」未満の円錐体に対応し、領域II及びIIIは、それぞれ「深さ0.5インチ」(領域II)及び「深さ0.7インチ」(領域III)に対応する。表3は、上記基板全域の膜厚及び特性の測定値を示す。表3のSiN膜は、1.3Torr及び11000W電源の下で、3300sccmのSiH、2800sccmのNH及び18000sccmのNを用いて堆積される。上記ディフューザプレートと上記支持体アセンブリとの間の間隔は、0.6インチである。プロセス温度は、約355℃に維持される。場所1、2、3、4及び5は、図4に示す場所と同じである。
Figure 0004541117
[0090]上記結果は、上記円錐体の深さ及び円錐体の表面積を低減すると、堆積速度が低減されることを示している。また、該結果は、中空カソードキャビティの容積および/または表面積を低減すると、堆積速度が低減されることを示している。プラズマ堆積速度の低減は、プラズマイオン化速度の低減を反映する。領域Iから領域II、領域IIIへの円錐体深さ及び円錐体の総表面積の変化は滑らかではないため、上記基板全域での堆積速度は、3つの領域を示す。上記基板上の領域I、II及びIIIは、ディフューザ穴領域710、720及び730に一致する。このことは、中空カソードキャビティのデザインを変えると、プラズマイオン化速度や該変化を滑らかかつ緩やかにするという重要性を変化させることができることを示している。
[0091]上記ディフューザプレートの内側領域から該ディフューザプレートの外側領域へ中空カソードキャビティを緩やかに変えてプラズマ均一性を改善するのには、多くの方法がある。1つの方法は、まず、上記ディフューザプレート全域に同一のガス拡散流路を有する該ディフューザプレートを所定の曲率まで曲げた後、該湾曲を機械加工して該表面を平坦のままにすることである。図9Aは、この概念のプロセスの流れを示す。該プロセスは、ステップ901において、該ディフューザプレートを湾曲させて凸状にすることによってスタートし、続いて、ステップ902において、該凸状のディフューザプレートの湾曲を機械加工して該ディフューザプレート表面を平坦にする。図9Bは、縁部(及び外側領域)に例示的なディフューザ穴(またはガス流路)911を、中心(及び内側領域)に例示的なディフューザ穴912をディフューザ穴として有する凸状のディフューザプレートの概略図を示す。ディフューザ穴911及び912は、上記湾曲プロセスの前には同一であり、図3及び図7Aに示すようなディフューザ穴の図を単純化している。しかし、本発明は、どのようなディフューザ穴のデザインにも用いることができる。図3のデザインは、単に実施例として用いる。ディフューザプレートの下流面304は、プロセス容積212に面している。面913と(点線で示す)平坦面914との間の緩やかに変化する距離は湾曲を示す。縁部のディフューザ円錐体915と中心部のディフューザ円錐体916は、上記湾曲プロセスの前は、サイズ及び形状が同じである。図9Cは、湾曲が機械加工された後のディフューザプレートの概略図を示す。プロセス容積212に面する面は、平坦面914に機械加工され、中心部の円錐体918は、縁部の円錐体917よりもかなり短くする。該円錐体のサイズ(容積および/または表面積)の変化は、上記ディフューザプレートを湾曲させた後、該湾曲を機械加工することによって生じるため、該円錐体のサイズ(容積および/または表面積)の中心部から縁部への変化は緩やかである。中心部の円錐体918は、縁部の円錐体917よりも小さい径「D」及び深さ「d」を有する。円錐体の径「D」及び円錐体の深さ「d」の定義は、図7Aを見れば分かる。
[0092]図9Dは、1500mm×1850mmの基板を処理するのに用いられる例示的なディフューザプレートの下流側まで伸びる孔312(または円錐体)の深さ「d」を示す。該ディフューザプレートは、図7Aに示すデザインを有するディフューザ穴を有する。第1の孔310の径は、0.156インチである。第1の孔310の長さ330は、1.049インチである。第2の孔312の径336は、0.250インチである。第2の孔312のフレア角度は、22°である。第2の孔(bore)312の長さ332は、0.243インチである。オリフィス穴(hole)314の径は0.016インチであり、オリフィス穴314の長さは0.046インチである。図9Dにおいて、該第2の孔の深さの測定は、上記ディフューザプレートの中心から該ディフューザプレートの縁部までの孔の深さ332(または図7Aの「d」)の緩やかな増加を示している。上記湾曲及び機械加工プロセスにより、孔312の径336(または図7Aの「D」)も該ディフューザプレートの中心から該ディフューザプレートの縁部まで緩やかに増加する。
[0093]図9Eは、図9B、図9C、図9Dに記載したデザインを有するディフューザプレートの下で、SiN膜によって堆積された基板全域の厚さ分布を示す。該基板のサイズは、1500mm×1850mmであり、これは、図4B及び表1における基板のサイズ(1500mm×1800mm)よりもわずかに大きい。一般的に、該ディフューザプレートのサイズは、該基板のサイズに合わせてデザインされる。1500mm×1850mmの基板を処理するのに用いられるディフューザプレートは、約1530mm×1860mmであり、これは、1500mm×1800mmの基板を処理するのに用いられるディフューザプレート(約1530mm×1829mmのディフューザプレート)よりもわずかに大きい。厚さの均一性は、5.0%に改善され、これは、図4Bの膜の場合の25.1%よりもかなり小さい。表4は、上記基板全域の膜特性分布を示す。該ディフューザプレートは、図7Aに示すデザインを有するディフューザ穴を有する。第1の孔310の径は、0.156インチである。第1の孔310の長さ330は、1.049インチである。第2の孔312の径336は、0.250インチである。第1の孔312のフレア角度は、22°である。第2の孔312の長さ332は、0.243インチである。オリフィス穴314の径は0.016インチであり、オリフィス穴314の長さ334は0.046インチである。図9E及び表4におけるSiN膜は、1.5Torr及び15000W電源の下で、2800sccmのSiH、9600sccmのNH及び28000sccmのNを用いて堆積される。上記ディフューザプレートと上記支持体アセンブリとの間の間隔は、1.05インチである。プロセス温度は、約355℃に維持される。縁部I及び縁部IIは、表1の測定値に示すように、上記基板の2つの端部を示す。表4の膜厚及び特性データは、表1のデータと比較して、中心部から縁部へのかなり小さな変化を示している。
Figure 0004541117
[0094]表4のデータと、上記ディフューザプレート全域に同じ孔312の径及び深さを有するディフューザプレートを用いた堆積から集められた表1のデータとを比較すると、厚さ、歪み、Si−H含有量及びウェットエッチレート(WER)の変化は、上記ディフューザプレートの中心部から縁部へ緩やかに増加する孔312の径及び深さを有するディフューザプレートを用いた堆積から集められた表4のデータの場合よりも、全てかなり小さい。この結果は、中心部から縁部へ該ディフューザプレートの下流側へ伸びる該孔の径及び深さを緩やかに増加させることにより、厚さの均一性及び膜特性を大幅に改善することができることを示している。これらの表におけるウェットエッチレートは、サンプルをBOE6:1溶液に浸漬することによって測定される。
[0095]図9Fは、1870mm×2200mmの基板を処理するのに用いられる例示的なディフューザプレート全域の孔312の深さ「d」の測定値を示す。曲線960は、該ディフューザプレートの理想の孔の深さの分布の実施例を示す。図9Fにおける上記孔の深さの測定値は、該ディフューザプレートの中心部から該ディフューザプレートの縁部までの孔の深さの緩やかな増加を示している。また、下流の孔の径も、該ディフューザプレートの中心部から該ディフューザプレートの縁部まで緩やかに増加する。
[0096]図9Gは、図9B、図9C、図9Fに記載したものと同様のデザインを有するディフューザプレートの下で、SiN膜によって堆積された基板全域の厚さ分布を示す。該基板のサイズは、1870mm×2200mmである。表5は、該基板全域の膜特性分布を示す。該ディフューザプレートは、図7Aに示すデザインを有するディフューザ穴を有する。第1の孔310の径は、0.156インチである。第1の孔310の長さ330は、0.915インチである。第2の孔312の径336は、0.302インチである。第2の孔312のフレア角度316は22°である。第2の孔312の長さ332は、0.377インチである。オリフィス穴314の径は0.018インチであり、オリフィス穴314の長さ334は、0.046インチである。表5におけるSiN膜は、1.5Torr及び19000W電源の下で、5550sccmのSiH、24700sccmのNH及び61700sccmのNを用いて堆積される。上記ディフューザプレートと上記支持体アセンブリとの間の間隔は、1.0インチである。プロセス温度は、約350℃に維持される。縁部I及び縁部IIは、表1の測定値に示すように、上記基板の2つの端部を示す。表5の膜厚及び特性データは、表1のデータと比較して、中心部から縁部へのかなり小さな変化を示している。膜の均一性は9.9%であり、これは、図4Bにおける膜の場合の25.1%よりもかなりよい。図4B及び表1に示すデータは、図9G及び表5のデータの場合の基板(1870mm×2200mm)と比較してより小さい基板(1500mm×1800mm)に対する膜厚及び特性のデータである。厚さ及び特性の均一性は、より大きな基板の場合、悪くなると予想される。9.9%の均一性及び新たなデザインによる表5における改善された膜特性データは、該ディフューザプレートの下流側まで伸びるディフューザ穴の緩やかに増加する径及び深さを有する該新たなデザインが、プラズマ均一性及びプロセス均一性を大幅に改善することを示している。
Figure 0004541117
[0097]ここで説明した例示的なディフューザプレートは長方形であるが、本発明は、他の形状及びサイズのディフューザプレートにも適用できる。注意すべき一つのことは、上記凸状の下流面は、全面にわたって完全に平坦に機械加工する必要はないということである。上記孔の径及び深さが、該ディフューザプレートの中心部から縁部へ緩やかに増加する限り、該ディフューザプレートの縁部は、平坦化しないままとすることができる。
[0098]また、上記ディフューザプレートの湾曲を形成する多くの方法がある。一つの方法は、該ディフューザプレートの縁部のみを支持することにより一定期間、例えば、アルミニウムの場合400℃以上の、該ディフューザプレートが柔らかくなる温度で、該ディフューザプレートを熱処理することである。該金属性ディフューザプレートが、高温処理下で柔らかくなると、重力が、該ディフューザプレートを下に引っ張り、該ディフューザプレートが湾曲する。図10Aは、このような熱処理のプロセスの流れ1000を示す。まず、ステップ1001において、すでにディフューザ穴を有するディフューザプレートを、熱制御することができる環境1005またはチャンバ内に配置し、ディフューザプレート1010を、該ディフューザプレートの縁部のみを支持する支持体1020上に配置する(図10B)。下に向いている該ディフューザプレートは、該ディフューザプレートの下流面304である。次に、ステップ1002において、上記環境の温度を上げ、該ディフューザプレートを、熱条件で、該ディフューザプレートが柔らかくなる温度で処理する。一つの実施形態は、一定の処理温度に達した後、該熱環境を一定の処理温度(等温)に保つことである。該ディフューザプレートの湾曲が所望の湾曲に達した後、ステップ1003において、該熱処理プロセスを停止する。上記熱環境において、任意のディフューザ支持体1030を、ディフューザプレート1010の下で、支持体1020の支持体高さ1025よりも低い支持体高さ1035で、かつ支持体1020の支持体間隔1027よりも短い支持体間隔1037で配置することができることに注意する。任意の支持体1030は、上記ディフューザ湾曲を決めるのを補助することができ、かつ400℃以上の温度(熱調整温度と同じ温度)に耐えることができる弾性材料で形成することができ、該ディフューザプレートの表面にダメージを与えない。図10Cは、上記湾曲プロセス後、ディフューザプレート支持体1020及び1030上に載っている湾曲したディフューザプレート1010を示す。
[0099]湾曲を形成する他の方法は、真空を利用して、該ディフューザプレートを凸状形状に滑らかに湾曲させることである。図11Aは、真空プロセスによるそのような湾曲のプロセスの流れ1100を示す。まず、ステップ1101において、すでにディフューザ穴及び下に向く下流側304を有するディフューザプレートを、真空アセンブリ1105上に配置し、カバーを有するディフューザプレートの上流端部302をシールする。該ディフューザプレートの上流端部をカバー(またはシール)するのに使用する材料は、真空下で、その完全性を保つのに十分強固でなければならない。上記真空アセンブリは、ディフューザプレートホルダ1120によって、該ディフューザプレートをその縁部で支持するのみである(図11B参照)。真空アセンブリ1105は、該ディフューザプレートの上流端部がカバーされたときに、該ディフューザプレートと真空アセンブリ1105との間のボリューム1115内で真空を引くポンプチャネル1150を有するように構成されている。図11B及び図11Cのポンピングチャネル1150は、単に該コンセプトを説明するのに用いられる。真空アセンブリ1105の異なる場所には、1つ以上のポンピングチャネルを設けることができる。その後、ステップ1102において、上記ディフューザプレートとディフューザホルダとの間のボリューム1115で真空を引く。該ディフューザプレートの湾曲が所望の湾曲に達したら、ステップ1103において、真空プロセスを停止し、周囲環境1140に等しい、該ディフューザプレートと真空アセンブリとの間のボリューム1115の圧力を元に戻す。上記真空アセンブリにおいて、任意のディフューザ支持体1030を、ディフューザプレート1110の下で、ディフューザプレート支持体1120の支持体高さ1125よりも低い支持体高さ1135で、かつ支持体1120の支持体間隔1127よりも短い支持体間隔1137で配置することができることに注意する。該任意の支持体は、上記ディフューザ湾曲を決めるのを補助することができ、該ディフューザプレートの表面にダメージを与えない、ゴム等の材料で形成することができる。図11Cは、上記湾曲プロセス後、ディフューザプレート支持体1120及び1130上に載っている湾曲したディフューザプレート1110を示す。
[0100]下流の円錐体(図3の符号312)の深さ、円錐体の径、円錐体のフレア角度またはそれら3つのパラメータの組み合わせを変える他の方法は、上記ディフューザプレートの中心部から該ディフューザプレートの縁部まで、円錐体の深さ、円錐体の径または円錐体のフレア角度を変えて、該ディフューザ穴を穿孔することである。該穿孔は、コンピュータ数値制御(CNC)マシニングによって実現できる。図12Aは、このようなプロセス1200のプロセスの流れを示す。プロセス1200は、ステップ1230において、該ディフューザプレートの中心部から縁部まで、孔の深さおよび/または孔の径を徐々に増加させながら、ディフューザプレートの下流側まで伸びる孔を形成することによってスタートする。上記フレア角度も、該ディフューザプレートの中心部から縁部に向かって変えることができる。次に、ステップ1240において、該プロセスは、該ディフューザプレートのガス流路の残りの部分を形成することによって完了する。上記下流側の円錐体は、ドリル工具を使用して形成することができる。同じフレア角度を有するドリル工具を、該ディフューザプレートの全域で使用する場合、上記円錐体のフレア角度は一定となり、円錐体の深さ及び円錐体の径は変化する。円錐体の径は、該フレア角度及び円錐体の深さによって決まる。重要なことは、該円錐体の深さを滑らかかつ徐々に変えて、上記基板全域で、円滑な堆積厚及び膜特性変化を確実にすることである。図12Bは、種々の円錐体深さ及び円錐体径の実施例を示す。ディフューザ穴1201は、上記ディフューザプレートの中心近くにあり、最少の円錐体深さ1211及び円錐体径1221を有する。ディフューザ穴1202は、該ディフューザプレートの中心部と縁部との間にあり、中間の円錐体深さ1212及び円錐体径1222を有する。ディフューザ穴1203は、上記ディフューザプレートの縁部近くにあり、最大の円錐体深さ1213及び円錐体径1223を有する。全てのディフューザ穴の円錐体のフレア角度は、図12Bのデザインの場合、同じである。しかし、該円錐体の径、円錐体の深さ及びフレア角度を変えることにより、上記ディフューザプレートの全域で該円錐体のデザインを変えることによって、堆積均一性を最適化することが可能である。円錐体の深さ、円錐体の径及び円錐体のフレア角度を変えることは、上記中空カソード効果にも影響を及ぼす該円錐体の総表面積に影響を及ぼす。より小さな円錐体表面積は、プラズマイオン化効率を低くする。
[0101]上記下流の孔(図3の符号312)の深さ(「d」)及び孔の径(「D」)を変えるまた別の方法は、該ディフューザプレートの全域に同一のディフューザ穴を穿孔することである(図12を参照のこと)。図12Cにおいて、上記ディフューザプレートの縁部(外側領域)のガスディフューザ穴1251は、該ディフューザプレートの中心部(内側領域)のガスディフューザ穴1252と同一である。また、下流の孔1255は、下流の孔1256と同一である。ガスディフューザプレートの下流面1254は、最初は平坦である。その後、該ディフューザプレートの下流側を機械加工して、縁部より薄い中心部を有する凹状に形成する。該機械加工は、該機械加工プロセスを繰り返し可能にするコンピュータ数値制御機械加工または他の種類の制御機械加工によって実現することができる。下流面1254を凹状形状(面1259)に機械加工した後、上記ディフューザプレートの中心部(内側領域)の下流孔1258は、該ディフューザプレートの縁部(外側領域)の下流孔1257よりも小さい径(「D」)及び小さい長さ(「d」)を有する。該ディフューザプレートは、図12Dのようにそのままにすることができ、あるいは、下流面1259は、図12Eに示すように、引っ張って平坦に、または所望の膜を実現するプロセスチャンバに用いられる他の湾曲(図示せず)にすることができる。
[0102]上記下流の孔(図3の符号312)の深さ(「d」)及び孔の径(「D」)を変えるまた他の方法は、どのディフューザ穴も凸状にすることなく、該ディフューザプレートを湾曲させることである(図12F参照)。図12Fにおいて、下流面は、面1269である。同じ種類のドリルを使用して、仮想平坦面1264から同じ深さに下流孔を穿孔する(12G参照)。上記ディフューザプレートの中心部の下流孔1268は、仮想面1264から下流孔1267と同じ深さに穿孔されるが、下流孔1268の径及び長さは、下流孔1267の径及び長さよりも小さい。オリフィス穴1265、上流孔1263及び接続底部を含む該ディフューザ穴の残りは、該ディフューザ穴を完成させるように機械加工される。全てのオリフィス穴及び上流孔は、必要ではないが、同一の径を有するべきである。該オリフィス穴の径及び長さは、(図12Gに示すように)該ディフューザプレートの全域で同じに保つべきである。該オリフィス穴は、背圧を制御する。該オリフィス穴の径及び長さを、該ディフューザプレートの全域で同じに保つことにより、ガスフローに影響を及ぼす該背圧を、該ディフューザプレートの全域で同じに保つことができる。該ディフューザプレートは、図12Gのようにそのままにすることができ、あるいは、下流面1269は、図12Hに示すように、引っ張って平坦に、または所望の膜を実現するプロセスチャンバに用いられる他の湾曲(図示せず)にすることができる。
[0103]上記中空カソードキャビティの径および/または長さの変化が円滑かつ緩やかである限り、該変化は、上記ディフューザプレートの中心部から該ディフューザプレートの縁部に向かって完全に連続的である必要はない。これは、領域間の該変化が十分小さい限りは、同軸パターンに配置された多数の均一なゾーンによって実現することができる。しかし、該ディフューザプレートの中心部から該ディフューザプレートの縁部までは、中空カソードキャビティのサイズ(容積および/または表面積)は、全般的に増加する必要がある。図12Iは、該ディフューザプレートの(下流側からみた)底部の概略プロットを示す。該ディフューザプレートは、N個の同心ゾーンに分割される。同心ゾーンは、内側及び外側の境界の間の領域として定義され、両領域とも、該ディフューザプレートの全体形状として同じ幾何学形状を有する。各ゾーン内において、該ディフューザ穴は同一である。ゾーン1からゾーンNまで、上記中空カソードキャビティは、サイズ(容積および/または表面積)が徐々に増加する。この増加は、中空カソードキャビティの径、長さ、フレア角度、またはそれらのパラメータの組み合わせの増加によって実現することができる。
[0104]上記ディフューザプレートの中心部から縁部までの中空カソードキャビティの径および/または長さの増加は、該中空カソードキャビティの下流のディフューザプレートの表面積ごとの中空カソードキャビティのサイズ(容積および/または表面積)が全体的に増加する限り、全てのディフューザ穴に適用すべきではない。例えば、いくつかのディフューザ穴は、該ディフューザプレートの全域で同じに保つことができ、残りのディフューザプレートは、徐々に増加するサイズ(容積および/または表面積)の上記中空カソードキャビティを有する。別の実施例においては、該ディフューザ穴は、図12Jに示すように、徐々に増加するサイズ(容積および/または表面積)の中空カソードキャビティを有し、該ディフューザプレートの縁部に、いくつかの小さな中空カソードキャビティがある。また別の実施形態においては、図12Kに示すように、ほとんどの中空カソードキャビティが、該ディフューザプレートの全域で均一であり、該ディフューザプレートの縁部の方に、より大きな中空カソードキャビティが少し存在する。
[0105]上記中空カソードキャビティの容積密度は、該中空カソードキャビティの下流のディフューザプレート表面積毎の該中空カソードキャビティの容積として定義することができる。同様に、該中空カソードキャビティの中空カソードキャビティ表面積密度は、該中空カソードキャビティの下流のディフューザプレート表面積毎の該中空カソードキャビティの全表面積として定義することができる。上記の結果は、プラズマ及びプロセスの均一性を、該ディフューザプレートの内側領域から外側領域への、または該ディフューザプレートの中心部から縁部への、該中空カソードキャビティの容積密度あるいは該中空カソードキャビティの表面積密度のいずれかの緩やかな増加によって改善することができることを示している。
[0106]膜の堆積厚及び特性の均一性を変える他の方法は、上記ディフューザプレートの全域のディフューザ穴密度を変えると共に、該ディフューザ穴を同一に保つことである。該ディフューザ穴の密度は、下流側304と交わる孔312の孔の総面積を、測定領域における該ディフューザプレートの下流側304の総面積で割ることによって算出される。ディフューザ穴の密度は、約10%〜約100%まで、好ましくは、30%〜約100%まで変化させることができる。「ドーム形状」問題を低減するため、該ディフューザ穴密度は、上記内側領域におけるプラズマ密度を低減するために、上記外側領域と比べて、該内側領域において低くするべきである。該内側領域から外側領域への密度の変化は、均一かつ円滑な堆積及び膜特性プロファイルを確実にするために、緩やかかつ滑らかにすべきである。図13は、上記中心部(領域A)における低いディフューザ穴密度から上記縁部(領域B)における高いディフューザ穴密度への緩やかな変化を示す。該中心領域におけるディフューザ穴の低い密度は、該中心領域におけるプラズマ密度を低減し、かつ「ドーム形状」問題を低減する。図13における該ディフューザ穴の配置は、単に、中心部から縁部に向かってディフューザ穴の密度が増加することを説明するために用いられる。本発明は、どのようなディフューザ穴の配置及びパターンにも適用できる。該密度の変化というコンセプトは、該ディフューザ穴のデザインの変形とも組み合わせて、中心部から縁部への均一性を改善することができる。プラズマの均一性を実現するために、上記ガス流路の密度を変える場合、上記下流端部における上記中空カソードキャビティの間隔は、0.6インチを超えることが可能である。
[0107]上記ディフューザプレートの中心部から該ディフューザプレートの縁部への中空カソードキャビティのサイズ(容積および/または表面積)の緩やかな増加という本発明のコンセプトは、該ディフューザ穴の密度変化を伴うまたは伴わない、該中空カソードキャビティのサイズ(容積および/または表面積)と形状の変化のうちの一方と、一つのディフューザプレートの湾曲方法と、適用可能な一つの中空カソードキャビティの機械加工方法との組み合わせによって実現することができる。例えば、該ディフューザプレートの中心部から縁部へのディフューザ穴の増加する密度というコンセプトは、該ディフューザプレートの中心部から縁部への該中空カソードキャビティ(または下流の孔)の径を増加させることを利用することができる。該ディフューザプレートは、平坦に保つことができ、また該ディフューザ穴は、CNC方法で穿孔される。上記組み合わせは多数ある。そのため、上記コンセプトは、膜厚及び特性の均一性の要求を満たすことが可能である。
[0108]ここまで、ディフューザプレートの中心部から該ディフューザプレートの縁部へ向かって、中空カソードキャビティの径及び長さを増加させて、基板全域でのプラズマの均一性を改善する本発明の様々な実施形態を主に説明した。該ディフューザプレートの中心部から該ディフューザプレートの縁部へ向かって、中空カソードキャビティの径及び長さを減らすことを要求する状況がある。例えば、上記電源は、上記基板の中心部近傍でより低くする可能性があり、上記中空カソードキャビティは、該低い電源を補正するために、より大きくする必要がある。したがって、本発明のコンセプトは、ディフューザプレートの中心部から該ディフューザプレートの縁部へ向かって、中空カソードキャビティのサイズ(容積および/または表面積)を減らすことに適用できる。
[0109]本発明のコンセプトは、任意の中空カソードキャビティデザインを含むどのようなデザインのガスディフューザ穴、およびどのような形状/サイズのガスディフューザプレートにも適用できる。本発明のコンセプトは、中空カソードキャビティの多数のデザインを含む、ガスディフューザ穴の多数のデザインを利用するディフューザプレートに適用できる。本発明のコンセプトは、例えば、鋳造、ろう付け、鍛造、熱平衡圧着または焼結等のどのような方法によっても、任意の湾曲からなるディフューザプレート、例えば、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)またはそれらを組み合わせたあらゆる物質からなるディフューザプレートにも適用できる。また、本発明のコンセプトは、一緒に圧着または付着される材料からなる多数の層で形成されたディフューザプレートにも適用できる。また、本発明のコンセプトは、クラスタシステム、独立型システム、インラインシステムあるいは適用可能などのようなシステムにも設けることができるチャンバに用いることができる。
[0110]本発明の教示を含むいくつかの好適な実施形態を詳細に示しかつ説明してきたが、当業者は、該教示を含む他の多くの変形実施例を容易に案出することができる。
底部ゲート薄膜トランジスタの断面該略図を示す。 本発明のガス分散プレートアセンブリの一実施形態を有する例示的な処理チャンバの概略断面図である。 ガスディフューザプレートの断面該略図である。 ディフューザプレートによって、プロセスチャンバ内の基板上に薄膜を堆積するプロセスフローを示す。 均等なディフューザホール径及び深さを有するディフューザプレートを用いた堆積から集めた、1500mm×1800mmの基板に対する堆積速度測定値を示す。 ポンピングチャネルを閉じた状態の側部に近い基板の2辺(501及び502)と、基板上の5つの測定場所とを示す。 中空カソード効果の概念を示す(従来技術)。 中空カソードキャビティのデザインを示す。 中空カソードキャビティのデザインを示す。 中空カソードキャビティのデザインを示す。 中空カソードキャビティのデザインを示す。 中空カソードキャビティのデザインを示す。 中空カソードキャビティのデザインを示す。 ガス流路の下流端部に拡がる孔の径「D」、深さ「d」及びフレア角度「α」の定義を示す。 ガス流路の寸法を示す。 ガス流路の寸法を示す。 ガス流路の寸法を示す。 ディフューザプレート全域のガス流路の配置を示す。 図7Eに示すようなディフューザプレート全域のガス流路の配置を有するディフューザプレートを用いた堆積から集めた、1500mm×1800mmの基板に対する堆積速度測定値を示す。 ディフューザプレート形成のプロセスフローを示す。 湾曲したディフューザプレートを示す。 すでに湾曲され、下流側に面している側が平坦に加工されているディフューザプレートを示す。 1500mm×1850mmの基板を処理するのに使用されるディフューザプレートのガス流路の下流端部に拡がるディフューザ穴の深さの分布を示す。 1500mm×1850mmの基板に対する堆積速度の測定値を示す。 1870mm×2200mmの基板を処理するのに使用されるディフューザプレートのガス流路の下流端部に拡がるディフューザ穴の深さの分布を示す。 1870mm×2200mmの基板に対する堆積速度の測定値を示す。 熱プロセスによってディフューザプレートを湾曲させるプロセスフローを示す。 ディフューザプレートを湾曲させるのに用いることができる熱環境中の支持体上のディフューザプレートを示す。 熱環境中の支持体上の凸状ディフューザプレートを示す。 真空プロセスによってディフューザプレートを湾曲させるプロセスフローを示す。 真空アセンブリ上のディフューザプレートを示す。 真空アセンブリ上の凸状ディフューザプレートを示す。 ディフューザプレートの下流側に拡がる孔の異なる径及び深さを有するディフューザプレートを形成するプロセスフローを示す。 ディフューザプレートの下流側に拡がる孔の異なる径及び深さを有するディフューザプレートの断面を示す。 ディフューザプレートの中心から縁部に、実質的に等しいディフューザ穴を有するディフューザプレートを示す。 底面が凹状に加工された後の図12Cのディフューザプレートを示す。 底面が実質的に平坦に引き上げられた後の図12Dのディフューザプレートを示す。 凹状(底面)形状に湾曲されていない、ディフューザ穴がないディフューザプレートを示す。 ディフューザ穴を有する図12Fのディフューザプレートを示す。 その底面が実質的に平坦に引き上げられた後の図12Gのディフューザプレートを示す。 多数のゾーンにディフューザ穴を有するディフューザプレートを示す。 混成中空カソードキャビティ径を有し、内側領域中空カソードキャビティ容積および/または表面積密度が、外側領域中空カソードキャビティ容積および/または表面積密度よりも大きいディフューザプレートを示す。 ほとんどの中空カソードキャビティが同じで、ディフューザプレートの縁部近くにより大きな中空カソードキャビティが少し存在するディフューザプレートを示す。 異なるディフューザ穴密度を有するディフューザプレートの下流側の図を示す。
符号の説明
200…プラズマCVD装置、202…処理チャンバ、210…蓋アセンブリ、216…穿孔領域、218…ガス分散プレートアセンブリ、238…支持体アセンブリ、240…ガラス基板、258…ディフューザプレート、262…ガス流路、310…第1の孔、312…第2の孔。

Claims (43)

  1. 流面と凹状の下流面とを有するディフューザプレート要素と、
    前記ディフューザプレート要素の上流面と下流面の間を通る内側及び外側ガス流路と、
    を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流であって前記下流面にある中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記内側ガス流路の中空カソードキャビティのサイズが、前記外側ガス流路の中空カソードキャビティのサイズよりも小さい、プラズマ処理チャンバのためのガス分散プレートアセンブリ。
  2. 流面と下流面とを有するディフューザプレート要素と、
    前記ディフューザプレート要素の上流面と下流面の間を通る内側及び外側ガス流路と、
    を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流であって前記下流面にある中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記内側ガス流路の中空カソードキャビティの表面積密度が、前記外側ガス流路の中空カソードキャビティの表面積密度よりも小さい、プラズマ処理チャンバのためのガス分散プレートアセンブリ。
  3. 流面と下流面とを有するディフューザプレート要素と、
    前記ディフューザプレート要素の上流面と下流面の間を通る複数のガス流路と、を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流にあると共に、前記ディフューザプレート要素の前記下流面と交わる中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記中空カソードキャビティの密度が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記中空カソードキャビティの容積密度又は表面積密度が、前記ディフューザプレート要素の中心部から縁部に向かって増加している、プラズマ処理チャンバのためのガス分散
    プレートアセンブリ。
  4. 流面と下流面とを有するディフューザプレート要素であって、ガスディフューザプレートが、多数の同心ゾーンに分割されている、ディフューザプレート要素と、
    前記ディフューザプレート要素の上流面と下流面の間を通る複数のガス流路と、を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流であって前記下流面にある中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    各ゾーンのガス流路が同一であり、かつ各ゾーンのガス流路の中空カソードキャビティの容積または表面積が、前記ディフューザプレート要素の中心部から縁部に向かって徐々に増加する、プラズマ処理チャンバのためのガス分散プレートアセンブリ。
  5. 前記同心ゾーンの数が、少なくとも2つである、請求項4に記載のガス分散プレートアセンブリ。
  6. 前記第2の径が、0.1〜1.0インチである、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  7. 前記第2の径が、0.1〜0.5インチである、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  8. 前記円錐体または円筒形の深さが、0.1〜2.0インチである、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  9. 前記円錐体または円筒形の深さが、0.1〜1.0インチである、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  10. 前記円錐体のフレア角度が、10°〜50°である、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  11. 前記円錐体のフレア角度が、20°〜40°である、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  12. 前記第2の径が、0.1〜1.0インチであり、前記円錐体または円筒形の深さが、0.1〜2.0インチであり、前記円錐体のフレア角度が、10°〜50°である、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  13. 隣接するガス流路の前記中空カソードキャビティの下流端部間の間隔が、多くて0.6インチである、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  14. 前記ディフューザプレート要素の厚さが、0.8インチ〜3.0インチである、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  15. 前記ディフューザプレート要素が長方形である、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  16. 前記ディフューザプレート要素のサイズが、少なくとも1,200,000mmである、請求項15に記載のガス分散プレートアセンブリ。
  17. 前記上流面から前記オリフィス穴に延びる第1の穴であって、前記第1の径よりも大きい第3の径を有し、先細り、傾斜、面取り、または丸みをつけられた底部を有する第1の穴と、
    前記オリフィス穴に結合されており、先細り、傾斜、面取り、または丸みをつけられた中空カソードキャビティの面と、を更に備える、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  18. 前記ディフューザ穴の密度が、10パーセント〜100パーセントである、請求項3又は4に記載のガス分散プレートアセンブリ。
  19. 前記ディフューザ穴の密度が、30パーセント〜100パーセントである、請求項3又は4に記載のガス分散プレートアセンブリ。
  20. 前記オリフィス穴が、ガスの均一な流れを促進するように形作られている、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  21. 前記オリフィス穴が、ガス流路において一様に形成されている、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  22. 前記オリフィス穴が、ガス流路において非一様に形成されている、請求項1〜4のいずれか一項に記載のガス分散プレートアセンブリ。
  23. 流面と下流面とを有するディフューザプレート要素と、
    前記ディフューザプレート要素に結合された高周波電源と、
    前記ディフューザプレート要素の上流面と下流面の間を通る内側及び外側ガス流路と、
    前記ディフューザプレート要素の下流面に隣接する基板支持体と、
    を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流であって前記下流面にある中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記内側ガス流路の中空カソードキャビティのサイズが、前記外側ガス流路の中空カソードキャビティのサイズよりも小さい、プラズマ処理チャンバ。
  24. 流面と下流面とを有するディフューザプレート要素と、
    前記ディフューザプレート要素に結合された高周波電源と、
    前記ディフューザプレート要素の上流面と下流面の間を通る内側及び外側ガス流路と、
    前記ディフューザプレート要素の下流面に隣接する基板支持体と、
    を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流であって前記下流面にある中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記内側ガス流路の中空カソードキャビティの表面積密度が、前記外側ガス流路の中空カソードキャビティの表面積密度よりも小さい、プラズマ処理チャンバ。
  25. 流面と下流面とを有するディフューザプレート要素と、
    前記ディフューザプレート要素に結合された高周波電源と、
    前記ディフューザプレート要素の上流面と下流面の間を通る複数のガス流路と、
    前記ディフューザプレート要素の下流面に隣接する基板支持体と、
    を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流であって前記下流面にある中空カソードキャビティと、を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記下流面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、前記ディフューザプレート要素の中心部から縁部に向かって増加しており、
    前記複数のガス流路の中空カソードキャビティの表面積密度が、前記ディフューザプレート要素の中心部から縁部に向かって増加している、プラズマ処理チャンバ。
  26. 前記複数のガス流路の中空カソードキャビティの表面積密度が、10パーセント〜100パーセントである、請求項25に記載のプラズマ処理チャンバ。
  27. 前記第2の径が、0.1〜1.0インチである、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  28. 前記円錐体または円筒形の深さが、0.1〜2.0インチである、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  29. 前記円錐体のフレア角度が、10°〜50°である、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  30. 前記第2の径が、0.1〜1.0インチであり、前記円錐体または円筒形の深さが、0.1〜2.0インチであり、前記円錐体のフレア角度が、10°〜50°である、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  31. 隣接するガス流路の前記中空カソードキャビティの下流端部間の間隔が、多くて0.6インチである、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  32. 前記ディフューザプレート要素の厚さが、0.8インチ〜3.0インチである、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  33. 前記ディフューザプレート要素が長方形である、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  34. 前記ディフューザプレート要素のサイズが、少なくとも1,200,000mmである、請求項33に記載のプラズマ処理チャンバ。
  35. 各ガス流路が、
    前記上流面から前記オリフィス穴に延びる第1の穴であって、前記第1の径よりも大きい第3の径を有し、先細り、傾斜、面取り、または丸みをつけられた底部を有する第1の穴と、
    前記オリフィス穴に結合されており、先細り、傾斜、面取り、または丸みをつけられた中空カソードキャビティの面と、
    を更に備える、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  36. 前記オリフィス穴が、ガスの均一な流れを促進するように形作られている、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  37. 前記オリフィス穴が、ガス流路において一様に形成されている、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  38. 前記オリフィス穴が、ガス流路において非一様に形成されている、請求項23〜25のいずれか一項に記載のプラズマ処理チャンバ。
  39. 上面及び凹状の底面を有するボディと、
    前記上面と底面の間の複数のガス流路と、
    外側領域及び内側領域であって、前記外側領域の前記上面と底面の間の前記ボディが、前記内側領域の前記上面と底面の間の前記ボディよりも厚い、外側領域及び内側領域と、
    を備え、
    各ガス流路が、
    第1の径を有するオリフィス穴と、
    前記オリフィス穴の下流にあると共に、前記底面と交わる中空カソードキャビティと、
    を有し、
    前記中空カソードキャビティが、円錐体又は円筒形を有し、前記第1の径よりも大きい第2の径を前記底面に有しており、
    前記円錐体又は円筒形における前記第2の径、深さ又は前記第2の径と前記深さの両方が、ディフューザプレートの中心部から縁部に向かって増加しており、
    前記中空カソードキャビティのサイズが、前記ディフューザプレートの中心部から縁部に向かって増加している、ディフューザプレート。
  40. 前記上面が実質的に平坦である、請求項39に記載のディフューザプレート。
  41. 前記オリフィス穴が、ガスの均一な流れを促進するように形作られている、請求項39に記載のディフューザプレート。
  42. 前記オリフィス穴が、ガス流路において一様に形成されている、請求項39に記載のディフューザプレート。
  43. 前記オリフィス穴が、ガス流路において非一様に形成されている、請求項39に記載のディフューザプレート。
JP2004353175A 2004-05-12 2004-12-06 ガス分散プレートアセンブリ、プラズマ処理チャンバ及びディフューザプレート Active JP4541117B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US57087604P 2004-05-12 2004-05-12
US10/889,683 US8083853B2 (en) 2004-05-12 2004-07-12 Plasma uniformity control by gas diffuser hole design

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009212123A Division JP5202486B2 (ja) 2004-05-12 2009-09-14 ガスディフューザのホールデザインによるプラズマ均一性制御

Publications (2)

Publication Number Publication Date
JP2005328021A JP2005328021A (ja) 2005-11-24
JP4541117B2 true JP4541117B2 (ja) 2010-09-08

Family

ID=34933328

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004353175A Active JP4541117B2 (ja) 2004-05-12 2004-12-06 ガス分散プレートアセンブリ、プラズマ処理チャンバ及びディフューザプレート
JP2009212123A Active JP5202486B2 (ja) 2004-05-12 2009-09-14 ガスディフューザのホールデザインによるプラズマ均一性制御

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009212123A Active JP5202486B2 (ja) 2004-05-12 2009-09-14 ガスディフューザのホールデザインによるプラズマ均一性制御

Country Status (5)

Country Link
US (5) US8083853B2 (ja)
EP (2) EP1595974A3 (ja)
JP (2) JP4541117B2 (ja)
KR (2) KR100856690B1 (ja)
TW (1) TWI259506B (ja)

Families Citing this family (633)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10340147B4 (de) * 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7459098B2 (en) * 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
US7556741B2 (en) * 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
JP4683334B2 (ja) * 2006-03-31 2011-05-18 株式会社島津製作所 表面波励起プラズマ処理装置
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
US7582515B2 (en) * 2007-01-18 2009-09-01 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US8203071B2 (en) * 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US20080245414A1 (en) * 2007-04-09 2008-10-09 Shuran Sheng Methods for forming a photovoltaic device with low contact resistance
US20080254613A1 (en) * 2007-04-10 2008-10-16 Applied Materials, Inc. Methods for forming metal interconnect structure for thin film transistor applications
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
US7964430B2 (en) * 2007-05-23 2011-06-21 Applied Materials, Inc. Silicon layer on a laser transparent conductive oxide layer suitable for use in solar cell applications
US20080289686A1 (en) * 2007-05-23 2008-11-27 Tae Kyung Won Method and apparatus for depositing a silicon layer on a transmitting conductive oxide layer suitable for use in solar cell applications
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
KR100910182B1 (ko) * 2007-06-21 2009-07-31 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US7875486B2 (en) 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090104733A1 (en) * 2007-10-22 2009-04-23 Yong Kee Chae Microcrystalline silicon deposition for thin film solar applications
WO2009059238A1 (en) 2007-11-02 2009-05-07 Applied Materials, Inc. Plasma treatment between deposition processes
US20090165716A1 (en) * 2008-01-01 2009-07-02 Dongguan Anwell Digital Machinery Ltd. Method and system for plasma enhanced chemical vapor deposition
US7833885B2 (en) 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
WO2009117438A2 (en) 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
JP5287850B2 (ja) * 2008-04-08 2013-09-11 株式会社島津製作所 プラズマcvd用のカソード電極、およびプラズマcvd装置
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US8258511B2 (en) 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8895842B2 (en) * 2008-08-29 2014-11-25 Applied Materials, Inc. High quality TCO-silicon interface contact structure for high efficiency thin film silicon solar cells
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100133094A1 (en) * 2008-12-02 2010-06-03 Applied Materials, Inc. Transparent conductive film with high transmittance formed by a reactive sputter deposition
US20100163406A1 (en) * 2008-12-30 2010-07-01 Applied Materials, Inc. Substrate support in a reactive sputter chamber
KR20100093347A (ko) * 2009-02-16 2010-08-25 엘지전자 주식회사 태양전지, 태양전지의 제조방법 및 제조장치, 박막 증착방법
KR101092879B1 (ko) * 2009-04-06 2011-12-12 한국과학기술원 기판 처리 장치, 기판 처리 방법, 예비 전극 구조체, 측정 전극 구조체, 및 공정 전극 구조체
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5455462B2 (ja) * 2009-06-23 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20110114177A1 (en) * 2009-07-23 2011-05-19 Applied Materials, Inc. Mixed silicon phase film for high efficiency thin film silicon solar cells
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9177761B2 (en) * 2009-08-25 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
KR20110021654A (ko) * 2009-08-25 2011-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법
KR101722903B1 (ko) 2009-08-25 2017-04-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 광전 변환장치의 제조방법
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR101733718B1 (ko) 2009-09-24 2017-05-10 어플라이드 머티어리얼스, 인코포레이티드 소스 및 드레인 금속 식각을 위해 습식 프로세스를 이용하여 금속 산화물 또는 금속 산질화물 tft들을 제조하는 방법들
US8840763B2 (en) 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
WO2011046664A2 (en) * 2009-10-15 2011-04-21 Applied Materials, Inc. A barrier layer disposed between a substrate and a transparent conductive oxide layer for thin film silicon solar cells
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
WO2011062940A2 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Large area plasma processing chamber with at-electrode rf matching
US20110126875A1 (en) * 2009-12-01 2011-06-02 Hien-Minh Huu Le Conductive contact layer formed on a transparent conductive layer by a reactive sputter deposition
US20110232753A1 (en) * 2010-03-23 2011-09-29 Applied Materials, Inc. Methods of forming a thin-film solar energy device
JP2013524510A (ja) 2010-03-30 2013-06-17 アプライド マテリアルズ インコーポレイテッド p型拡散層の上に負荷電パッシベーション層を形成する方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
EP2426737A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
EP2439792A1 (en) 2010-10-05 2012-04-11 Applied Materials, Inc. Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
KR20120035559A (ko) * 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
EP2523227A1 (en) 2011-05-13 2012-11-14 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120318457A1 (en) * 2011-06-17 2012-12-20 Son Nguyen Materials and coatings for a showerhead in a processing system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
CN103608925B (zh) 2011-07-13 2017-06-13 应用材料公司 制造薄膜晶体管器件的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101912888B1 (ko) 2011-10-07 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 아르곤 가스 희석으로 실리콘 함유 층을 증착하기 위한 방법들
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP6054695B2 (ja) * 2011-11-25 2016-12-27 東京エレクトロン株式会社 成膜装置
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
JP5895603B2 (ja) * 2012-03-01 2016-03-30 三菱マテリアル株式会社 プラズマ処理装置用電極板
US8911588B2 (en) * 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN105274498B (zh) * 2012-05-11 2017-10-27 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
KR102015011B1 (ko) * 2012-06-20 2019-10-21 주성엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6338462B2 (ja) 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
KR102180119B1 (ko) * 2013-12-30 2020-11-17 주성엔지니어링(주) 기판처리장치
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214340B2 (en) * 2014-02-05 2015-12-15 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
EP2937890B1 (en) * 2014-04-22 2020-06-03 Europlasma nv Plasma coating apparatus with a plasma diffuser and method preventing discolouration of a substrate
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6404111B2 (ja) 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10047440B2 (en) 2015-09-04 2018-08-14 Applied Materials, Inc. Methods and apparatus for uniformly and high-rate depositing low resistivity microcrystalline silicon films for display devices
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20180063345A (ko) * 2015-10-26 2018-06-11 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI689619B (zh) 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9997336B2 (en) * 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180062101A (ko) * 2016-11-30 2018-06-08 엘지디스플레이 주식회사 샤워헤드 및 이를 포함하는 롤투롤 플라즈마 처리장치
WO2018110013A1 (ja) * 2016-12-13 2018-06-21 株式会社アルバック シャワーヘッド及び真空処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
USD834686S1 (en) 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180185893A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Systems, methods, and apparatus for transfer chamber gas purge of electronic device processing systems
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110678573A (zh) * 2017-01-16 2020-01-10 持续能源解决有限公司 用于防止在直接接触式热交换器中的凝华作用的方法及装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US20180245216A1 (en) * 2017-02-28 2018-08-30 Tokyo Electron Limited Film forming apparatus
JP2020510307A (ja) * 2017-03-09 2020-04-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性cvdのためのディフューザー設計
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP7058485B2 (ja) * 2017-05-16 2022-04-22 東京エレクトロン株式会社 プラズマ処理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
KR102185735B1 (ko) * 2017-11-30 2020-12-02 시너스텍 주식회사 파릴렌 증착 장치 및 방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110416048B (zh) * 2018-04-27 2022-07-19 北京北方华创微电子装备有限公司 一种反应腔室和半导体加工设备
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
WO2019236937A1 (en) * 2018-06-08 2019-12-12 Applied Materials, Inc. Temperature controlled gas diffuser for flat panel process equipment
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102436079B1 (ko) 2018-06-20 2022-08-25 가부시키가이샤 아루박 진공 처리장치, 지지 샤프트
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7110020B2 (ja) 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018130859A1 (de) 2018-12-04 2020-06-04 Aixtron Se CVD-Reaktor mit einem von einer Schirmplatten-Anordnung abgedeckten Gaseinlassorgan
KR20200072640A (ko) 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20200347499A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Large-area high-density plasma processing chamber for flat panel displays
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20210047730A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Chamber configurations for controlled deposition
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021110041A (ja) * 2020-01-15 2021-08-02 エーエスエム アイピー ホールディング ビー.ブイ. シャワーヘッドアセンブリおよび構成要素
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US20210310122A1 (en) * 2020-04-03 2021-10-07 Applied Materials, Inc. Method of forming holes from both sides of substrate
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093368A1 (en) * 2020-09-21 2022-03-24 Applied Materials, Inc. Wafer non-uniformity tweaking through localized ion enhanced plasma (iep)
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102323037B1 (ko) * 2021-06-28 2021-11-10 주식회사 에이치앤이루자 기판 처리 장치의 샤워 헤드 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230040828A (ko) 2021-09-16 2023-03-23 (주)티티에스 디퓨져 및 디퓨져 미세홀의 가공 방법
US20230122134A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Deposition chamber system diffuser with increased power efficiency
JP7417652B2 (ja) 2022-04-08 2024-01-18 株式会社アルバック シャワープレート、プラズマ処理装置
CN117059466A (zh) * 2023-10-13 2023-11-14 江苏邑文微电子科技有限公司 半导体沉积设备

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03122285A (ja) * 1989-10-06 1991-05-24 Hitachi Electron Eng Co Ltd プラズマcvd装置
JPH04115531A (ja) * 1990-09-05 1992-04-16 Mitsubishi Electric Corp 化学気相成長装置
JP2000235954A (ja) * 1999-02-15 2000-08-29 Hiroshima Nippon Denki Kk ガス吹き出し部材
JP2000269146A (ja) * 1999-03-18 2000-09-29 Nippon Asm Kk プラズマcvd成膜装置
JP2001102309A (ja) * 1998-04-09 2001-04-13 Tokyo Electron Ltd ガス処理装置
JP2002053965A (ja) * 2000-06-24 2002-02-19 Ips Ltd 薄膜蒸着用反応容器
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置

Family Cites Families (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US539387A (en) * 1895-05-14 Refrigerator
US3830194A (en) 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
AU555553B2 (en) 1981-10-27 1986-10-02 Arthur Malcolm Bennett Valve member
US4568027A (en) * 1983-05-13 1986-02-04 Hydralast Products, Inc. Fluid spray-forming device
JPS6025235A (ja) 1983-07-22 1985-02-08 Hitachi Ltd エツチング装置
US4522149A (en) 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4809421A (en) 1984-01-16 1989-03-07 Precision Brand Products, Inc. Slotted shim
US4491520A (en) 1984-02-22 1985-01-01 Jaye Richard C Filter for water jugs
US4563367A (en) 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4726924A (en) 1984-06-28 1988-02-23 The Boeing Company Method of planar forming of zero degree composite tape
US4763690A (en) 1986-07-29 1988-08-16 Harsco Corporation Leak-proof valve for gas cylinders
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPS644481A (en) 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4799418A (en) 1987-08-21 1989-01-24 Mitsuba Electric Mfg. Co., Ltd. Vacuum actuator for vehicle speed control
US4927991A (en) 1987-11-10 1990-05-22 The Pillsbury Company Susceptor in combination with grid for microwave oven package
JPH01149964A (ja) 1987-12-04 1989-06-13 Furukawa Electric Co Ltd:The プラズマcvd装置用シャワー電極
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JP2969596B2 (ja) 1989-10-06 1999-11-02 アネルバ株式会社 Cvd装置
US5124635A (en) 1990-02-15 1992-06-23 Photon Dynamics, Inc. Voltage imaging system using electro-optics
JPH03122285U (ja) 1990-03-26 1991-12-13
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5173580A (en) 1990-11-15 1992-12-22 The Pillsbury Company Susceptor with conductive border for heating foods in a microwave oven
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US6289322B1 (en) 1998-03-03 2001-09-11 Checkfree Corporation Electronic bill processing
US5152504A (en) 1991-09-11 1992-10-06 Janis Research Company, Inc. Vacuum valve
US5339387A (en) 1991-10-24 1994-08-16 Abekas Video Systems, Inc. Planar color gradients constructed as an arbitrary function of a distance function from an arbitrary 2-D curvilinear function
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JPH06216078A (ja) * 1992-08-31 1994-08-05 Texas Instr Inc <Ti> ウェハの容量結合放電処理装置および方法
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
US5820686A (en) 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5503809A (en) 1993-04-19 1996-04-02 John T. Towles Compact ozone generator
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
DE4326308C1 (de) 1993-08-05 1994-10-20 Jenoptik Jena Gmbh Transportvorrichtung für Magazine zur Aufnahme scheibenförmiger Objekte
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
DE69433836D1 (de) 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
DE4413077C2 (de) 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5628869A (en) 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
AU2764095A (en) 1994-06-03 1996-01-04 Commissariat A L'energie Atomique Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
CN1097316C (zh) 1995-08-04 2002-12-25 精工爱普生株式会社 薄膜晶体管的制造方法、有源矩阵基板的制造方法以及液晶显示装置
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6342135B1 (en) 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US6004875A (en) 1995-11-15 1999-12-21 Micron Technology, Inc. Etch stop for use in etching of silicon oxide
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
KR100271222B1 (ko) 1995-12-14 2000-12-01 오카베 히로무 반도체 소자 및 그 제조 방법
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10134997A (ja) 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
KR19980032712U (ko) 1996-12-04 1998-09-05 오상수 에어백가스배출장치
KR100252210B1 (ko) 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US6756324B1 (en) 1997-03-25 2004-06-29 International Business Machines Corporation Low temperature processes for making electronic device structures
WO1998058099A1 (de) 1997-06-13 1998-12-23 Balzers Hochvakuum Ag Verfahren zur herstellung beschichteter werkstücke, verwendungen des verfahrens und anlage hierfür
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6548122B1 (en) 1997-09-16 2003-04-15 Sri International Method of producing and depositing a metal film
EP1032723A1 (en) 1997-11-17 2000-09-06 Symetrix Corporation Method and apparatus for misted deposition of thin films
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR100279963B1 (ko) 1997-12-30 2001-04-02 윤종용 반도체소자제조용가스디퓨져및이를설치한반응로
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
GB9808825D0 (en) 1998-04-24 1998-06-24 Nimbus Communications Int Ltd A disk recording system and a method of controlling the rotation of a turntable in such a disk recording system
KR100505310B1 (ko) 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6619131B2 (en) 1998-07-16 2003-09-16 Unaxis Balzers Ag Combination pressure sensor with capacitive and thermal elements
TW384502B (en) 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
CA2277394C (en) 1998-09-09 2003-10-21 Saint-Gobain Industrial Ceramics, Inc. Plasma jet chemical vapor deposition system having a plurality of distribution heads
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100550931B1 (ko) 1998-09-30 2006-02-13 어낵시스 발처스 악티엔게젤샤프트 진공처리챔버 및 표면처리방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6140255A (en) 1998-12-15 2000-10-31 Advanced Micro Devices, Inc. Method for depositing silicon nitride using low temperatures
US6344420B1 (en) 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
JP4547125B2 (ja) 1999-05-13 2010-09-22 東京エレクトロン株式会社 誘導結合プラズマ処理装置
DE19923300A1 (de) 1999-05-21 2000-11-23 Bayer Ag Phosphatstabilisierte, kondensationsvernetzende Polyurethanmassen, ein Verfahren zu ihrer Herstellung sowie ihre Verwendung
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6548402B2 (en) * 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6214714B1 (en) * 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6149365A (en) 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
ATE420453T1 (de) 1999-09-29 2009-01-15 Europ Economic Community Gleichmässige gasverteilung in einer grossflächige plasma-behandlungs-vorrichtung
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR200189495Y1 (ko) 1999-12-31 2000-07-15 아남반도체주식회사 불화수소 증기 세정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6510263B1 (en) 2000-01-27 2003-01-21 Unaxis Balzers Aktiengesellschaft Waveguide plate and process for its production and microtitre plate
JP2001210603A (ja) 2000-01-27 2001-08-03 Semiconductor Leading Edge Technologies Inc 縦型熱処理装置用の反応管および該反応管を用いた縦型熱処理装置
US6961490B2 (en) 2000-01-27 2005-11-01 Unaxis-Balzers Aktiengesellschaft Waveguide plate and process for its production and microtitre plate
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP3501715B2 (ja) 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
AU2001255309A1 (en) 2000-04-28 2001-11-12 Tokyo Electron Limited Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6383573B1 (en) 2000-05-17 2002-05-07 Unaxis Balzers Aktiengesellschaft Process for manufacturing coated plastic body
US6566186B1 (en) 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP4382265B2 (ja) 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
JP2002064084A (ja) 2000-08-17 2002-02-28 Sumitomo Metal Ind Ltd プラズマ処理用ガス導入装置およびプラズマ処理方法
EP1258914B1 (en) 2000-09-14 2006-11-22 Japan as represented by President of Japan Advanced Institute of Science and Technology Heating element cvd device
EP1341948A1 (de) 2000-11-27 2003-09-10 Unaxis Trading AG Target mit dickenprofilierung für rf magnetron
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR20010044503A (ko) 2001-02-28 2001-06-05 김태열 파지압축기용 출구 조임장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6663025B1 (en) 2001-03-29 2003-12-16 Lam Research Corporation Diffuser and rapid cycle chamber
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
KR100422199B1 (ko) 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
WO2003002860A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
KR20030009853A (ko) 2001-07-24 2003-02-05 에스케이텔레텍주식회사 휴대폰에서의 메뉴검색방법
KR20030042920A (ko) 2001-11-26 2003-06-02 현대자동차주식회사 자동차용 도어의 힌지핀
US6986814B2 (en) 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
KR20030066118A (ko) 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
DE10211442A1 (de) 2002-03-15 2003-09-25 Aixtron Ag Vorrichtung zum Abscheiden von dünnen Schichten auf einem Substrat
KR20030077803A (ko) 2002-03-27 2003-10-04 삼성전자주식회사 반도체제조설비에 사용되는 가스분배장치
US6664202B2 (en) 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4338355B2 (ja) 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP4619116B2 (ja) 2002-06-21 2011-01-26 アプライド マテリアルズ インコーポレイテッド 真空処理システムのための搬送チャンバ
JP2004035971A (ja) 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP3935401B2 (ja) 2002-07-22 2007-06-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR100454138B1 (ko) 2002-07-31 2004-10-28 권혁수 각질 연마도구 및 그 제조방법
JP2004095953A (ja) 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
US6683216B1 (en) 2002-11-06 2004-01-27 Eastman Chemical Company Continuous process for the preparation of amines
CN1230044C (zh) 2002-11-14 2005-11-30 友达光电股份有限公司 等离子体处理装置
DE10253717B4 (de) 2002-11-18 2011-05-19 Applied Materials Gmbh Vorrichtung zum Kontaktieren für den Test mindestens eines Testobjekts, Testsystem und Verfahren zum Testen von Testobjekten
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
KR101088329B1 (ko) 2003-01-16 2011-11-30 스미또모 가가꾸 가부시끼가이샤 방현필름, 그 제조방법 및 방현필름을 구비한 표시장치
US6924241B2 (en) 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
US7314652B2 (en) 2003-02-28 2008-01-01 General Electric Company Diffuser for flat panel display
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
KR100941960B1 (ko) 2003-06-03 2010-02-11 주성엔지니어링(주) 화학기상증착 장치의 샤워헤드
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
KR101022662B1 (ko) 2003-08-05 2011-03-22 주성엔지니어링(주) 균일한 막 증착을 위한 챔버 및 샤워 헤드
KR20050024949A (ko) 2003-09-05 2005-03-11 삼성전자주식회사 플라즈마 식각 장치
ES2287755T3 (es) 2003-09-10 2007-12-16 Oc Oerlikon Balzers Ag Metodo de compensacion de falta de uniformidad de tension para un reactor de plasma de alta frecuencia para el tratamiento de sustratos de gran area rectangular.
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR101021876B1 (ko) 2004-01-19 2011-03-17 주성엔지니어링(주) 액정표시소자 제조장치의 샤워헤드
KR100592682B1 (ko) 2004-02-23 2006-06-28 주성엔지니어링(주) 표시장치용 기판 제조장비 및 그 가스분사장치
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
KR101036463B1 (ko) 2004-02-27 2011-05-24 엘지전자 주식회사 건조덕트 구조
US7695231B2 (en) 2004-03-08 2010-04-13 Jusung Engineering Co., Ltd. Vacuum pumping system, driving method thereof, apparatus having the same, and method of transferring substrate using the same
US20050223986A1 (en) 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
CH706979B1 (en) 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US20060005771A1 (en) 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
CN101144154B (zh) 2004-05-12 2012-11-14 应用材料公司 采用气体扩散板通道设计的等离子体均匀度控制
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
JP4451221B2 (ja) 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
KR101063737B1 (ko) 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
EP1789605A2 (en) 2004-07-12 2007-05-30 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
JP3913244B2 (ja) 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
JP2006120872A (ja) 2004-10-21 2006-05-11 Matsushita Electric Ind Co Ltd ガス拡散プレート
US20060130764A1 (en) 2004-12-16 2006-06-22 Jusung Engineering Co., Ltd. Susceptor for apparatus fabricating thin film
KR100650229B1 (ko) 2005-07-12 2006-11-27 주식회사 대양스치로폴 스치로폴 황토보드
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US8702867B2 (en) * 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US9364871B2 (en) * 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03122285A (ja) * 1989-10-06 1991-05-24 Hitachi Electron Eng Co Ltd プラズマcvd装置
JPH04115531A (ja) * 1990-09-05 1992-04-16 Mitsubishi Electric Corp 化学気相成長装置
JP2001102309A (ja) * 1998-04-09 2001-04-13 Tokyo Electron Ltd ガス処理装置
JP2000235954A (ja) * 1999-02-15 2000-08-29 Hiroshima Nippon Denki Kk ガス吹き出し部材
JP2000269146A (ja) * 1999-03-18 2000-09-29 Nippon Asm Kk プラズマcvd成膜装置
JP2002053965A (ja) * 2000-06-24 2002-02-19 Ips Ltd 薄膜蒸着用反応容器
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置

Also Published As

Publication number Publication date
KR100856690B1 (ko) 2008-09-04
JP5202486B2 (ja) 2013-06-05
US20160056019A1 (en) 2016-02-25
JP2010050466A (ja) 2010-03-04
EP1595974A3 (en) 2006-04-05
EP1595974A2 (en) 2005-11-16
US10262837B2 (en) 2019-04-16
US20110290183A1 (en) 2011-12-01
US20050251990A1 (en) 2005-11-17
US10312058B2 (en) 2019-06-04
KR20070091589A (ko) 2007-09-11
KR100931910B1 (ko) 2009-12-15
US20060236934A1 (en) 2006-10-26
EP2261393A3 (en) 2012-03-07
JP2005328021A (ja) 2005-11-24
US9200368B2 (en) 2015-12-01
TWI259506B (en) 2006-08-01
US20180025890A1 (en) 2018-01-25
KR20050109041A (ko) 2005-11-17
EP2261393A2 (en) 2010-12-15
US8083853B2 (en) 2011-12-27
TW200537561A (en) 2005-11-16

Similar Documents

Publication Publication Date Title
JP4541117B2 (ja) ガス分散プレートアセンブリ、プラズマ処理チャンバ及びディフューザプレート
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
JP3122484U (ja) 大型pecvdシステム用の多様な大きさの孔を有するバッフルプレートによるガス供給の均一性の向上
US11692268B2 (en) Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) Method of controlling the film properties of PECVD-deposited thin films
CN100575547C (zh) 通过气体分散器的等离子体均匀度控制
KR20070039931A (ko) 가스 확산기 곡률에 의한 플라즈마 균일성 제어
CN101144154B (zh) 采用气体扩散板通道设计的等离子体均匀度控制
JPH11233292A (ja) プラズマ処理装置
CN110846636A (zh) 用于处理腔室的涂覆材料
WO2023069227A1 (en) Dummy hole and mesh patch for diffuser

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081006

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081009

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081106

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081208

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20081208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090914

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100608

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100623

R150 Certificate of patent or registration of utility model

Ref document number: 4541117

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130702

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130702

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250