JP2010502008A - 凹んだゲートを具えたdramトランジスタ、およびその製造方法 - Google Patents

凹んだゲートを具えたdramトランジスタ、およびその製造方法 Download PDF

Info

Publication number
JP2010502008A
JP2010502008A JP2009525542A JP2009525542A JP2010502008A JP 2010502008 A JP2010502008 A JP 2010502008A JP 2009525542 A JP2009525542 A JP 2009525542A JP 2009525542 A JP2009525542 A JP 2009525542A JP 2010502008 A JP2010502008 A JP 2010502008A
Authority
JP
Japan
Prior art keywords
field effect
effect transistor
source
memory array
supported
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009525542A
Other languages
English (en)
Other versions
JP5445944B2 (ja
Inventor
エー. ハラー,ゴードン
ディー. タング,サン
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2010502008A publication Critical patent/JP2010502008A/ja
Application granted granted Critical
Publication of JP5445944B2 publication Critical patent/JP5445944B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/403Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells with charge regeneration common to a multiplicity of memory cells, i.e. external refresh
    • G11C11/405Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells with charge regeneration common to a multiplicity of memory cells, i.e. external refresh with three charge-transfer gates, e.g. MOS transistors, per cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

半導体基板 (22) 上に形成された複数のメモリセル (20) を含んだメモリアレイ。これらのメモリセルの各々は、第一の電界効果トランジスタ (24) および第二の電界効果トランジスタ (26) を含む。このトランジスタはそれぞれが、ゲート (28/30) と、チャネル領域と、ソース/ドレイン領域の対と、を有する。第一の電界効果トランジスタのゲートおよび第二の電界効果トランジスタのゲートは、基板の開口部内に形成され、ともに結線されている。導電データは、基板の開口部内に形成されており、また配線はソース/ドレイン領域群のうちの二つに接続されている。蓄電装置は、その二つ以外のソース/ドレイン領域のうちのひとつ以上に結線されている。

Description

本発明は、メモリアレイに関し、またメモリアレイの製造方法にも関する。
メモリは一種の集積回路であって、コンピュータシステムにてデータを格納するために使用されている。こうしたメモリは典型的には、独立した(個々の)メモリセルたちからなるひとつ以上のアレイとして製造される。こうしたメモリセルは揮発性であってもよいし、半揮発性でもよく、もしくは不揮発性でもかまわない。不揮発性メモリセルは、長時間にわたって──多くの場合、コンピュータの電源が落ちているときを挟んだとしても──データを格納していられる。揮発性メモリは消散するので、(多くの場合は秒間に何度もの)リフレッシュ/再書き込みを必要とする。
揮発性半導体メモリの一例がダイナミック・ランダム・アクセス・メモリ(DRAM)であり、図1に示してあるのが従来技術にかかるDRAMセルの例である。図1は、独立/単独のDRAMセル 10 を示しており、電界効果アクセストランジスタ 12 および蓄電キャパシタ 14 が含まれている。電界効果トランジスタ 12 は、対になったソース/ドレイン領域 15,
16 と、ゲート 17 とを有している。ソース/ドレイン領域 16 は、蓄電キャパシタ 14 に接続しているように描かれており、その一方でソース/ドレイン領域 15 は、ビット線
18 に電気的に接続している。ゲート17 は、典型的には展延しているワード線の形態をとっており、このワード線が、「行」をなす電界効果トランジスタ群のゲート群をつくりだしている。ビット線 18 は典型的には、「列」をなす電界効果トランジスタ群の複数のソース/ドレイン領域 15 と接続する。この「列」は、典型的にはゲート/ワード線の行に対してほぼ直交する。多くの場合、じかに隣接している電界効果トランジスタ同士の対が、ビット線が電気的に接続した共通ソース/ドレイン領域 15 を共有している。
キャパシタを用いたデュアルトランジスタDRAMセルも既に提案されており、例えばU.S.
Patent No. 6,818,937に開示のものがある。
本発明は、上記の事柄を指向した動機を持ってはいたが、これらに限定はされない。本発明は、明細書の解釈もしくは他の限定的な参照をすることなく、付随する請求項に均等論に従って文言的に限定されるのみである。
(対応する記載なし)
本発明の好ましい実施形態群について、下記の付図を参照しつつ以降で説明してゆく。
従来技術にかかるDRAMセルの模式図である。 本発明の種々の態様にかかる、基板の一部を切り出した斜視図、およびメモリセルを含んだ部分模式図である。 本発明の或る態様にかかる、単独/独立のメモリセルの模式図である。 図2に描いたものなどといったメモリセルを含んだ、基板の一部についての上方から見た拡張平面模式図である。 図4の線 5-5 で切った断面の模式図である。 図4の線 6-6 で切った断面の模式図である。 本発明の態様にかかる、基板の一部についての上方からのさらに拡張した平面図である。
本発明の態様群は、メモリアレイ、およびメモリアレイを製造する方法を包括する。メモリアレイの構造は任意の方法で製造でき、本明細書にて開示するメモリアレイの製造方法に限定する必然性はない。同様に、メモリアレイの製造方法を、本明細書にて開示するメモリアレイ構造に限定する必然性もない。
まずはメモリアレイ構造の態様例を、図2を参照して説明する。種々の実施例では、本発明に応じて製造されたメモリアレイが、半導体基板上に形成された複数のメモリセルを含む。本明細書の文章では、「半導体(性)基板」("semiconductor substrate" or "semiconductive substrate")という語は、半導体材料を含んだ任意の構造体のことを意味するように定義されている。なおこの半導体材料としては、バルクの半導体材料(半導体ウェハなど、単独でもよいし他の材料と組み合わせたものでもよい)、ならびに半導体材料層(単独でもよいし他の材料と組み合わせたものでもよい)が含まれるが、これらに限定はされない。「基板」("substrate")という語は、任意の支持構造を指し、上述の半導体基板を含むがこれに限定はされない。好ましい半導体基板の例はバルクの半導体基板であり、例えばバルクの単結晶珪素である。また、動作可能なメモリアレイをその内部かもしくは上かに製造できるような、semiconductor-on-insulator(SOI)基板および他の任意の基板(既存のものでもよいし開発途上のものでもよい)に対しても、当然のことながら本発明の態様を利用可能である。
こうしたメモリアレイには、複数のメモリセルが含まれる。本発明の種々の実施例にかかる個々のメモリセルの例を、図2の参照番号 20 としておおまかに示してある。かならずしもメモリアレイ中のすべてのメモリセルが同じ構造を持たなくてもよく、典型的には複数のほぼ同じように構築された個々のメモリセルを含められる。とはいえあくまで一例として図2には、半導体材料 23 を含んだ半導体基板 22 を描いてある。半導体材料 23 には、バルクの半導体材料を含めてもよく、例えば、全体的にかまたは比較的小さな分離領域および/もしくはウェルに、導電性を増進する不純物で適切にバックグラウンド添加されたようなバルクの単結晶珪素を含めてもよい。他の半導体材料および基板も当然想定されている。一例として、半導体材料 23 のバックグラウンド添加の例を適切な量/濃度のp型とすると、n型の電界効果トランジスタのチャネル領域を、ゲート活性化により形成できる。
個々のメモリセル 20 には、第一の電界効果トランジスタ 24 および第二の電界効果トランジスタ 26 が含まれる。これらのトランジスタはそれぞれが、ゲートと、チャネル領域と、ソース/ドレイン領域の対とを有している。一例として、第一の電界効果トランジスタ 24 はゲート 28 を含み、第二の電界効果トランジスタ 26 はゲート 30 を含むように描いてある。示した一例に過ぎない好ましい実施形態では、半導体基板 22 の半導体材料 23 内の、開口部 29 の中にゲート 28 が、開口部 31 の中にゲート 30 が、それぞれ支持されている。或る実施例では、開口部 29, 31 を細長い溝の形態でつくり、その中に導電性材料を受けて、複数のメモリセルのためのワード線/ゲートをつくりだせる。あくまで一例として、開口部 29 および開口部 31 の開口幅の例と、示した隣接する開口部同士の間隔とを、500オングストローム以下にするのが好ましい。示した溝の開口部 29, 31
の深さの例は、半導体材料 23 の最外表面から数えて100オングストロームから500オングストロームの範囲である。ゲート 28, 30 にとって好ましい導電性材料の例は、導電性添加を施した半導体材料および/もしくは金属である。本明細書の文章では、「金属」("metal")とは、金属元素、金属元素の合金もしくは混合物、または導電性金属化合物のうちのいずれをも指す語である。あくまで一例として、ゲート 28, 30 にとって好ましい導電性材料のひとつは、窒化チタンである。
ゲート誘電体 32 は、開口部 29, 31 の上塗材として描かれている。任意の適切な既存
もしくは開発途上の誘電体を使用でき、二酸化珪素がその一例であって、好ましい厚さの例は30オングストロームから80オングストロームの範囲である。
第一の電界効果トランジスタ 24 は、ソース/ドレイン領域 34, 36 の対を含む。また第二の電界効果トランジスタ 26 は、ソース/ドレイン領域 38, 40 の対を含む。これらは、イオン注入、拡散添加など、ならびに既存もしくは開発中の任意の他の工程、のいずれかで形成できる。これらのソース/ドレイン領域 36, 36, 38, 40 の好ましい厚さの例は、材料 23 の外表面から数えて500オングストローム以下である。図示した例示的な好ましい実施形態では、ソース/ドレイン領域の対のうちの一方が、ゲート 28 とゲート 30 の中間(intermediate)にて基板面方向(laterally)に支持されており、第一のトランジスタ 24 および第二のトランジスタ 26 により共有されている。図示した例示的な実施形態では、第一の電界効果トランジスタ 24 のソース/ドレイン領域 36 と、第二の電界効果トランジスタ 26 のソース/ドレイン領域 40 とが、同じソース/ドレイン領域をなしており、つまりこれら第一の電界効果トランジスタと第二の電界効果トランジスタとに共有されている。或る実施例では、示してあるように、対 34/36 のうちの他方のソース/ドレイン領域 34 がゲート 28 の基板面方向での外で支持されており、また対 38/40
のうちの他方のソース/ドレイン領域 38 がゲート 30 の基板面方向での外で支持されている。図示した例示的な好ましい実施形態では、共有されるソース/ドレイン領域 36/40 の双方が、ゲート 28 およびゲート 30 の中間にて基板面方向に支持されており、外向きに迫り上がっている。図示した好ましい実施形態ではさらに、他方のソース/ドレイン領域 34, 38 の各々が、ゲート 28, 30 の外方で迫り上がって支持されている。或る好ましい実施例では、示したソース/ドレイン領域は、バルクの半導体材料 23 の中に形成される。しかし当然のことながら他の構造も想定されており、例えば迫り上げ型ソース/ドレインを想定できる。
第一の電界効果トランジスタ24 はチャネル領域 42 を含み、また第二の電界効果トランジスタ 26 はチャネル領域 44 を含む。(或る好ましい実施形態では)組み合わせると、図示した断面にてだいたいW字型になる。共有されたソース/ドレイン領域 36/40 と、他方のソース/ドレイン領域34 もしくは他方のソース/ドレイン領域38 のそれぞれとのあいだを展開している、基板のひとつ以上の断面において、チャネル領域 42, 44 の各々は切り換え可能な導電路46 を有している。好ましくは示しているように、各導電路 46 は、相互接続された第一のほぼ垂直な区域 48 および第二のほぼ垂直な区域 50 を含んでいる。図示した例示的な実施形態では、第一のほぼ垂直な区域 48 および第二のほぼ垂直な区域 50 がそれぞれ、迫り上げ型の内側端部分 52 および相互接続区域 56 を含んでいると見做せる。なおこの相互接続区域 56 は、迫り上げ型の内側端部分 52 の近くにて、第一のほぼ垂直な区域 48 と、第二のほぼ垂直な区域 50 とのあいだに支持されている。図示した実施例では、相互接続区域 56 が、図示しただいたいの基板方向に関してほぼ水平を向いている。
図2は、ソース/ドレイン領域34/36 およびソース/ドレイン領域 38/40 のうちの他方のソース/ドレイン領域 34, 38 の各々に電気的に接続した、導電データ線(conductive data line) 60 の模式図である。或る例示的な好ましい実施形態では、導電データ線
60 が、ゲート 28 およびゲート 30 の外方にて迫り上げ式に支持されている。また図2では、共有されたソース/ドレイン領域 36/40 に電気的に接続した蓄電装置 62 も模式的に描いてある。図示した例示的な好ましい実施形態では、蓄電装置 62 はキャパシタを含む。
図2には、導電データ線 60 および蓄電装置 62 が、各々のソース/ドレイン領域に電気的に結合しているようすを描いており、こうした接続は、任意のやりかたもしくは任意の実施で行うことができると考えられる。或る好ましい態様では、蓄電装置 62 は、ゲー
ト 28 およびゲート 30 の外方に迫り上げ式に支持されている。そして或る好ましい態様では、導電データ線 60 が、ゲート 28 およびゲート 30 の外方に迫り上げ式に支持される。或る好ましい例示的な態様ではさらに、蓄電装置 62 が、導電データ線 60 の外方に迫り上げ式に支持されており、例えば続く説明で例示してゆくように或る好ましい実施例ではそうなっている。さらに或る好ましい実施例では、個々のメモリセル 20 がDRAMセルを含み、導電データ線 60 がビット線を含む。
或る好ましい実施例では、導電性材料が、第一のトランジスタ 24 のゲート 28 と第二のトランジスタ 26 のゲート 30 とを電気的に相互接続する。例えば、図2には、導電ゲート 28 および導電ゲート 30 を電気的に接続する導電性材料の領域もしくは区域 64 を模式的に描いてある。或る実施例では、ゲート 28 とゲート 30 を相互接続する導電性材料 64 は、半導体基板 22 内に(より好ましくは、半導体基板 22 の半導体材料 23 の内部に)形成されている溝の中に支持されている。なお図2の切り出し斜視図では、導電性材料 64 の近傍に在る材料 23 および周縁に適当な絶縁性材料 32 については、わかりやすくするために描画を省略してある。
本発明の或る態様には、半導体基板上に形成された複数のメモリセルを有したメモリアレイが含まれる。これらのメモリセルの各々には、第一の電界効果トランジスタおよび第二の電界効果トランジスタが含まれており、そしてこれらのトランジスタにはそれぞれ、ゲートと、チャネル領域と、ソース/ドレイン領域の対とが含まれる。第一の電界効果トランジスタのゲートと、第二の電界効果トランジスタのゲートとは、結線されて繋っている。導電データ線は、ソース/ドレイン領域群のうちの二つに結線されており、その一方で蓄電装置は、その二つ以外のソース/ドレイン領域のうちのひとつ以上に結線されている。あくまで一例として、図2にはこうしたメモリアレイ中の個々のメモリセルについての例示的な好ましい構造を描いてあり、そして図3には、そうした例示的な個々のメモリセルを描いてある。
こうした態様にかかる或る好ましい実施例では、ソース/ドレイン領域群のうちのひとつが、ゲートとゲートのあいだにて基板面方向に支持される。或る好ましい実施例では、ソース/ドレイン領域群のうちのひとつが、第一の電界効果トランジスタと第二の電界効果トランジスタとに共有されており、そして或る好ましい態様では、共有されたソース/ドレイン領域群のうちのそのひとつに、蓄電装置が接続している。こうした態様にかかる或る好ましい実施例では、ゲート同士がともに導電性材料によって結線されていて、ここでその導電性材料は、半導体基板の半導体材料内に形成されたひとつ以上の溝の中で支持され、ゲート同士のあいだに展延している。しかしながらゲート同士を電気的に相互接続することに関しては他の態様も想定されており、例えば、別箇の相互接続線もしくは相互接続層を用いることによって行ってもよいし、または、既存もしくは開発途上の他の手法(本開示の任意の態様について適用してもよい)を用いることによって行うことも可能である。或る好ましい実施例では、相互接続した第一のほぼ垂直な区域および第二のほぼ垂直な区域を有するソース/ドレイン領域同士のあいだに展延したひとつ以上の横断面において、各チャネル領域が導電路を有する。また、最初に記載した図2に関する実施形態についての他の例示的特質のいずれかも、想定されている。
あくまで一例として、図4から図6には、図2の構造への例示的・付加的な構造という位置づけを以って、導電データ線構造および蓄電装置構造の組み込みを描いてある。適切である場合には図2と同様の番号を使っており、そして付加的な構造は付加的な番号で示してある。絶縁性キャップ 70 は、導電ゲート 28, 30 に被せるようにして支持されている。好ましい材料の例は窒化珪素である。間在誘電体(interlevel dielectric) 72 が、半導体材料 23 の外方に迫り上げ式に形成されている。材料の例は、二酸化珪素(添加済のものでも未添加のものでもよい)である。導電データ線の接点開口部 74 が、間在誘電体 72 を貫いてソース/ドレイン領域 34, 38 へと至るようにして形成されている。導電性材料 75 を堆積してパターン化することで、導電データ線 60 をつくっている。導電データ線 60 は一例として、象嵌的な工程でつくってもよいし、または、堆積に続く除去的なパターン化・エッチング工程によってつくってもかまわない。或る例示的な好ましい方法には、一種以上の導電性材料 75 の堆積と、その後のパターン化と、エッチングによる削り込みとが含まれる。材料 75 のパターン化に先立ってかもしくは後に、材料 75 の上に被せるようにして絶縁性材料76 を堆積可能である。そして、同じか異なる絶縁性材料76 を、堆積した後に異方性エッチングを施すことで、絶縁性の導電データ線側壁スペーサー(不図示)をつくる。データ線 60 を形成するためのエッチングにより、接点開口部 74 の中の材料 75 を、図5の断面図に描いたように効率的に凹ませられると考えられる。この後、図5の断面図に示すように、絶縁性スペーサーを形成するための何らかの材料 76 を、材料 75 に被せるように堆積してその凹みに入れ込む。
別の間在誘電層 78 (図5)も堆積されている。好ましい材料の例としては、添加済かもしくは未添加の二酸化珪素がある。間在誘電層 78, 72 を貫いて、共有されたソース/ドレイン領域 36/40 へと至るようにしてエッチングを施すことで、接点開口部 80 がつくられている。(一種以上の)導電性の栓をする材料(plugging material) 81 が、この接点開口部 80 内にて支持される。蓄電装置 62 は、キャパシタを有しているように描いてあり、このキャパシタは、接点開口部 80 内にて支持された導電プラグ 81 と電気的に接続したストレージノード電極 82 を持つ。キャパシタの誘電体 84 は、ストレージノード電極 82 の外方に被せるようにして支持されている。そして外部導電セル板電極 86 が、その誘電体 84 に被せるようにして形成されている。当然のことながら、あらゆる例示的な材料もしくは開発途上の材料が、キャパシタの誘電体 84 と導電性のキャパシタ電極 82, 86 への適用にあたって想定されている。
図2と図4から図6に描いた構造は、本発明の種々の態様にかかる、個々のメモリセルおよびメモリアレイのあくまで例示的な描写である。こうした構造が、厖大な手法群(既存のものであってもよいし開発途上のものでもよい)のうちのいずれかを使って製造可能であるということを、当業者は容易に理解できる。あくまで一例として、メモリアレイの製造方法にかかる発明性を有する態様の例を、図7を参照しつつ説明する。図7は半導体基板の上面図であって、あくまで一例としてメモリアレイ領域 100 を含んでいる。活性領域の線101 と、溝分離領域の線 102 とが互い違いに並ぶようにして、適切な半導体基板(最初に述べた実施形態での基板 22 など)内に形成されている。一連の競技路型溝 104 は、活性領域 101 および溝分離領域 102 の中へとエッチングを施して得られたものである。なおこの競技路型溝 104 は、互い違いに並んだ活性領域101 および溝分離領域 102 に対してほぼ直交している。あくまで一例として、個々のメモリセルの製造にあたり、こうした構造を図2の開口部 29, 31 のような形態にできる。導電性材料を競技路型溝 104 の中に形成することで、競技路型溝の各々に関して、電気的に接続されたワード線の対を形成できる。好ましい材料の例は、ゲート 28 およびゲート 30 について上述したものである。つまり或る例示的な好ましい実施形態でも、ゲート誘電体(わかりやすくするため図7では図示していない)を、ゲート材料 28/30 の提供に先立って、例示的な図示した競技路型溝 104 を上塗りするようにして形成できる。
競技路型溝 104 の内部での基板面方向と競技路型溝 104 の外部での基板面方向とに、活性領域の中で、ソース/ドレイン領域を形成できると思われる。あくまで一例として図2の実施形態を参照すると、こういった内部の基板面方向ソース/ドレイン領域の例は図7の番号 36/40 で示されており、外部の基板面方向ソース/ドレイン領域の例は図7の番号 34/38 で示される。
導電データ線(わかりやすくするため図7では図示していない)を、競技路型溝の外部
にて基板面方向に支持されるソース/ドレイン領域(例えば、図2と図4から図6に関する例示的実施形態群のソース/ドレイン領域 34, 38 )と電気的に接続するように形成する。蓄電装置(わかりやすくするため図7では図示していない)を、競技路型溝 104 の内側にて基板面方向に支持されるソース/ドレイン領域の各々と電気的に接続するように形成する。あくまで一例として、キャパシタやその他の装置を、図2と図4から図6に関する実施形態群のソース/ドレイン領域 36/40 に対して形成することが可能であると考えられる。例示的な別箇の導電接点 110 を示してある。そして各ワード/ゲート線の対へのアクセス/活性化のために、導電性材料(すなわち、各溝 104 に関しての導電性材料 28, 30 )に対して電気的接続を確立するように、この導電接点 110 はあくまで一例としてメモリアレイ100 の外部で支持される。
本発明の態様は、メモリアレイの製造方法も包括しており、この方法には、互い違いに並んだ活性領域の線と溝分離領域の線とを、半導体基板の中に形成すること、が含まれる。こうして互い違いに並んだ線の例を、あくまで一例として図7に描いてある。一連の溝の対は、活性領域と溝分離領域との中へとエッチングを施して得られ、この溝の対は互い違いに並んだ活性領域および溝分離領域に対してほぼ直交する。あくまで一例として、図7に描いた溝開口部の例 29, 31 は、こういった一連の溝の対の例であって、競技路型溝を形成するかどうかとは独立している。
とはいえ或る実施例では、半導体基板の中のいずこかへとエッチングを施すことで、各対の溝のそれぞれに相互接続するひとつ以上の相互接続溝を得ている。あくまで一例として、描いてある半円/円弧型溝区域の例 112 は、溝の対 29, 31 のそれぞれに相互接続している相互接続溝の例である。こうした溝 112 のうちのひとつだけをつくりだしてもよいしもしくは交互に成形してもよいだろうし、あるいは、複数の溝構造をエッチングによりつくりあげてもかまわないだろう。さらには、溝の例示的な対を、同時にエッチングでつくりだしてもよいし、および/もしくは、共通するマスキング工程を用いてもよく、あるいは、マスキング工程および/もしくはエッチングを別々に施してもかまわない。
導電性材料を、そうした対をなす溝の中と相互接続溝の中とに形成することで、対をなす溝の各々に対して電気的に接続したワード線の対をつくる。こうした工程には、少なくともいくらかは導電性を有する材料を、対をなす溝の中および相互接続溝の中に同時に堆積することを含めてもよいだろうし、あるいは、そうした導電性材料を、対をなす溝の中および相互接続溝の中に別々に分けて堆積して最終的にまとまって堆積するようにすることを含めてもかまわない(なお、図2の相互接続材料/領域 64 は、こうした相互接続溝および導電性材料に相当する)。
各対をなす溝の各々の中間の活性領域の中に、そして各対をなす溝の各々の外部にて基板面方向に、ソース/ドレイン領域を形成する。各対をなす溝の各々の外部にて基板面方向に支持されるソース/ドレイン領域と電気的に接続するようにして、導電データ線を形成する。各対をなす溝の各々の中間にて支持されたソース/ドレイン領域のそれぞれと電気的に接続するようにして、蓄電装置を形成する。あくまで一例としてこうした構造を、図2および図4〜6にかかる実施形態群にからめて描いてある。
上述した例示的な図2、図4〜6、および図7にかかる実施形態群は、既存もしくは開発途上の数多の技術のうちのいずれかを用いて製造可能である。さらにあくまで一例として、図2、図4〜6、および図7に描いた溝開口部 29, 31 を、リソグラフィー寸法以下の大きさ(sublithographic)になるようにして製造できる。あくまで一例として、第一のハードマスキング層内にてリソグラフィーにより実施可能な最小の特徴的構造の大きさ(フィーチャサイズ)となるように、溝開口部の輪郭を作製してもよい。その後、適切な
薄いハードマスキング材料を、追加でその上に堆積することで、(ひとつ以上の)第一のハードマスキング層の中に形成された溝の側壁と底を上塗りできる。こうした構造に異方性スペーサー類似エッチング法を施すことで、溝開口部 29 および溝開口部 31 を基板材料 23 へのエッチングでつくりだすのに先立って、溝の開口幅を縮めることができる。このようにすると、図示したような溝をリソグラフィー寸法以下にできる。さらには、基板面方向の厚みが、フォトリソグラフィーによる現時点で最小とされている特徴的構造の寸法の厚み未満となるようにして堆積した、異方性エッチングを施したハードマスクスペーサーを使った同様のやりかたを以って、溝同士のあいだに在るハードマスキングを施したブロックについてもリソグラフィー寸法以下になるように製造できる。
とはいえさらに、周縁回路ゲート材料を、溝開口部 29, 31 の形成に先立って堆積可能であり、この後、溝開口部 29, 31 を、アレイ領域内の周縁回路ゲート材料を貫くようにして形成してから、周縁ゲート材料をパターン化して、周縁回路領域内に電界効果トランジスタゲートを形成する。さらにあくまで一例として、描いたゲート誘電体の例 32 および(ひとつ以上の)ゲート材料の例 28, 30 を堆積してから、アレイ内の周縁導電ゲート材料に合わせて平坦化を行い、その後、周縁ゲート材料をアレイから除去するようにしてもよい。さらにあくまで一例として、メモリアレイ内に描いた導電ゲート材料 28, 30 の例示的な凹みは、周縁ゲート材料に施すエッチングに比例したものとなるであろう。さらにはあくまで一例として、導電ゲート材料 28, 30 に被せるように形成した絶縁性材料70
を、周縁ゲート構造に対して形成された絶縁性スペーサーに相応したやりかたで、かつその絶縁性スペーサーと同じ材料を用いて形成できる。なお当然のことながら、本明細書にて示し請求したうちのいずれかの構造の製造、そして本明細書にて請求し記載したメモリアレイの製造方法との組み合わせに際して、他の既存もしくは開発途上の処理のいずれかが想定されている。

Claims (48)

  1. 半導体基板上に形成された複数のメモリセル
    を含む、メモリアレイであって、
    前記複数のメモリセルのうちの各々が、
    ゲート、チャネル領域、およびソース/ドレイン領域の対を各々が含んだ第一の電界効果トランジスタおよび第二の電界効果トランジスタであって、
    前記ゲートが前記基板の半導体材料内に形成された開口部の中に支持され、
    前記ソース/ドレイン領域の対のうちの一方が、前記ゲート同士の中間にて基板面方向に支持されて、かつ前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタにより共有され、
    前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタの前記ソース/ドレイン領域の対のうちの他方の各々が、対応する電界効果トランジスタのゲートのそれぞれの外方にて基板面方向に支持される
    という、第一の電界効果トランジスタおよび第二の電界効果トランジスタと、
    前記ゲートの外方にて迫り上げ式に支持され、前記ソース/ドレイン領域の対のうちの前記他方の各々と電気的に接続する、導電データ線と、
    共有された前記ソース/ドレイン領域と電気的に接続する、蓄電装置と
    を含む
    ことを特徴とする、メモリアレイ。
  2. 前記半導体材料が、バルクの半導体材料を含む、請求項1記載のメモリアレイ。
  3. 前記バルクの半導体材料が、バルクの単結晶珪素を含む、請求項2記載のメモリアレイ。
  4. 前記ソース/ドレイン領域の対のうちの前記一方が、前記ゲートの外方にて迫り上げ式に支持される、請求項1記載のメモリアレイ。
  5. 前記ソース/ドレイン領域の対のうちの前記他方の各々が、前記ゲートの外方にて迫り上げ式に支持される、請求項1記載のメモリアレイ。
  6. 前記ソース/ドレイン領域の対のうちの前記一方、および前記ソース/ドレイン領域の対のうちの前記他方の各々が、前記ゲートの外方にて迫り上げ式に支持される、請求項1記載のメモリアレイ。
  7. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持される、請求項1記載のメモリアレイ。
  8. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持され、かつ前記導電データ線の外方にて迫り上げ式に支持される、請求項1記載のメモリアレイ。
  9. 共有された前記ソース/ドレイン領域と、相互接続した第一のほぼ垂直な区域および第二のほぼ垂直な区域を含んだその他のソース/ドレイン領域のそれぞれと、のあいだに展延するひとつ以上の断面において、各チャネル領域が導電路を含む
    ことを特徴とする、請求項1記載のメモリアレイ。
  10. 前記第一の電界効果トランジスタの前記ゲートと前記第二の電界効果トランジスタの前記ゲートを電気的に相互接続する、導電性材料
    を含むことを特徴とする、請求項1記載のメモリアレイ。
  11. 前記導電性材料が、前記半導体基板の内部に形成された溝の中にて支持される、請求項10記載のメモリアレイ。
  12. 前記導電性材料が、前記半導体基板の前記半導体材料内部に形成された溝の中にて支持される、請求項10記載のメモリアレイ。
  13. 共有された前記ソース/ドレイン領域と、相互接続した第一のほぼ垂直な区域および第二のほぼ垂直な区域を含んだ他のソース/ドレイン領域のそれぞれと、のあいだに展延するひとつ以上の断面において、各チャネル領域が導電路を含み、
    導電性材料が、前記第一の電界効果トランジスタの前記ゲートと前記第二の電界効果トランジスタの前記ゲートを電気的に相互接続する
    ことを特徴とする、請求項1記載のメモリアレイ。
  14. 前記複数のメモリセルが、DRAMセルを含む、請求項1記載のメモリアレイ。
  15. 半導体基板上に形成された複数のメモリセル
    を含む、メモリアレイであって、
    前記複数のメモリセルのうちの各々が、
    ゲート、チャネル領域、およびソース/ドレイン領域の対を各々が含んだ第一の電界効果トランジスタおよび第二の電界効果トランジスタであって、
    前記ソース/ドレイン領域の対のうちの一方が、前記ゲート同士の中間にて基板面方向に支持されて、かつ前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタにより共有され、
    前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタの前記ソース/ドレイン領域の対のうちの他方の各々が、対応する電界効果トランジスタのゲートのそれぞれの外方にて基板面方向に支持され、
    共有された前記ソース/ドレイン領域と、相互接続した第一のほぼ垂直な区域および第二のほぼ垂直な区域を含んだその他のソース/ドレイン領域のそれぞれと、のあいだに展延するひとつ以上の断面において、各チャネル領域が導電路を含む
    という、第一の電界効果トランジスタおよび第二の電界効果トランジスタと、
    前記ソース/ドレイン領域の対のうちの前記他方の各々に電気的に接続する、導電データ線と、
    共有された前記ソース/ドレイン領域と電気的に接続する、蓄電装置と
    を含む
    ことを特徴とする、メモリアレイ。
  16. 前記第一のほぼ垂直な区域および前記第二のほぼ垂直な区域が、迫り上がった内側端部を含み、
    前記第一のほぼ垂直な区域と前記第二のほぼ垂直な区域とのあいだで前記迫り上がった内側端部の近傍にて支持される相互接続区域を、前記ひとつ以上の断面における前記導電路が含む
    ことを特徴とする、請求項15記載のメモリアレイ。
  17. 前記ひとつ以上の断面における前記導電路が、
    前記第一のほぼ垂直な区域と前記第二のほぼ垂直な区域とのあいだで支持されたほぼ水平な相互接続区域
    を含む
    ことを特徴とする、請求項15記載のメモリアレイ。
  18. 前記導電データ線が、前記ゲートの外方にて迫り上げ式に支持される、請求項15記載のメモリアレイ。
  19. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持される、請求項15記載のメモリアレイ。
  20. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持され、かつ前記導電データ線の外方にて迫り上げ式に支持される、請求項15記載のメモリアレイ。
  21. 前記第一の電界効果トランジスタの前記ゲートと、前記第二の電界効果トランジスタの前記ゲートとを、電気的に相互接続する、導電性材料
    を含む、請求項15記載のメモリアレイ。
  22. 前記導電データ線がビット線を含み、
    前記複数のメモリセルがDRAMセルを含む
    ことを特徴とする、請求項15記載のメモリアレイ。
  23. 半導体基板上に形成された複数のメモリセル
    を含む、メモリアレイであって、
    前記複数のメモリセルのうちの各々が、
    ゲート、チャネル領域、およびソース/ドレイン領域の対を各々が含んだ第一の電界効果トランジスタおよび第二の電界効果トランジスタであって、
    前記ソース/ドレイン領域の対のうちの一方が、前記ゲート同士の中間にて基板面方向に支持されて、かつ前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタにより共有され、
    前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタの前記ソース/ドレイン領域の対のうちの他方の各々が、対応する電界効果トランジスタのゲートのそれぞれの外方にて基板面方向に支持され、
    導電性材料が、前記第一の電界効果トランジスタの前記ゲートと前記第二の電界効果トランジスタの前記ゲートを電気的に相互接続する
    という、第一の電界効果トランジスタおよび第二の電界効果トランジスタと、
    前記ソース/ドレイン領域の対のうちの前記他方の各々に電気的に接続する、導電データ線と、
    共有された前記ソース/ドレイン領域と電気的に接続する、蓄電装置と
    を含む
    ことを特徴とする、メモリアレイ。
  24. 前記導電性材料が、前記半導体基板の内部に形成された溝の中にて支持される、請求項23記載のメモリアレイ。
  25. 前記導電性材料が、前記半導体基板の半導体材料の内部に形成された溝の中にて支持される、請求項23記載のメモリアレイ。
  26. 前記導電データ線が、前記ゲートの外方にて迫り上げ式に支持される、請求項23記載のメモリアレイ。
  27. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持される、請求項23記載のメモリアレイ。
  28. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持され、かつ前記導電データ線
    の外方にて迫り上げ式に支持される、請求項23記載のメモリアレイ。
  29. 前記蓄電装置が、キャパシタを含む、請求項23記載のメモリアレイ。
  30. バルクの半導体基板上に形成された複数のメモリセル
    を含む、メモリアレイであって、
    前記複数のメモリセルのうちの各々が、
    ゲート、チャネル領域、およびソース/ドレイン領域の対を各々が含んだ第一の電界効果トランジスタおよび第二の電界効果トランジスタであって、
    前記ゲートが、前記バルクの半導体基板のバルクの半導体材料の内部に形成された溝の中にて支持され、
    前記ソース/ドレイン領域の対のうちの一方が、前記ゲート同士の中間のバルクの半導体材料の中にて基板面方向に支持されて、かつ前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタにより共有され、
    前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタの前記ソース/ドレイン領域の対のうちの他方の各々が、バルクの半導体材料の中であって対応する電界効果トランジスタのゲートのそれぞれの外方にて基板面方向に支持され、
    導電性材料が、前記第一の電界効果トランジスタの前記ゲートと前記第二の電界効果トランジスタの前記ゲートを電気的に相互接続し、
    共有された前記ソース/ドレイン領域と、相互接続した第一のほぼ垂直な区域および第二のほぼ垂直な区域を含んだその他のソース/ドレイン領域のそれぞれと、のあいだに展延するひとつ以上の断面において、各チャネル領域がバルクの半導体材料の中に導電路を含む
    という、第一の電界効果トランジスタおよび第二の電界効果トランジスタと、
    前記ゲートの外方にて迫り上げ式に支持されて、前記ソース/ドレイン領域の対のうちの前記他方の各々に電気的に接続する、導電データ線と、
    共有された前記ソース/ドレイン領域と電気的に接続して、前記導電データ線の外方にて迫り上げ式に支持される、蓄電装置と
    を含む
    ことを特徴とする、メモリアレイ。
  31. 前記導電性材料が、金属を含む、請求項30記載のメモリアレイ。
  32. 前記金属が、TiNを含む、請求項31記載のメモリアレイ。
  33. 半導体基板上に形成された複数のメモリセル
    を含む、メモリアレイであって、
    前記複数のメモリセルのうちの各々が、
    ゲート、チャネル領域、およびソース/ドレイン領域の対を各々が含んだ第一の電界効果トランジスタおよび第二の電界効果トランジスタであって、
    前記第一の電界効果トランジスタの前記ゲートと前記第二の電界効果トランジスタの前記ゲートとがともに結線されている
    という、第一の電界効果トランジスタおよび第二の電界効果トランジスタと、
    前記ソース/ドレイン領域のうちの二つに結線した、導電データ線と、
    前記ソース/ドレイン領域のうちの前記二つ以外のひとつ以上に結線した、蓄電装置と
    を含む
    ことを特徴とする、メモリアレイ。
  34. 前記ソース/ドレイン領域のうちの前記ひとつが、前記ゲート同士の中間にて基板面方
    向に支持される、請求項33記載のメモリアレイ。
  35. 前記ソース/ドレイン領域のうちのひとつが、前記第一の電界効果トランジスタおよび前記第二の電界効果トランジスタによって共有され、
    前記蓄電装置が、共有されたひとつの前記ソース/ドレイン領域に接続される
    ことを特徴とする、請求項33記載のメモリアレイ。
  36. 前記半導体基板の半導体材料の内部に形成されたひとつ以上の溝の中にて支持され、前記ゲート同士のあいだに展延する導電性材料によって、前記ゲート同士がともに結線される、請求項33記載のメモリアレイ。
  37. 前記半導体基板の半導体材料の内部に形成された二つ以上の溝の中にて支持され、かつ前記ゲート同士のあいだに展延する導電性材料によって、前記ゲート同士がともに結線される、請求項33記載のメモリアレイ。
  38. 前記蓄電装置が、前記ゲートの外方にて迫り上げ式に支持される、請求項33記載のメモリアレイ。
  39. 前記導電データ線が、前記ゲートの外方にて迫り上げ式に支持される、請求項33記載のメモリアレイ。
  40. 相互接続した第一のほぼ垂直な区域および第二のほぼ垂直な区域を含んだソース/ドレイン領域同士のあいだに展延する、ひとつ以上の断面において、各チャネル領域が導電路を含む
    ことを特徴とする、請求項33記載のメモリアレイ。
  41. メモリアレイを製造する方法であって、
    半導体基板の内部に、活性領域の線と溝分離領域の線を互い違いに並べるようにして形成するステップと、
    前記活性領域および前記溝分離領域の中へとエッチングを施すことで、互い違いに並んだ前記活性領域の前記線と前記溝分離領域の前記線に対して概して直交するように、一連の競技路型の溝を形成するステップと、
    導電性材料を前記競技路型溝の内部に形成することで、前記競技路型溝の個々に対して、電気的に接続されたワード線の対を形成するステップと、
    前記活性領域の内部に、前記競技路型溝の内部にて基板面方向にと、前記競技路型溝の外部にて基板面方向にとに、ソース/ドレイン領域を形成するステップと、
    前記競技路型溝の外部にて基板面方向に支持された前記ソース/ドレイン領域と電気的に接続するようにして、導電データ線を形成するステップと、
    前記競技路型溝の内部にて基板面方向に支持された各々の前記ソース/ドレイン領域と電気的に接続するようにして、蓄電装置を形成するステップと
    を含む、方法。
  42. メモリアレイを製造する方法であって、
    半導体基板中に、活性領域の線と溝分離領域の線を互い違いに並べるようにして形成するステップと、
    前記活性領域および前記溝分離領域の中へとエッチングを施すことで、互い違いに並んだ前記活性領域の前記線と前記溝分離領域の前記線に対して概して直交するように、溝の一連の対を形成するステップと、
    前記半導体基板の中へとエッチングを施すことで、各対をなす前記溝の各々を相互接続するようにしてひとつ以上の相互接続溝を形成するステップと、
    前記溝の一連の対の内部および前記相互接続溝の内部に導電性材料を形成することで、前記一連の対の各々に対して、電気的に接続されたワード線の対を形成するステップと、
    各対をなす前記溝の各々の中間と、各対をなす前記溝の各々の基板面方向の外部とに在る前記活性領域にて、ソース/ドレイン領域を形成するステップと、
    各対をなす前記溝の各々の外方にて基板面方向に支持された前記ソース/ドレイン領域と電気的に接続するようにして、導電データ線を形成するステップと、
    各対をなす前記溝の各々の中間にて支持された前記ソース/ドレイン領域のそれぞれと電気的に接続するようにして、蓄電装置を形成するステップと
    を含む、方法。
  43. エッチングを施すことで前記溝の一連の対を形成するステップ、および、エッチングを施すことで前記相互接続溝を形成するステップが、
    共通のマスキングをするステップ
    を含む
    ことを特徴とする、請求項42記載の方法。
  44. エッチングを施すことで前記溝の一連の対を形成するステップ、および、エッチングを施すことで前記相互接続溝を形成するステップが、
    共通のエッチングをするステップ
    を含む
    ことを特徴とする、請求項42記載の方法。
  45. 前記導電性材料を形成するステップが、
    少なくともいくらかは導電性を有する材料を、前記溝の一連の対の中および前記相互接続溝の中に、同時に堆積するステップ
    を含む
    ことを特徴とする、請求項42記載の方法。
  46. 各対に関して、ひとつの相互接続溝のみをエッチングにより形成するステップ
    を含むことを特徴とする、請求項42記載の方法。
  47. 各対に関して、二つの相互接続溝のみをエッチングにより形成するステップ
    を含むことを特徴とする、請求項42記載の方法。
  48. 各対に関して、複数の相互接続溝をエッチングにより形成するステップ
    を含むことを特徴とする、請求項42記載の方法。
JP2009525542A 2006-08-21 2007-07-24 埋め込みゲートを具えたdramトランジスタ、およびその製造方法 Active JP5445944B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/507,696 2006-08-21
US11/507,696 US7772632B2 (en) 2006-08-21 2006-08-21 Memory arrays and methods of fabricating memory arrays
PCT/US2007/016573 WO2008024171A1 (en) 2006-08-21 2007-07-24 Dram transistor with recessed gates and methods of fabricating the same

Publications (2)

Publication Number Publication Date
JP2010502008A true JP2010502008A (ja) 2010-01-21
JP5445944B2 JP5445944B2 (ja) 2014-03-19

Family

ID=38835017

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009525542A Active JP5445944B2 (ja) 2006-08-21 2007-07-24 埋め込みゲートを具えたdramトランジスタ、およびその製造方法

Country Status (8)

Country Link
US (2) US7772632B2 (ja)
EP (1) EP2054928A1 (ja)
JP (1) JP5445944B2 (ja)
KR (1) KR101074594B1 (ja)
CN (1) CN101506966B (ja)
SG (1) SG174730A1 (ja)
TW (1) TWI362743B (ja)
WO (1) WO2008024171A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012084738A (ja) * 2010-10-13 2012-04-26 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
WO2014084006A1 (ja) * 2012-11-27 2014-06-05 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
WO2014083924A1 (ja) * 2012-11-28 2014-06-05 ピーエスフォー ルクスコ エスエイアールエル 半導体装置およびその製造方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
JP2007194333A (ja) * 2006-01-18 2007-08-02 Elpida Memory Inc 半導体装置の製造方法
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7969774B2 (en) 2009-03-10 2011-06-28 Micron Technology, Inc. Electronic devices formed of two or more substrates bonded together, electronic systems comprising electronic devices and methods of making electronic devices
JP2010245196A (ja) * 2009-04-02 2010-10-28 Elpida Memory Inc 半導体装置およびその製造方法
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US9048410B2 (en) 2013-05-31 2015-06-02 Micron Technology, Inc. Memory devices comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls and methods of forming a memory device comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls
US9786551B2 (en) * 2014-04-29 2017-10-10 Stmicroelectronics, Inc. Trench structure for high performance interconnection lines of different resistivity and method of making same
TWI566334B (zh) * 2015-03-26 2017-01-11 華邦電子股份有限公司 動態隨機存取記憶裝置之製造方法
KR20180130581A (ko) 2016-08-31 2018-12-07 마이크론 테크놀로지, 인크 메모리 셀 및 메모리 어레이
KR102160178B1 (ko) 2016-08-31 2020-09-28 마이크론 테크놀로지, 인크 메모리 어레이
KR102208380B1 (ko) 2016-08-31 2021-01-28 마이크론 테크놀로지, 인크 메모리 셀들 및 메모리 어레이들
US10355002B2 (en) 2016-08-31 2019-07-16 Micron Technology, Inc. Memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry
WO2018044453A1 (en) 2016-08-31 2018-03-08 Micron Technology, Inc. Memory cells and memory arrays
WO2018044479A1 (en) 2016-08-31 2018-03-08 Micron Technology, Inc. Sense amplifier constructions
WO2018044457A1 (en) 2016-08-31 2018-03-08 Micron Technology, Inc. Memory cells and memory arrays
US10014305B2 (en) * 2016-11-01 2018-07-03 Micron Technology, Inc. Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors
US9761580B1 (en) 2016-11-01 2017-09-12 Micron Technology, Inc. Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors
US10062745B2 (en) 2017-01-09 2018-08-28 Micron Technology, Inc. Methods of forming an array of capacitors, methods of forming an array of memory cells individually comprising a capacitor and a transistor, arrays of capacitors, and arrays of memory cells individually comprising a capacitor and a transistor
US9935114B1 (en) 2017-01-10 2018-04-03 Micron Technology, Inc. Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors
US9837420B1 (en) 2017-01-10 2017-12-05 Micron Technology, Inc. Arrays of memory cells individually comprising a capacitor and an elevationally-extending transistor, methods of forming a tier of an array of memory cells, and methods of forming an array of memory cells individually comprising a capacitor and an elevationally-extending transistor
US9842839B1 (en) 2017-01-12 2017-12-12 Micron Technology, Inc. Memory cell, an array of memory cells individually comprising a capacitor and a transistor with the array comprising rows of access lines and columns of digit lines, a 2T-1C memory cell, and methods of forming an array of capacitors and access transistors there-above
WO2018132250A1 (en) 2017-01-12 2018-07-19 Micron Technology, Inc. Memory cells, arrays of two transistor-one capacitor memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry
CN108735894B (zh) * 2017-04-14 2022-02-25 上海磁宇信息科技有限公司 一种高密度随机存储器架构
CN108735742B (zh) * 2017-04-14 2020-07-07 上海磁宇信息科技有限公司 一种高密度随机存储器制造方法
CN108735772B (zh) * 2017-04-14 2020-08-21 上海磁宇信息科技有限公司 一种共享型的高密度随机存储器架构
CN108735738B (zh) * 2017-04-14 2020-07-07 上海磁宇信息科技有限公司 一种特殊栅极的随机存储器架构
CN108735773A (zh) * 2017-04-14 2018-11-02 上海磁宇信息科技有限公司 一种超高密度随机存储器架构
US10396082B2 (en) * 2017-07-05 2019-08-27 Micron Technology, Inc. Memory cells having a controlled-conductivity region
WO2019045882A1 (en) * 2017-08-29 2019-03-07 Micron Technology, Inc. MEMORY CIRCUITS
US10388658B1 (en) 2018-04-27 2019-08-20 Micron Technology, Inc. Transistors, arrays of transistors, arrays of memory cells individually comprising a capacitor and an elevationally-extending transistor, and methods of forming an array of transistors
US10833087B2 (en) 2018-08-21 2020-11-10 Micron Technology, Inc. Semiconductor devices including transistors comprising a charge trapping material, and related systems and methods
US10818665B2 (en) * 2018-08-24 2020-10-27 Micron Technology, Inc. Array of recessed access devices and an array of memory cells individually comprising a capacitor and a transistor
US10818666B2 (en) * 2019-03-04 2020-10-27 Micron Technology, Inc. Gate noble metal nanoparticles
CN112510052B (zh) 2019-06-27 2023-09-12 长江存储科技有限责任公司 新型3d nand存储器件及形成其的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0414253A (ja) * 1990-05-02 1992-01-20 Sony Corp ダイナミックram及びその製造方法
JPH07106435A (ja) * 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
JP2004247656A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置及びその製造方法

Family Cites Families (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
GB2190789B (en) 1986-04-17 1990-05-09 Plessey Co Plc System for optically coupling components of integrated circuits
US4722910A (en) 1986-05-27 1988-02-02 Analog Devices, Inc. Partially self-aligned metal contact process
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
FR2625044B1 (fr) 1987-12-18 1990-08-31 Commissariat Energie Atomique Transistor mos a extremite d'interface dielectrique de grille/substrat relevee et procede de fabrication de ce transistor
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (de) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk Verfahren zur herstellung einer halbleiteranordnung
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
KR960001611B1 (ko) 1991-03-06 1996-02-02 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 절연 게이트형 전계 효과 반도체 장치 및 그 제작방법
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
WO1994027325A1 (en) 1993-05-07 1994-11-24 Vlsi Technology, Inc. Integrated circuit structure and method
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5964750A (en) 1994-03-15 1999-10-12 Medolas Gesellschaft Fuer Medizintechnik Gmbh Laser catheter for bypass surgery
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
US5567634A (en) 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) * 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
KR0179175B1 (ko) 1995-10-05 1999-03-20 문정환 반도체 메모리 장치 및 제조방법
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
JP2751909B2 (ja) 1996-02-26 1998-05-18 日本電気株式会社 半導体装置の製造方法
JP3219677B2 (ja) 1996-03-28 2001-10-15 三洋電機株式会社 ロッド選別システム
KR20000015822A (ko) 1996-05-21 2000-03-15 칼 하인쯔 호르닝어 박막 다층 콘덴서_
DE19620625C1 (de) 1996-05-22 1997-10-23 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JPH1022476A (ja) 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
DE19801095B4 (de) 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US6246083B1 (en) 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP3239109B2 (ja) 1998-08-28 2001-12-17 株式会社半導体理工学研究センター 強誘電体不揮発性メモリとその読み出し方法
JP4322330B2 (ja) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208762A (ja) 1999-01-13 2000-07-28 Sony Corp 絶縁ゲ―ト電界効果トランジスタおよびその製造方法
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
JP2001024161A (ja) 1999-04-30 2001-01-26 Sony Corp 半導体メモリセル
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
US6306755B1 (en) 1999-05-14 2001-10-23 Koninklijke Philips Electronics N.V. (Kpenv) Method for endpoint detection during dry etch of submicron features in a semiconductor device
WO2000070622A1 (fr) 1999-05-14 2000-11-23 Hitachi, Ltd. Circuit de memorisation
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6461915B1 (en) 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6290572B1 (en) * 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
US6547945B2 (en) * 2000-07-31 2003-04-15 United Technologies Corporation Method and apparatuses for electrochemically treating an article
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
JP2002151654A (ja) 2000-11-10 2002-05-24 Sharp Corp 誘電体キャパシタ素子及びその製造方法
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
JP3696156B2 (ja) * 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
KR100388682B1 (ko) 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
KR100525331B1 (ko) 2001-04-26 2005-11-02 가부시끼가이샤 도시바 반도체 장치
US6498062B2 (en) * 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
JP2003092367A (ja) 2001-09-19 2003-03-28 Oki Electric Ind Co Ltd 半導体素子の製造方法
US6825093B2 (en) 2001-09-28 2004-11-30 Infineon Technologies Ag Process window enhancement for deep trench spacer conservation
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6724028B2 (en) 2001-12-10 2004-04-20 Hans Gude Gudesen Matrix-addressable array of integrated transistor/memory structures
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
DE10226583B4 (de) 2002-06-14 2010-07-08 Qimonda Ag DRAM-Speicherzelle für schnellen Schreib-/Lesezugriff und Speicherzellenfeld
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7221596B2 (en) 2002-07-05 2007-05-22 Impinj, Inc. pFET nonvolatile memory
JP3934507B2 (ja) 2002-08-08 2007-06-20 株式会社東芝 半導体記憶装置および半導体記憶装置の製造方法
US6914289B2 (en) 2002-08-15 2005-07-05 Intel Corporation Hourglass ram
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US7033891B2 (en) 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
TW588413B (en) 2002-11-07 2004-05-21 Winbond Electronics Corp Manufacturing method and device of memory with different depths of isolation trench
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US6645818B1 (en) 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
US7250650B2 (en) 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
TWI231042B (en) 2002-12-27 2005-04-11 Wintek Corp Method and device to promote the yield rate and uniformity of AMOLED panel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
TW578274B (en) 2003-01-17 2004-03-01 Nanya Technology Corp Vertical flash memory cell with tip-shape floating gate and method therefor
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
KR100568854B1 (ko) 2003-06-17 2006-04-10 삼성전자주식회사 반도체 메모리에서의 리세스 채널을 갖는 트랜지스터 형성방법
US7105406B2 (en) 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
JP2005093808A (ja) 2003-09-18 2005-04-07 Fujio Masuoka メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005142203A (ja) 2003-11-04 2005-06-02 Elpida Memory Inc 半導体装置およびその製造方法
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP2005175090A (ja) 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法
KR100518606B1 (ko) 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100614240B1 (ko) 2004-06-10 2006-08-18 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US7608503B2 (en) 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US20060113588A1 (en) 2004-11-29 2006-06-01 Sillicon-Based Technology Corp. Self-aligned trench-type DMOS transistor structure and its manufacturing methods
KR100640616B1 (ko) 2004-12-21 2006-11-01 삼성전자주식회사 매몰 게이트 패턴을 포함하는 전계 효과 트랜지스터구조물 및 그것을 포함하는 반도체 소자의 제조방법
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
JP4541220B2 (ja) 2005-04-13 2010-09-08 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (ja) 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
DE112006001447B4 (de) 2005-06-21 2013-03-07 Motorola Mobility, Inc. ( N.D. Ges. D. Staates Delaware ) Verfahren, Vorrichtung und System zum Einrichten eines direkten Leitweges zwischen Agenten eines Senderknotens und eines Empfängerknotens
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
JP4773182B2 (ja) * 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
KR100843139B1 (ko) 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2008004738A (ja) 2006-06-22 2008-01-10 Elpida Memory Inc 半導体装置及びその製造方法
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7495282B2 (en) 2007-01-12 2009-02-24 Sandisk Corporation NAND memory with virtual channel
US7732275B2 (en) 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
KR101374323B1 (ko) 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0414253A (ja) * 1990-05-02 1992-01-20 Sony Corp ダイナミックram及びその製造方法
JPH07106435A (ja) * 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
JP2004247656A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012084738A (ja) * 2010-10-13 2012-04-26 Elpida Memory Inc 半導体装置及びその製造方法、並びにデータ処理システム
US8941162B2 (en) 2010-10-13 2015-01-27 Ps4 Luxco S.A.R.L. Semiconductor device, method for forming the same, and data processing system
WO2014084006A1 (ja) * 2012-11-27 2014-06-05 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
WO2014083924A1 (ja) * 2012-11-28 2014-06-05 ピーエスフォー ルクスコ エスエイアールエル 半導体装置およびその製造方法

Also Published As

Publication number Publication date
KR101074594B1 (ko) 2011-10-17
CN101506966A (zh) 2009-08-12
TW200816454A (en) 2008-04-01
EP2054928A1 (en) 2009-05-06
US20080042179A1 (en) 2008-02-21
TWI362743B (en) 2012-04-21
US20100273303A1 (en) 2010-10-28
SG174730A1 (en) 2011-10-28
WO2008024171A1 (en) 2008-02-28
WO2008024171A8 (en) 2009-06-25
JP5445944B2 (ja) 2014-03-19
KR20090036595A (ko) 2009-04-14
US8394699B2 (en) 2013-03-12
US7772632B2 (en) 2010-08-10
CN101506966B (zh) 2010-09-01

Similar Documents

Publication Publication Date Title
JP2010502008A (ja) 凹んだゲートを具えたdramトランジスタ、およびその製造方法
US8716774B2 (en) Semiconductor device having a buried gate type MOS transistor and method of manufacturing same
KR101645257B1 (ko) 수직 채널 트랜지스터를 구비한 반도체 소자
US7799643B2 (en) Method of fabricating semiconductor device having self-aligned contact plug
JP2013058676A (ja) 半導体装置及びその製造方法、並びにデータ処理システム
US9461049B2 (en) Semiconductor device
US20140021521A1 (en) Mos capacitor, method of fabricating the same, and semiconductor device using the same
JP2012234964A (ja) 半導体装置及びその製造方法
JP2002094027A (ja) 半導体記憶装置とその製造方法
US7449382B2 (en) Memory device and fabrication method thereof
US6707095B1 (en) Structure and method for improved vertical MOSFET DRAM cell-to-cell isolation
US8581315B2 (en) Semiconductor device having cylindrical lower electrode of capacitor and manufacturing method thereof
KR101218904B1 (ko) 메모리 소자 및 이의 제조 방법
WO2014126214A1 (ja) 半導体装置
CN117529103B (zh) 半导体结构及其形成方法
US20230013207A1 (en) Semiconductor structure and manufacturing method thereof
TWI576993B (zh) 記憶元件的製造方法
CN116761420A (zh) 半导体结构及其制作方法
JP2014027099A (ja) 半導体装置及びその製造方法
JPH10256504A (ja) 半導体装置及びその製造方法
KR20050010659A (ko) 반도체 메모리 소자의 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121002

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121002

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121102

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121102

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130918

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130918

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131212

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5445944

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250