JP2010251760A5 - - Google Patents

Download PDF

Info

Publication number
JP2010251760A5
JP2010251760A5 JP2010093544A JP2010093544A JP2010251760A5 JP 2010251760 A5 JP2010251760 A5 JP 2010251760A5 JP 2010093544 A JP2010093544 A JP 2010093544A JP 2010093544 A JP2010093544 A JP 2010093544A JP 2010251760 A5 JP2010251760 A5 JP 2010251760A5
Authority
JP
Japan
Prior art keywords
substrate
tungsten
chemical vapor
temperature
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010093544A
Other languages
English (en)
Other versions
JP2010251760A (ja
JP5791167B2 (ja
Filing date
Publication date
Priority claimed from US12/755,259 external-priority patent/US8623733B2/en
Application filed filed Critical
Publication of JP2010251760A publication Critical patent/JP2010251760A/ja
Publication of JP2010251760A5 publication Critical patent/JP2010251760A5/ja
Application granted granted Critical
Publication of JP5791167B2 publication Critical patent/JP5791167B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明の方法及び装置を実施する多くの代行的様相の存在することは銘記されるべきことである。従って、此処に添付される請求項は本発明の真の意図と範囲にあるかような変更、置換、代行、均等によるものを含むものとする。
[項目1]
タングステンフィルムを反応室内でサブストレイトの上に堆積する方法であって、
タングステン核形成層を前記サブストレイトの上に堆積する工程と、
核形成層を複数の還元剤パルスに当てることから成る低抵抗処理工程を実施する工程と、
前記タングステン核形成層の上にバルクタングステン材料を化学蒸着で堆積する工程とから成り、
低抵抗処理中に実質上タングステンが堆積されず、低抵抗処理中に前記サブストレイトの温度が250°C−350°Cの間に維持されるものである、方法。
[項目2]
バルクタングステン材料を堆積する工程が前記タングステン核形成層の上に低温バルクタングステン化学蒸着層を低温化学蒸着工程で堆積する工程から成り、前記低温化学蒸着工程の間前記サブストレイトの温度が250°C−350°Cの間に維持されるものである、項目1に記載の方法。
[項目3]
低温化学蒸着バルクタングステン化学蒸着堆積の後に前記サブストレイトの温度を少なくとも50°C上昇させ、前記サブストレイトの温度を上昇させた後に高温バルクタングステン化学蒸着層を低温バルクタングステン化学蒸着層の上に堆積する工程から更に成るものである、項目2に記載の方法。
[項目4]
前記サブストレイトが陥凹特徴部から成り、タングステン核形成層を堆積する工程が等角核形成層を陥凹特徴部に堆積する工程から成り、タングステンバルク材料を堆積する工程が特徴部をタングステンで充填する工程から成る、項目1に記載の方法。
[項目5]
等角タングステン核形成層を陥凹特徴部に堆積する工程がサブストレイトの温度250°C−350°Cの間に於いて前記サブストレイトをホウ素含有還元剤とタングステン含有前駆物質の交互パルスに当てる工程から成り、水素がパルスの間に流されないものである、項目4に記載の方法。
[項目6]
前記低抵抗処理工程の間の前記サブストレイトの温度が250°C−350°Cの間に維持されるものである、項目1に記載の方法。
[項目7]
低抵抗処理工程の実施が核形成層をタングステン含有前駆物質の介在的パルスに当てることなく複数の還元剤パルスに当てる工程から成るものである、項目1に記載の方法。
[項目8]
還元剤がホウ素含有物質である、項目1に記載の方法。
[項目9]
前記サブストレイトが低抵抗処理の間約1E−5と1E−2モルの間のホウ素含有物質に当てられるものである、項目8に記載の方法。
[項目10]
前記サブストレイトが低抵抗処理の間約1E−4と1E−3モルの間のホウ素含有物質に当てられるものである、項目8に記載の方法。
[項目11]
サブストレイトの上の陥凹特徴部を充填する方法であって、
前記陥凹特徴部は側壁、底面、及び開口を有し、場の領域と、この場の領域より陥凹する第一特徴部を有する前記サブストレイトを準備する工程と、
陥凹特徴部の側壁と底面の上に等角タングステン核形成層を堆積する工程と、
前記サブストレイトの温度を250°C−350°Cの間に維持する低温化学蒸着工程によって特徴部を低温化学蒸着タングステンバルク層で部分的に充填する工程と、
前記サブストレイトの温度を低温化学蒸着工程に於けるより少なくとも25°C高く、350°C−450°Cの間に維持する高温化学蒸着工程によって特徴部を高温化学蒸着タングステンバルク層で完全に充填する工程とから成る、方法。
[項目12]
前記特徴部を部分的に充填した後、前記特徴部を完全に充填する前に、前記サブストレイトの温度を少なくとも30°C上昇させる工程から更に成るものである、項目11に記載の方法。
[項目13]
前記陥凹特徴部の縦横比が少なくとも10:1である、項目11に記載の方法。
[項目14]
前記第一特徴部の縦横比が少なくとも20:1である、項目11に記載の方法。
[項目15]
前記第一特徴部の開口の幅が100nm未満である、項目11に記載の方法。
[項目16]
前記第一特徴部の開口の幅が50nm未満である、項目11に記載の方法。
[項目17]
前記第一特徴部の開口の幅が40nm未満である、項目11に記載の方法。
[項目18]
サブストレイトの上の陥凹特徴部を充填する方法であって、
前記陥凹特徴部は側壁、底面、及び開口を有し、場の領域と、この場の領域より陥凹する第一特徴部を有する前記サブストレイトを準備する工程と、
核形成層を複数の還元剤パルスに当てる工程を含み、その間サブストレイトの温度が250°C−350°Cの間に維持される低抵抗処理を実施する工程と、
低抵抗処理を実施した後に、複数段階化学蒸着工程を実施して特徴部を充填する工程とから成り、
前記複数段階化学蒸着工程は堆積期間中前記サブストレイトの温度が250°C−350°Cの間に維持される第一段階と堆積期間中前記サブストレイトの温度が375°C−450°Cの間に維持される第二段階とから成るものであり、特徴部は第一段階の後部分的にのみ充填され、第二段階の後完全に充填されるものである、方法。
[項目19]
前記低抵抗処理の間、タングステンが実質上堆積されないものである、項目18に記載の方法。
[項目20]
タングステンフィルムをサブストレイトの上に堆積する器具であって、
(イ)多部署サブストレイト堆積チェンバであって、
サブストレイト支持材及び前記サブストレイトをガスのパルスに当てるように形成された一個以上のガス注入口を有するタングステン核形成層堆積部署、
サブストレイト支持材及び前記サブストレイトをガスのパルスに当てるように形成された一個以上のガス注入口を有する低抵抗処理部署、
サブストレイト支持材及び前記サブストレイトをガスに当てるように形成された一個以上のガス注入口を有する第一タングステンバルク層堆積部署、
サブストレイト支持材及び前記サブストレイトをガスに当てるように形成された一個以上のガス注入口を有する第二タングステンバルク層堆積部署、
から成る前記多部署サブストレイト堆積チェンバ、及び(ロ)多部署堆積チェンバ内の操作を制御する制御部であって、
(i)前記タングステン核形成層堆積部署内で複数の還元剤パルス/パージガスパルス/タングステン含有前駆物質パルスサイクルを脈動させ、サブストレイト表面の上のタングステン核形成層の上に堆積させ、
(ii)還元剤露出部署内で複数の還元剤パルスを脈動させ、
(iii)同時に、前記サブストレイトの温度を250°C−350°Cの間に維持させながら、還元剤とタングステン含有前駆物質を第一タングステンバルク堆積部署へと流入させ、
(iv)同時に、前記サブストレイトの温度を375°C−450°Cの間に維持させながら、還元剤とタングステン含有前駆物質を第二タングステンバルク堆積部署へと流入させる操作を制御する制御部とから成る器具。

Claims (13)

  1. タングステンフィルムを反応室内でサブストレイトの上に堆積する方法であって、
    タングステン核形成層を前記サブストレイトの上に堆積する工程と、
    核形成層を複数の還元剤パルスに当てることを有する低抵抗処理工程を実施する工程と、
    前記タングステン核形成層の上にバルクタングステン材料を化学蒸着で堆積する工程とを備え
    低抵抗処理の開始から少なくとも前記低抵抗処理の終了までの連続した期間の間に実質上タングステンが堆積されず、低抵抗処理中に前記サブストレイトの温度が250°C−350°Cの間に維持されるものである、方法。
  2. バルクタングステン材料を堆積する工程が前記タングステン核形成層の上に低温バルクタングステン化学蒸着層を低温化学蒸着工程で堆積する工程を有し、前記低温化学蒸着工程の間前記サブストレイトの温度が250°C−350°Cの間に維持されるものである、請求項1に記載の方法。
  3. 低温化学蒸着バルクタングステン化学蒸着堆積の後に前記サブストレイトの温度を少なくとも50°C上昇させ、前記サブストレイトの温度を上昇させた後に高温バルクタングステン化学蒸着層を低温バルクタングステン化学蒸着層の上に堆積する工程更に備える、請求項2に記載の方法。
  4. 前記サブストレイトが陥凹特徴部を有し、タングステン核形成層を堆積する工程が等角核形成層を陥凹特徴部に堆積する工程を有し、タングステンバルク材料を堆積する工程が特徴部をタングステンで充填する工程を有する、請求項1から3のいずれか1項に記載の方法。
  5. 等角タングステン核形成層を陥凹特徴部に堆積する工程がサブストレイトの温度250°C−350°Cの間に於いて前記サブストレイトをホウ素含有還元剤とタングステン含有前駆物質の交互パルスに当てる工程を有し、水素がパルスの間に流されないものである、請求項4に記載の方法。
  6. 前記低抵抗処理工程の間の前記サブストレイトの温度が250°C−350°Cの間に維持されるものである、請求項1から5のいずれか1項に記載の方法。
  7. 低抵抗処理工程の実施が核形成層をタングステン含有前駆物質の介在的パルスに当てることなく複数の還元剤パルスに当てる工程を有する、請求項1から6のいずれか1項に記載の方法。
  8. 前記サブストレイトが低抵抗処理の間約1E−5と1E−2モルの間のホウ素含有物質に当てられるものである、請求項1から7のいずれか1項に記載の方法。
  9. サブストレイトの上の陥凹特徴部を充填する方法であって、
    前記陥凹特徴部は側壁、底面、及び開口を有し、場の領域と、この場の領域より陥凹する第一特徴部を有する前記サブストレイトを準備する工程と、
    陥凹特徴部の側壁と底面の上に等角タングステン核形成層を堆積する工程と、
    前記サブストレイトの温度を250°C−350°Cの間に維持する低温化学蒸着工程によって特徴部を低温化学蒸着タングステンバルク層で部分的に充填する工程と、
    前記サブストレイトの温度を低温化学蒸着工程に於けるより少なくとも25°C高く、350°C−450°Cの間に維持する高温化学蒸着工程によって特徴部を高温化学蒸着タングステンバルク層で完全に充填する工程とを備え、
    前記低温化学蒸着工程の終わりにおいて、前記低温化学蒸着タングステンバルク層は露出面を有し、
    前記低温化学蒸着タングステンバルク層の前記露出面に前記高温化学蒸着タングステンバルク層を堆積することにより、前記特徴部は完全に充填される方法。
  10. 前記特徴部を部分的に充填した後、前記特徴部を完全に充填する前に、前記サブストレイトの温度を少なくとも30°C上昇させる工程更に備える、請求項に記載の方法。
  11. 前記第一特徴部は、少なくとも20:1の縦横比、及び50nm未満の開口の幅の少なくとも一方の特徴を有する、請求項10に記載の方法。
  12. サブストレイトの上の陥凹特徴部を充填する方法であって、
    前記陥凹特徴部は側壁、底面、及び開口を有し、場の領域と、この場の領域より陥凹する第一特徴部を有する前記サブストレイトを準備する工程と、
    核形成層を複数の還元剤パルスに当てる工程を含み、その間サブストレイトの温度が250°C−350°Cの間に維持される低抵抗処理を実施する工程と、
    低抵抗処理を実施した後に、複数段階化学蒸着工程を実施して特徴部を充填する工程とを備え
    前記複数段階化学蒸着工程は堆積期間中前記サブストレイトの温度が250°C−350°Cの間に維持される第一段階と堆積期間中前記サブストレイトの温度が375°C−450°Cの間に維持される第二段階とを備え前記第二段階は前記第一段階の後に続く段階であり、前記特徴部は第一段階の後部分的にのみ充填され、前記特徴部は第二段階の後完全に充填されるものである、方法。
  13. タングステンフィルムをサブストレイトの上に堆積する器具であって、
    サブストレイト支持材及び前記サブストレイトをガスのパルスに当てるように形成された一個以上のガス注入口を有するタングステン核形成層堆積部署、
    サブストレイト支持材及び前記サブストレイトをガスのパルスに当てるように形成された一個以上のガス注入口を有する低抵抗処理部署、
    サブストレイト支持材及び前記サブストレイトをガスに当てるように形成された一個以上のガス注入口を有する第一タングステンバルク層堆積部署、
    サブストレイト支持材及び前記サブストレイトをガスに当てるように形成された一個以上のガス注入口を有する第二タングステンバルク層堆積部署、
    を有する1以上の堆積チェンバ、及び
    (i)前記タングステン核形成層堆積部署内で複数の還元剤パルス/パージガスパルス/タングステン含有前駆物質パルスサイクルを脈動させ、サブストレイト表面の上のタングステン核形成層の上に堆積させ、
    (ii)還元剤露出部署内で複数の還元剤パルスを脈動させ、
    (iii)同時に、前記サブストレイトの温度を250°C−350°Cの間に維持させながら、還元剤とタングステン含有前駆物質を第一タングステンバルク堆積部署へと流入させ、
    (iv)同時に、前記サブストレイトの温度を375°C−450°Cの間に維持させながら、還元剤とタングステン含有前駆物質を第二タングステンバルク堆積部署へと流入させる、前記1以上の堆積チェンバの操作を制御する制御部
    を備える器具。
JP2010093544A 2009-04-16 2010-04-14 サブストレイトの上に堆積する方法、サブストレイトの製造方法及び器具 Active JP5791167B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16995409P 2009-04-16 2009-04-16
US61/169,954 2009-04-16
US12/755,259 2010-04-06
US12/755,259 US8623733B2 (en) 2009-04-16 2010-04-06 Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects

Publications (3)

Publication Number Publication Date
JP2010251760A JP2010251760A (ja) 2010-11-04
JP2010251760A5 true JP2010251760A5 (ja) 2013-05-23
JP5791167B2 JP5791167B2 (ja) 2015-10-07

Family

ID=42981318

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010093544A Active JP5791167B2 (ja) 2009-04-16 2010-04-14 サブストレイトの上に堆積する方法、サブストレイトの製造方法及び器具
JP2010093522A Active JP5700327B2 (ja) 2009-04-16 2010-04-14 小臨界次元のタングステン接点装置及び相互接続子の製法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010093522A Active JP5700327B2 (ja) 2009-04-16 2010-04-14 小臨界次元のタングステン接点装置及び相互接続子の製法

Country Status (4)

Country Link
US (4) US20100267230A1 (ja)
JP (2) JP5791167B2 (ja)
KR (3) KR101383384B1 (ja)
TW (3) TWI623040B (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9856580B2 (en) * 2013-08-19 2018-01-02 Applied Materials, Inc. Apparatus for impurity layered epitaxy
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9449921B1 (en) * 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
CN107026113B (zh) 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US9875959B2 (en) * 2016-06-09 2018-01-23 International Business Machines Corporation Forming a stacked capacitor
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR20180026995A (ko) 2016-09-05 2018-03-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
CN108987347B (zh) 2017-05-31 2020-10-09 联华电子股份有限公司 半导体结构的制作方法
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
KR102401177B1 (ko) 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
CN112041969A (zh) * 2018-04-24 2020-12-04 应用材料公司 无阻挡层的钨沉积
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TW202203305A (zh) * 2018-05-04 2022-01-16 美商應用材料股份有限公司 金屬膜沉積
KR20210007031A (ko) * 2018-06-07 2021-01-19 램 리써치 코포레이션 막 계면들을 가로지른 확산의 감소
WO2020028587A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
KR20210087551A (ko) * 2018-11-30 2021-07-12 램 리써치 코포레이션 메모리 어플리케이션들을 위한 라인 벤딩 (bending) 제어
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20210158419A (ko) * 2019-05-22 2021-12-30 램 리써치 코포레이션 핵생성-프리 텅스텐 증착
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US20230023235A1 (en) * 2021-07-26 2023-01-26 Applied Materials, Inc. Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充

Family Cites Families (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
DE1763823B1 (de) * 1968-08-16 1971-11-11 Ellenberger & Poensgen Elektromagnetischer anlasschalter mit einem drehbaren magnet anker fuer einphaseninduktionsmotoren
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP3194971B2 (ja) 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Cvdチャンバに導入されるプロセスガスをcvdチャンバへの導入前に濾過するための装置
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) * 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) * 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) * 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) * 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) * 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5795824A (en) * 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) * 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) * 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) * 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) * 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) * 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) * 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
EP1136595A4 (en) 1999-08-30 2006-07-19 Ebara Corp METHOD FOR MEASURING THE DIRECT CONCENTRATION OF COATING SOLUTIONS AND METHOD AND DEVICE FOR CONTROLLING COATING SOLUTIONS
US6309966B1 (en) * 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) * 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6875212B2 (en) * 2000-06-23 2005-04-05 Vertelink Corporation Curable media for implantable medical device
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
EP1203950B1 (en) 2000-11-02 2005-09-07 Shipley Company LLC Plating bath analysis
US6740591B1 (en) * 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
CN1295756C (zh) * 2000-11-17 2007-01-17 东京毅力科创株式会社 在阻挡膜上形成钨膜的方法
KR100375230B1 (ko) * 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) * 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) * 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) * 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7144488B2 (en) 2002-06-05 2006-12-05 Shipley Company, L.L.C. Electrode, electrochemical cell, and method for analysis of electroplating baths
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) * 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) * 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
JP2004235456A (ja) * 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) * 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) * 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
KR101178743B1 (ko) * 2004-04-12 2012-09-07 가부시키가이샤 알박 배리어막의 형성 방법, 및 전극막의 형성 방법
CN1942999B (zh) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 一种用于对高压放电灯的不含氧化钍的钨电极的热处理方法
US8495305B2 (en) * 2004-06-30 2013-07-23 Citrix Systems, Inc. Method and device for performing caching of dynamically generated objects in a data communication network
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) * 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
GB2440115A (en) * 2006-07-14 2008-01-23 Alpa Shantilal Pabari Nit and lice removal comb
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) * 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7879222B2 (en) 2007-08-27 2011-02-01 Eci Technology, Inc. Detection of additive breakdown products in acid copper plating baths
US8518282B2 (en) 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101015526B1 (ko) * 2008-09-02 2011-02-16 주식회사 동부하이텍 마스크와 그를 이용한 반도체 소자 제조 방법
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
WO2013090295A1 (en) 2011-12-12 2013-06-20 Novellus Systems, Inc. Monitoring leveler concentrations in electroplating solutions
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications

Similar Documents

Publication Publication Date Title
JP2010251760A5 (ja)
JP6222880B2 (ja) 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
JP2009144242A5 (ja) タングステン膜の製造方法および装置
JP6336866B2 (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
JP4803578B2 (ja) 成膜方法
JP2010251759A5 (ja)
TW201131005A (en) Process for production of ni film
JP2016540124A5 (ja)
JP2011168881A (ja) 半導体装置の製造方法及び基板処理装置
KR101737215B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP4889227B2 (ja) 基板処理方法および成膜方法
JP2011006782A5 (ja)
TW201207976A (en) Method of improving film non-uniformity and throughput
TW201700761A (zh) 經由基材的有機金屬或矽烷預處理而改良的鎢膜
JP2012149288A (ja) 基板処理装置のドライクリーニング方法
JP2015185825A5 (ja)
JP6164775B2 (ja) 半導体デバイスの製造方法、基板処理装置およびプログラム
JP6253214B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
JP6118149B2 (ja) ルテニウム膜の形成方法および記憶媒体
KR101697076B1 (ko) 금속막의 성막 방법
JP2004277864A (ja) 成膜方法及び成膜装置
JP7195239B2 (ja) 成膜方法及び成膜装置
KR101789864B1 (ko) 금속막의 성막 방법 및 기억 매체
TW201546313A (zh) 含碳之矽膜之形成方法及形成裝置
JP5656683B2 (ja) 成膜方法および記憶媒体